diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/.prompt_colors.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/.prompt_colors.tcl
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/.prompt_colors.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/.prompt_colors.tcl
index 16aac84ddab17e6328b5a0cee5b8e54eb97dc3f6..a3cf9d53bae1065db5b301a7d3b123c509f3f8bf 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/.prompt_colors.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/.prompt_colors.tcl
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: Console color print utility
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh
index fb6f8b8fdb6efecc84b6a876030e494964fa3f70..c84333ebba1de000d0987092ff220934c04f825e 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/clean_prj_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Cleanup project directory
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh
index 7e3d46c115eb079aea621c8e2d87a5ca9afebfde..8a727d2568c0dbff707a0d761a37ef90c802cab4 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Create Vivado project
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..cc44639b02c997a07c633e9ba110255214e0fe23
--- /dev/null
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl
@@ -0,0 +1,215 @@
+##################################################################################
+#                                 _             _
+#                                | |_  ___ _ __(_)__ _
+#                                | ' \/ -_) '_ \ / _` |
+#                                |_||_\___| .__/_\__,_|
+#                                         |_|
+#
+##################################################################################
+#
+# Company: hepia
+# Author: Joachim Schmidt <joachim.schmidt@hesge.ch>
+#
+# Project Name: scalp_firmware
+# Target Device: SCALP xc7z015clg485-2
+# Tool version: 2019.2
+# Description: TCL script for re-creating Vivado project 'scalp_firmware'
+#
+# Last update: 2020-09-21 13:34:23
+#
+##################################################################################
+
+# Include files
+source utils.tcl
+
+set PRJ_DIR ".."
+set prj_name "scalp_firmware"
+set PKG_DIR "${PRJ_DIR}/../../../../../packages"
+set SOC_DIR "${PRJ_DIR}/../../../../../soc/"
+
+# Set project type
+set PRJ_TYPE "DESIGN_PRJ_TYPE"
+
+# Create a variable to store the start time
+set start_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}]
+
+# Set the original project directory path for adding/importing sources in the new project
+set src_dir "${PRJ_DIR}/../src"
+set ip_dir "${PRJ_DIR}/../../../../../ips/hw"
+set comp_dir "${ip_dir}/$prj_name"
+set comp_src_dir "${comp_dir}/src"
+set pkg_src_dir "${PKG_DIR}/hw"
+set soc_src_dir "${SOC_DIR}/hw"
+print_status "Set directory paths" "OK"
+
+# Create the project
+create_project $prj_name ${PRJ_DIR}/$prj_name -part xc7z015clg485-2
+#set_property board_part SCALP [current_project]
+set_property target_language VHDL [current_project]
+print_status "Create project" "OK"
+
+# Map the IP Repository so that custom IP is included
+set_property ip_repo_paths $ip_dir [current_fileset]
+update_ip_catalog
+
+#----------------------------------------------------------------
+# Add project sources
+#----------------------------------------------------------------
+
+if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
+	# add HDL sources
+	set vhdl_src_file_list [findFiles $src_dir/hdl *.vhd]
+	set verilog_src_file_list [findFiles $src_dir/hdl *.v]
+	set hdl_src_file_list [list {*}$vhdl_src_file_list {*}$verilog_src_file_list]
+	add_files -norecurse $hdl_src_file_list    
+	# add the constraints file (XDC)
+	add_files -fileset constrs_1 -norecurse $src_dir/constrs/debug.xdc
+	set_property is_enabled true [get_files $src_dir/constrs/debug.xdc]
+	add_files -fileset constrs_1 -norecurse  $src_dir/constrs/ibert_constraints.xdc
+	set_property is_enabled false [get_files $src_dir/constrs/ibert_constraints.xdc]
+	add_files -fileset constrs_1 -norecurse $src_dir/constrs/timing_constraints.xdc
+	set_property is_enabled true [get_files $src_dir/constrs/timing_constraints.xdc]
+	add_files -fileset constrs_1 -norecurse $src_dir/constrs/scalp_firmware.xdc
+	set_property is_enabled true [get_files $src_dir/constrs/scalp_firmware.xdc]
+
+	#add_files -fileset constrs_1 -norecurse  $src_dir/constrs/${prj_name}.xdc
+	# add IPs source file
+	#read_ip $src_dir/custom_ip/ip_0/ip_0.xci
+} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
+	# components sources are stored in an external directory
+	# add the project component
+	set vhdl_src_file_list [findFiles $comp_src_dir/hdl *.vhd]
+	set verilog_src_file_list [findFiles $comp_src_dir/hdl *.v]
+	set hdl_src_file_list [list {*}$vhdl_src_file_list {*}$verilog_src_file_list]
+	add_files -norecurse $hdl_src_file_list
+	# add IPs source file
+	#read_ip $comp_src_dir/ip_core/ip_0/ip_0.xci
+	# add IP-XACT source file
+	#add_files -norecurse $comp_dir/component.xml
+}
+print_status "Add project sources" "OK"
+
+foreach j $vhdl_src_file_list {
+    set_property file_type {VHDL 2008} [get_files  $j]
+    print_status "VHDL 2008 mode configured for the file $j" "OK"
+}
+print_status "VHDL 2008 mode configured for project sources" "OK"
+
+#----------------------------------------------------------------
+# Add constraints files
+#----------------------------------------------------------------
+
+
+# Set packages libraries if any
+#set_property library library_name [get_files  $src_dir/hdl/package_name.vhd]
+#update_compile_order -fileset sources_1
+
+# Create the IP Integrator portion of the design
+#create_bd_design "axi_design"
+#update_compile_order -fileset sources_1
+
+# launch the TCL script to generate the IPI design
+source $src_dir/ipi_tcl/${prj_name}_ipi.tcl
+print_status "Add IPI design" "OK"
+
+# Set the top level design
+set_property top $prj_name [current_fileset]
+update_compile_order -fileset sources_1
+
+# Add testbench sources
+if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
+	set vhdl_sim_file_list [findFiles $src_dir/sim *.vhd]
+	set verilog_sim_file_list [findFiles $src_dir/sim *.v]
+} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
+	set vhdl_sim_file_list [findFiles $comp_src_dir/sim *.vhd]
+	set verilog_sim_file_list [findFiles $comp_src_dir/sim *.v]
+}
+set hdl_sim_file_list [list {*}$vhdl_sim_file_list {*}$verilog_sim_file_list]
+add_files -fileset sim_1 -norecurse $hdl_sim_file_list
+update_compile_order -fileset sim_1
+print_status "Add testbench sources" "OK"
+
+foreach j $vhdl_sim_file_list {
+    set_property file_type {VHDL 2008} [get_files  $j]
+    print_status "VHDL 2008 mode configured for the file $j" "OK"
+}
+print_status "VHDL 2008 mode configured for testbench sources" "OK"
+
+# Add packages sources
+if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_drp_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_status_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/axi4_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_drp_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_status_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+	set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/axi4_pkg/src/hdl *.vhd]
+	add_files -norecurse $vhdl_pkg_file_list
+	foreach j $vhdl_pkg_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+}
+print_status "Add packages sources" "OK"
+print_status "VHDL 2008 mode configured for packages sources" "OK"
+
+# Add SoC wrapper sources files
+if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
+	set vhdl_soc_file_list [findFiles ${PRJ_DIR}/../../../../../soc/hw/scalp_zynqps/src/hdl *.vhd]
+	add_files -norecurse $vhdl_soc_file_list
+	foreach j $vhdl_soc_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
+	set vhdl_soc_file_list [findFiles ${PRJ_DIR}/../../../../../soc/hw/scalp_zynqps/src/hdl *.vhd]
+	add_files -norecurse $vhdl_soc_file_list
+	foreach j $vhdl_soc_file_list {
+		set_property file_type {VHDL 2008} [get_files  $j]
+		print_status "VHDL 2008 mode configured for the file $j" "OK"
+		set_property is_enabled true [get_files $j]
+	}
+}
+print_status "Add SoC wrapper sources" "OK"
+print_status "VHDL 2008 mode configured for SoC wrapper sources" "OK"
+
+# Set the completion time
+set end_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}]
+
+# Display the start and end time to the screen
+puts $start_time
+puts $end_time
+
+exit
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh
index aa2702189b0a21d548fcf636a2bd4a373ec81b1d..8cad73a09273b380011df2ceebd9ebf66dc624e1 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Export the hardware design to SDK
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl
similarity index 97%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl
index f2dc1449628a2d8f694d4be6798e3bb7d2a67a13..e5164ceac682f284ca8263396e1c1479242bd8d6 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/export_hw_scalp_firmware.tcl
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: Export the hardware design to SDK
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh
index 031767ada3c4ca175b5f4299356b5df50b24d9cf..ea5d957b2607aada8f530062d1462f57d0988fa6 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Generate bitstream file
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl
similarity index 97%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl
index 4830b94b4106ac2ade0ef7a680ca75c3178d6037..bccfb50d1433374a628277e0b0a42afe619400cd 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_bitstream_scalp_firmware.tcl
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: TCL script used to generate bitstream file
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh
similarity index 97%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh
index 52a2e5604f60f36a5094a5f3a58d3c31aa1ce4e2..e01bfd088a51e86a786e357cab4c0deb7138d983 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Generate software application
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl
similarity index 98%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl
index 530e0b53a48e0978bfbe09bb750c3a99e59bd347..d73316477ad56d07ea04076ae18f3831cf98915f 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/gen_sw_apps_scalp_firmware.tcl
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: TCL script used to generate software application
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh
index cc3be8e47021870ea2ec6451d7da537364cf2d59..01cba1aaceafcc6c784563ec9d468deb274dece6 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Load bitstream file
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl
similarity index 98%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl
index d67a6d9f08e4b2d2575194edb334737774046b82..d5a42f50f462d04a89f14e42506b2fdae49c4b7d 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_bitstream_scalp_firmware.tcl
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: TCL script used to load FPGA bitstream
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh
index 05f9d3f88f34b8b8aa09d7f84bbac54f6a34de3a..1aed91c40e8800afd5b50d020f88c2e4d284b1af 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Load software application
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl
similarity index 97%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl
index f6afbe56b0febbea89c002f574c41c6b31ddbc35..e6717e9702ac35d71911732e660c3c3c6eafca52 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/load_sw_app_scalp_firmware.tcl
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: TCL script used to load software application
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh
similarity index 95%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh
index b7219ea0816248e37cad4d1030c1e5cce3420837..e1ad3a9c5d7bc97ac5170fdec89426d3ea258605 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/open_prj_scalp_firmware.sh
@@ -17,7 +17,7 @@
 # Tool version: 2019.2
 # Description: Create Vivado project
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/utils.tcl b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/utils.tcl
similarity index 98%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/utils.tcl
rename to designs/vivado/scalp_firmware/2019.2/lin64/.scripts/utils.tcl
index 226b22aa62e2be1f16ba299559950f610d767066..6e207a421ec11632f7e39eacdcc0f692ba732332 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/utils.tcl
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/.scripts/utils.tcl
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: Project management utilities
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/setup.sh b/designs/vivado/scalp_firmware/2019.2/lin64/setup.sh
similarity index 97%
rename from designs/vivado/scalp_firmware_old/2019.2/lin64/setup.sh
rename to designs/vivado/scalp_firmware/2019.2/lin64/setup.sh
index f5f1329e944caddd3ed7bec84d68466160a04001..a67797be22543c625851cc080b68895b62cd66b4 100755
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/setup.sh
+++ b/designs/vivado/scalp_firmware/2019.2/lin64/setup.sh
@@ -15,7 +15,7 @@
 # Tool version: 2019.2
 # Description: TCL script creating aliases for Vivado project management scripts
 #
-# Last update: 2020-09-03 11:28:21
+# Last update: 2020-09-21 13:34:23
 #
 ##################################################################################
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/constrs/debug.xdc b/designs/vivado/scalp_firmware/2019.2/src/constrs/debug.xdc
similarity index 100%
rename from designs/vivado/scalp_firmware_old/2019.2/src/constrs/debug.xdc
rename to designs/vivado/scalp_firmware/2019.2/src/constrs/debug.xdc
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/constrs/ibert_constraints.xdc b/designs/vivado/scalp_firmware/2019.2/src/constrs/ibert_constraints.xdc
similarity index 100%
rename from designs/vivado/scalp_firmware_old/2019.2/src/constrs/ibert_constraints.xdc
rename to designs/vivado/scalp_firmware/2019.2/src/constrs/ibert_constraints.xdc
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/constrs/scalp_firmware.xdc b/designs/vivado/scalp_firmware/2019.2/src/constrs/scalp_firmware.xdc
similarity index 100%
rename from designs/vivado/scalp_firmware_old/2019.2/src/constrs/scalp_firmware.xdc
rename to designs/vivado/scalp_firmware/2019.2/src/constrs/scalp_firmware.xdc
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/constrs/timing_constraints.xdc b/designs/vivado/scalp_firmware/2019.2/src/constrs/timing_constraints.xdc
similarity index 100%
rename from designs/vivado/scalp_firmware_old/2019.2/src/constrs/timing_constraints.xdc
rename to designs/vivado/scalp_firmware/2019.2/src/constrs/timing_constraints.xdc
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/hdl/scalp_firmware.vhd b/designs/vivado/scalp_firmware/2019.2/src/hdl/scalp_firmware.vhd
similarity index 100%
rename from designs/vivado/scalp_firmware_old/2019.2/src/hdl/scalp_firmware.vhd
rename to designs/vivado/scalp_firmware/2019.2/src/hdl/scalp_firmware.vhd
diff --git a/designs/vivado/scalp_firmware/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl b/designs/vivado/scalp_firmware/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..61be405f384ac54c6854016b65037cd18f892cc7
--- /dev/null
+++ b/designs/vivado/scalp_firmware/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl
@@ -0,0 +1 @@
+source "../../../../../../soc/vivado/scalp_zynqps/2019.2/src/ipi_tcl/scalp_zynqps_ipi.tcl"
\ No newline at end of file
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/sim/tb_scalp_firmware.vhd b/designs/vivado/scalp_firmware/2019.2/src/sim/tb_scalp_firmware.vhd
similarity index 96%
rename from designs/vivado/scalp_firmware_old/2019.2/src/sim/tb_scalp_firmware.vhd
rename to designs/vivado/scalp_firmware/2019.2/src/sim/tb_scalp_firmware.vhd
index fd05342402a347d53a1c37c615ac5faefb73d45b..b9c126fd42c48453692b9d25cb6f378bbeb4dddf 100644
--- a/designs/vivado/scalp_firmware_old/2019.2/src/sim/tb_scalp_firmware.vhd
+++ b/designs/vivado/scalp_firmware/2019.2/src/sim/tb_scalp_firmware.vhd
@@ -15,7 +15,7 @@
 -- Tool version: 2019.2
 -- Description: Testbench for scalp_firmware
 --
--- Last update: 2020-09-03 11:28:21
+-- Last update: 2020-09-21 13:34:23
 --
 ---------------------------------------------------------------------------------
 
diff --git a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl b/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl
deleted file mode 100644
index e79ca5e387587351c3aae68f757bb968345fcd44..0000000000000000000000000000000000000000
--- a/designs/vivado/scalp_firmware_old/2019.2/lin64/.scripts/create_prj_scalp_firmware.tcl
+++ /dev/null
@@ -1,155 +0,0 @@
-##################################################################################
-#                                 _             _
-#                                | |_  ___ _ __(_)__ _
-#                                | ' \/ -_) '_ \ / _` |
-#                                |_||_\___| .__/_\__,_|
-#                                         |_|
-#
-##################################################################################
-#
-# Company: hepia
-# Author: Joachim Schmidt <joachim.schmidt@hesge.ch>
-#
-# Project Name: scalp_firmware
-# Target Device: SCALP xc7z015clg485-2
-# Tool version: 2019.2
-# Description: TCL script for re-creating Vivado project 'scalp_firmware'
-#
-# Last update: 2020-09-03 11:28:21
-#
-##################################################################################
-
-# Include files
-source utils.tcl
-
-set PRJ_DIR ".."
-set prj_name "scalp_firmware"
-
-# Set project type
-set PRJ_TYPE "DESIGN_PRJ_TYPE"
-
-# Create a variable to store the start time
-set start_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}]
-
-# Set the original project directory path for adding/importing sources in the new project
-set src_dir "${PRJ_DIR}/../src"
-set ip_dir "${PRJ_DIR}/../../../../../ips/hw"
-set comp_dir "${ip_dir}/$prj_name"
-set comp_src_dir "${comp_dir}/src"
-# USER DEFINE
-set lib_dir "${PRJ_DIR}/../../../../../lib/${prj_name}_hdl_lib/hw/src"
-#set PRJ_ZYNPS "scalp_zynqps"
-#set zynqps_dir "${PRJ_DIR}/../../../../../soc/hw/${scalp_zynqps}/src"
-##
-
-print_status "Set directory paths" "OK"
-
-# Create the project
-create_project $prj_name ${PRJ_DIR}/$prj_name -part xc7z015clg485-2
-#set_property board_part SCALP [current_project]
-set_property target_language VHDL [current_project]
-print_status "Create project" "OK"
-
-# Map the IP Repository so that custom IP is included
-set_property ip_repo_paths $ip_dir [current_fileset]
-update_ip_catalog
-
-#----------------------------------------------------------------
-# Add project sources
-#----------------------------------------------------------------
-
-if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
-	# add HDL sources
-	set vhdl_src_file_list [findFiles $src_dir/hdl *.vhd]
-	set verilog_src_file_list [findFiles $src_dir/hdl *.v]
-    #USER DEFINE
-    set vhdl_lib_src_file_list [findFiles $lib_dir/hdl *.vhd]
-    set verilog_lib_src_file_list [findFiles $lib_dir/hdl *.v]
-    ##
-	set vhdl_src_file_list [list {*}$vhdl_src_file_list {*}$vhdl_lib_src_file_list]
-    set verilog_src_file_list [list {*}$verilog_src_file_list {*}$verilog_lib_src_file_list]
-    ##
-    set hdl_src_file_list [list {*}$vhdl_src_file_list {*}$verilog_src_file_list]   
-    
-	add_files -norecurse $hdl_src_file_list
-	# add the constraints file (XDC)
-	add_files -fileset constrs_1 -norecurse  $src_dir/constrs/${prj_name}.xdc
-    # USER DEFINE
-    add_files -fileset constrs_1 -norecurse  $src_dir/constrs/ibert_constraints.xdc
-    add_files -fileset constrs_1 -norecurse  $src_dir/constrs/debug.xdc
-    add_files -fileset constrs_1 -norecurse  $src_dir/constrs/timing_constraints.xdc
-	# add IPs source file
-	#read_ip $src_dir/custom_ip/ip_0/ip_0.xci
-} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
-	# components sources are stored in an external directory
-	# add the project component
-	set vhdl_src_file_list [findFiles $comp_src_dir/hdl *.vhd]
-	set verilog_src_file_list [findFiles $comp_src_dir/hdl *.v]
-    #USER DEFINE
-    set vhdl_lib_src_file_list [findFiles $lib_dir/hdl *.vhd]    
-    set verilog_lib_src_file_list [findFiles $lib_dir/hdl *.v]
-
-    set vhdl_src_file_list [list {*}$vhdl_src_file_list {*}$vhdl_lib_src_file_list]
-    set verilog_src_file_list [list {*}$verilog_src_file_list {*}$verilog_lib_src_file_list]
-    ##
-    set hdl_src_file_list [list {*}$vhdl_src_file_list {*}$verilog_src_file_list]
-    
-	add_files -norecurse $hdl_src_file_list
-	# add IPs source file
-	#read_ip $comp_src_dir/ip_core/ip_0/ip_0.xci
-	# add IP-XACT source file
-	#add_files -norecurse $comp_dir/component.xml
-}
-print_status "Add project sources" "OK"
-
-foreach j $vhdl_src_file_list {
-    set_property file_type {VHDL 2008} [get_files  $j]
-    print_status "VHDL 2008 mode configured for the file $j" "OK"
-}
-
-print_status "VHDL 2008 mode configured for project sources" "OK"
-
-# Set packages libraries if any
-#set_property library library_name [get_files  $src_dir/hdl/package_name.vhd]
-#update_compile_order -fileset sources_1
-
-# Create the IP Integrator portion of the design
-#create_bd_design "axi_design"
-#update_compile_order -fileset sources_1
-
-# launch the TCL script to generate the IPI design
-source $src_dir/ipi_tcl/${prj_name}_ipi.tcl
-print_status "Add IPI design" "OK"
-
-# Set the top level design
-set_property top $prj_name [current_fileset]
-update_compile_order -fileset sources_1
-
-# Add testbench sources
-if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} {
-	set vhdl_sim_file_list [findFiles $src_dir/sim *.vhd]
-	set verilog_sim_file_list [findFiles $src_dir/sim *.v]
-} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} {
-	set vhdl_sim_file_list [findFiles $comp_src_dir/sim *.vhd]
-	set verilog_sim_file_list [findFiles $comp_src_dir/sim *.v]
-}
-set hdl_sim_file_list [list {*}$vhdl_sim_file_list {*}$verilog_sim_file_list]
-add_files -fileset sim_1 -norecurse $hdl_sim_file_list
-update_compile_order -fileset sim_1
-print_status "Add testbench sources" "OK"
-
-foreach j $vhdl_sim_file_list {
-    set_property file_type {VHDL 2008} [get_files  $j]
-    print_status "VHDL 2008 mode configured for the file $j" "OK"
-}
-
-print_status "VHDL 2008 mode configured for testbench sources" "OK"
-
-# Set the completion time
-set end_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}]
-
-# Display the start and end time to the screen
-puts $start_time
-puts $end_time
-
-exit
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/hdl/scalp_zynqps_wrapper.vhd b/designs/vivado/scalp_firmware_old/2019.2/src/hdl/scalp_zynqps_wrapper.vhd
deleted file mode 100644
index 46d154c00e3e5958fd8bdce81e7714ed27eb4a9d..0000000000000000000000000000000000000000
--- a/designs/vivado/scalp_firmware_old/2019.2/src/hdl/scalp_zynqps_wrapper.vhd
+++ /dev/null
@@ -1,99 +0,0 @@
-----------------------------------------------------------------------------------
---                                 _             _
---                                | |_  ___ _ __(_)__ _
---                                | ' \/ -_) '_ \ / _` |
---                                |_||_\___| .__/_\__,_|
---                                         |_|
---
-----------------------------------------------------------------------------------
---
--- Company: hepia
--- Author: Joachim Schmidt <joachim.schmidt@hesge.ch>
---
--- Module Name: scalp_zynqps_wrapper - arch
--- Target Device: SCALP xc7z015clg485-2
--- Tool version: 2019.2
--- Description: scalp_zynqps_wrapper
---
--- Last update: 2020-09-07
---
----------------------------------------------------------------------------------
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-entity scalp_zynqps_wrapper is
-
-    port (
-        -- Processor interface
-        FIXED_IO_ps_clk     : inout std_logic;
-        FIXED_IO_ps_porb    : inout std_logic;
-        FIXED_IO_ps_srstb   : inout std_logic;
-        FclkClk0xCO         : out   std_logic;
-        FclkReset0xRO       : out   std_logic;
-        -- DDR interface
-        DDR_addr            : inout std_logic_vector (14 downto 0);
-        DDR_ba              : inout std_logic_vector (2 downto 0);
-        DDR_cas_n           : inout std_logic;
-        DDR_ck_n            : inout std_logic;
-        DDR_ck_p            : inout std_logic;
-        DDR_cke             : inout std_logic;
-        DDR_cs_n            : inout std_logic;
-        DDR_dm              : inout std_logic_vector (3 downto 0);
-        DDR_dq              : inout std_logic_vector (31 downto 0);
-        DDR_dqs_n           : inout std_logic_vector (3 downto 0);
-        DDR_dqs_p           : inout std_logic_vector (3 downto 0);
-        DDR_odt             : inout std_logic;
-        DDR_ras_n           : inout std_logic;
-        DDR_reset_n         : inout std_logic;
-        DDR_we_n            : inout std_logic;
-        FIXED_IO_ddr_vrn    : inout std_logic;
-        FIXED_IO_ddr_vrp    : inout std_logic;
-        -- USB interface
-        Usb0VBusPwrFaultxSI : in    std_logic;
-        -- SPI1 used as uWire master. Clk, Data and LE signals are outputs
-        -- SPI1 inputs are unused. Clk is connected to SCLK, Data to MOSI and LE to SS
-        Spi1MOSIxSO         : out   std_logic;
-        Spi1SSxSO           : out   std_logic;
-        Spi1SclkxCO         : out   std_logic;
-        -- MIO
-        FIXED_IO_mio        : inout std_logic_vector (53 downto 0));
-
-end scalp_zynqps_wrapper;
-
-architecture arch of scalp_zynqps_wrapper is
-
-begin
-
-    ScalpZynqPSxI : entity work.scalp_zynqps
-        port map (
-            DDR_addr            => DDR_addr,
-            DDR_ba              => DDR_ba,
-            DDR_cas_n           => DDR_cas_n,
-            DDR_ck_n            => DDR_ck_n,
-            DDR_ck_p            => DDR_ck_p,
-            DDR_cke             => DDR_cke,
-            DDR_cs_n            => DDR_cs_n,
-            DDR_dm              => DDR_dm,
-            DDR_dq              => DDR_dq,
-            DDR_dqs_n           => DDR_dqs_n,
-            DDR_dqs_p           => DDR_dqs_p,
-            DDR_odt             => DDR_odt,
-            DDR_ras_n           => DDR_ras_n,
-            DDR_reset_n         => DDR_reset_n,
-            DDR_we_n            => DDR_we_n,
-            FIXED_IO_ddr_vrn    => FIXED_IO_ddr_vrn,
-            FIXED_IO_ddr_vrp    => FIXED_IO_ddr_vrp,
-            FIXED_IO_mio        => FIXED_IO_mio,
-            FIXED_IO_ps_clk     => FIXED_IO_ps_clk,
-            FIXED_IO_ps_porb    => FIXED_IO_ps_porb,
-            FIXED_IO_ps_srstb   => FIXED_IO_ps_srstb,
-            FclkClk0xCO         => FclkClk0xCO,
-            FclkReset0xRO(0)    => FclkReset0xRO,
-            Spi1MOSIxSO         => Spi1MOSIxSO,
-            Spi1SSxSO           => Spi1SSxSO,
-            Spi1SclkxCO         => Spi1SclkxCO,
-            Usb0VBusPwrFaultxSI => Usb0VBusPwrFaultxSI);
-
-end arch;
diff --git a/designs/vivado/scalp_firmware_old/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl b/designs/vivado/scalp_firmware_old/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl
deleted file mode 100644
index effef90a7408fb38ef837fab7247ca9e983247c1..0000000000000000000000000000000000000000
--- a/designs/vivado/scalp_firmware_old/2019.2/src/ipi_tcl/scalp_firmware_ipi.tcl
+++ /dev/null
@@ -1,7 +0,0 @@
-set PRJ_ZYNQPS "scalp_zynqps"
-set VIVADO_VERSION "2019.2"
-
-set zynqps_dir "../../../../../../soc/vivado/${PRJ_ZYNQPS}/${VIVADO_VERSION}/src/ipi_tcl"
-set zynqps_ipi "${PRJ_ZYNQPS}_ipi.tcl"
-
-source "${zynqps_dir}/${zynqps_ipi}"
diff --git a/tools/config/scalp_firmware.json b/tools/config/scalp_firmware.json
index 852d07d48e00692ce2bf6cad69890f59087ad33a..1e259d2d86de5d3d8ac3895b321a4c1daf25262b 100644
--- a/tools/config/scalp_firmware.json
+++ b/tools/config/scalp_firmware.json
@@ -28,7 +28,7 @@
             "axi4_pkg"          : "enable"
         },
         "soc" : {
-            "scalp_zynqps" : "true"
+            "scalp_zynqps" : "enable"
         }
     }
 }