diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.gitignore b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.gitignore new file mode 100644 index 0000000000000000000000000000000000000000..63157e9ca98cc44779ebe2900db64a90d4072b14 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.gitignore @@ -0,0 +1,23 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Git ignore file +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Ignore generated project directory +scalp_fast_router_firmware diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/.prompt_colors.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/.prompt_colors.tcl new file mode 100644 index 0000000000000000000000000000000000000000..f67509bd38d493eed91051d64c87d5ac803b5512 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/.prompt_colors.tcl @@ -0,0 +1,47 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Console color print utility +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Try to set a variable with an execution command +# If the command fails, set the variable to an empty string +# cmd - The command to be executed +# return The variable to be set +proc try_setexec {cmd} { + set code [catch { set var [exec {*}$cmd] } ] + if { $code != 0 } { set var "" } + + return ${var} +} + +# Text attributes +set RESET [try_setexec "tput sgr0"] +set BOLD [try_setexec "tput bold"] +set ITALIC [try_setexec "tput sitm"] +set BLINK [try_setexec "tput blink"] +set HIGHL [try_setexec "tput smso"] + +# Text colors +set RED [try_setexec "tput setaf 1"] +set GREEN [try_setexec "tput setaf 2"] +set YELLOW [try_setexec "tput setaf 3"] +set BLUE [try_setexec "tput setaf 4"] +set MAGENTA [try_setexec "tput setaf 5"] +set CYAN [try_setexec "tput setaf 6"] +set WHITE [try_setexec "tput setaf 7"] diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/clean_prj_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/clean_prj_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..4b4a0731fbe06e1c8b9ee3044594f52c9e9384f4 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/clean_prj_scalp_fast_router_firmware.sh @@ -0,0 +1,35 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Cleanup project directory +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Cleanup project directory..." + +PRJ_DIR=.. + +# Clean current directory +rm -rf ${PRJ_DIR}/.Xil/ 2> /dev/null + +# Remove generated project directory +rm -rf ${PRJ_DIR}/scalp_fast_router_firmware/ 2> /dev/null + +echo "> Done" + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..12ccd40b4beb277e0023badc3beb2e6c420adba5 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.sh @@ -0,0 +1,27 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Create Vivado project +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Create Vivado project..." +vivado -nojournal -nolog -mode tcl -source create_prj_scalp_fast_router_firmware.tcl -notrace +echo "> Done" + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.tcl new file mode 100644 index 0000000000000000000000000000000000000000..9d1cbb575de725b167d660738a11ea32d46922e1 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/create_prj_scalp_fast_router_firmware.tcl @@ -0,0 +1,220 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script for re-creating Vivado project 'scalp_fast_router_firmware' +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Include files +source utils.tcl + +set PRJ_DIR ".." +set prj_name "scalp_fast_router_firmware" +set PKG_DIR "${PRJ_DIR}/../../../../../packages" +set SOC_DIR "${PRJ_DIR}/../../../../../soc/" + +# Set project type +set PRJ_TYPE "DESIGN_PRJ_TYPE" + +# Create a variable to store the start time +set start_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}] + +# Set the original project directory path for adding/importing sources in the new project +set src_dir "${PRJ_DIR}/../src" +set ip_dir "${PRJ_DIR}/../../../../../ips/hw" +set periph_dir "${PRJ_DIR}/../../../../../peripherals/hw" +set comp_dir "${ip_dir}/$prj_name" +set comp_src_dir "${comp_dir}/src" +set pkg_src_dir "${PKG_DIR}/hw" +set soc_src_dir "${SOC_DIR}/hw" +print_status "Set directory paths" "OK" + +# Create the project +create_project $prj_name ${PRJ_DIR}/$prj_name -part xc7z015clg485-2 +set_property board_part hepia-cores.ch:scalp_node:part0:0.1 [current_project] +set_property target_language VHDL [current_project] +print_status "Create project" "OK" + +# Map the IP Repository so that custom IP is included +set_property ip_repo_paths [list $ip_dir $periph_dir] [current_fileset] +update_ip_catalog + +#---------------------------------------------------------------- +# Add project sources +#---------------------------------------------------------------- + +# Get HDL source files directory +if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} { + set hdl_src_dir "${src_dir}/hdl" + set sim_src_dir "${src_dir}/sim" +} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} { + # components sources are stored in an external directory + set hdl_src_dir "${comp_src_dir}/hdl" + set sim_src_dir "${comp_src_dir}/sim" +} + +# add HDL source files +set vhdl_src_file_list [findFiles $hdl_src_dir *.vhd] +set verilog_src_file_list [findFiles $hdl_src_dir *.v] +set system_verilog_src_file_list [findFiles $hdl_src_dir *.sv] +set hdl_src_file_list [list {*}$vhdl_src_file_list {*}$verilog_src_file_list {*}$system_verilog_src_file_list] + +if {$hdl_src_file_list != ""} { + add_files -norecurse $hdl_src_file_list +} else { + print_status "No sources to be added" "WARNING" +} + +# Set VHDL version +foreach j $vhdl_src_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" +} +print_status "VHDL 2008 mode configured for project sources" "OK" + +# Add constraint files and IPs source files +if {$PRJ_TYPE == "DESIGN_PRJ_TYPE"} { + # add the constraints file (XDC) + add_files -fileset constrs_1 -norecurse $src_dir/constrs/debug.xdc + set_property is_enabled true [get_files $src_dir/constrs/debug.xdc] + add_files -fileset constrs_1 -norecurse $src_dir/constrs/ibert_constraints.xdc + set_property is_enabled false [get_files $src_dir/constrs/ibert_constraints.xdc] + add_files -fileset constrs_1 -norecurse $src_dir/constrs/timing_constraints.xdc + set_property is_enabled true [get_files $src_dir/constrs/timing_constraints.xdc] + add_files -fileset constrs_1 -norecurse $src_dir/constrs/scalp_firmware.xdc + set_property is_enabled true [get_files $src_dir/constrs/scalp_firmware.xdc] + + # add IPs source files + set vhdl_ips_file_list [findFiles ${ip_dir}/scalp_aurora_phy_rx_fifo/src/hdl *.vhd] + add_files -norecurse $vhdl_ips_file_list + foreach j $vhdl_ips_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + } +set vhdl_ips_file_list [findFiles ${ip_dir}/scalp_router/src/hdl *.vhd] + add_files -norecurse $vhdl_ips_file_list + foreach j $vhdl_ips_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + } +set vhdl_ips_file_list [findFiles ${ip_dir}/scalp_aurora_phy/src/hdl *.vhd] + add_files -norecurse $vhdl_ips_file_list + foreach j $vhdl_ips_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + } + read_ip ${ip_dir}/scalp_aurora_phy_rx_fifo/src/ip_core/axis_data_fifo/axis_data_fifo.xci + read_ip ${ip_dir}/scalp_aurora_phy/src/ip_core/north_channel/north_channel.xci + read_ip ${ip_dir}/scalp_aurora_phy/src/ip_core/south_channel/south_channel.xci + read_ip ${ip_dir}/scalp_aurora_phy/src/ip_core/west_channel/west_channel.xci + read_ip ${ip_dir}/scalp_aurora_phy/src/ip_core/east_channel/east_channel.xci + read_ip ${ip_dir}/scalp_design_aurora_clk/src/ip_core/scalp_aurora_clk/scalp_aurora_clk.xci + +} elseif {$PRJ_TYPE == "COMP_PRJ_TYPE"} { + # add IPs source files + + # add IP-XACT source file + #add_files -norecurse $comp_dir/component.xml +} +print_status "Add project sources" "OK" + +# Set packages libraries if any +#set_property library library_name [get_files $src_dir/hdl/package_name.vhd] +#update_compile_order -fileset sources_1 + +# Create the IP Integrator portion of the design +#create_bd_design "axi_design" +#update_compile_order -fileset sources_1 + +# launch the TCL script to generate the IPI design +source $src_dir/ipi_tcl/${prj_name}_ipi.tcl +print_status "Add IPI design" "OK" + +# Set the top level design +set_property top $prj_name [current_fileset] +update_compile_order -fileset sources_1 + +# Add simulation sources +set vhdl_sim_file_list [findFiles $sim_src_dir *.vhd] +set verilog_sim_file_list [findFiles $sim_src_dir *.v] +set system_verilog_sim_file_list [findFiles $sim_src_dir *.sv] +set hdl_sim_file_list [list {*}$vhdl_sim_file_list {*}$verilog_sim_file_list {*}$system_verilog_sim_file_list] + +if {$hdl_sim_file_list != ""} { + add_files -fileset sim_1 -norecurse $hdl_sim_file_list + update_compile_order -fileset sim_1 + print_status "Add simulation sources" "OK" +} else { + print_status "No simulation sources to be added" "WARNING" +} + +foreach j $vhdl_sim_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" +} +print_status "VHDL 2008 mode configured for simulation sources" "OK" + +# Add packages sources + set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_drp_pkg/src/hdl *.vhd] + add_files -norecurse $vhdl_pkg_file_list + foreach j $vhdl_pkg_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + set_property library xil_defaultlib [get_files $j] + } + set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/aurora_status_pkg/src/hdl *.vhd] + add_files -norecurse $vhdl_pkg_file_list + foreach j $vhdl_pkg_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + set_property library xil_defaultlib [get_files $j] + } + set vhdl_pkg_file_list [findFiles ${PRJ_DIR}/../../../../../packages/hw/axi4_pkg/src/hdl *.vhd] + add_files -norecurse $vhdl_pkg_file_list + foreach j $vhdl_pkg_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + set_property library xil_defaultlib [get_files $j] + } +print_status "Add packages sources" "OK" +print_status "VHDL 2008 mode configured for packages sources" "OK" + +# Add SoC wrapper sources files + set vhdl_soc_file_list [findFiles ${PRJ_DIR}/../../../../../soc/hw/scalp_zynqps/src/hdl *.vhd] + add_files -norecurse $vhdl_soc_file_list + foreach j $vhdl_soc_file_list { + set_property file_type {VHDL 2008} [get_files $j] + print_status "VHDL 2008 mode configured for the file $j" "OK" + set_property is_enabled true [get_files $j] + } +print_status "Add SoC wrapper sources" "OK" +print_status "VHDL 2008 mode configured for SoC wrapper sources" "OK" + +# Set the completion time +set end_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}] + +# Display the start and end time to the screen +puts $start_time +puts $end_time + +exit diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..132b8bbca038a1f597b9105f1bc8496036ce8405 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.sh @@ -0,0 +1,29 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Export the hardware design to SDK +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Export the hardware design to Vitis SDK" + +vivado -nojournal -nolog -mode tcl -source ./export_hw_scalp_fast_router_firmware.tcl -notrace + +echo "> Done" + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.tcl new file mode 100644 index 0000000000000000000000000000000000000000..b302ab6092b554c7e6d26c69957066d714e22f08 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/export_hw_scalp_fast_router_firmware.tcl @@ -0,0 +1,43 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Export the hardware design to Vitis SDK +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +source utils.tcl + +# Project paths +set PRJ_DIR ".." +set SRC_DIR "${PRJ_DIR}/../src" +set EXPORT_DIR "${SRC_DIR}/sw/hw_export" + +# Initialize workspace directories name +set prj_name "scalp_fast_router_firmware" +# Create the export directory if not present +file mkdir ${EXPORT_DIR} +print_status "Initialize workspace directories" "OK" + +# Open the project +open_project -verbose ${PRJ_DIR}/${prj_name}/${prj_name}.xpr +print_status "Open project $prj_name" "OK" + +# Export the hardware including the bitstream +write_hw_platform -fixed -force -include_bit -file ${EXPORT_DIR}/${prj_name}.xsa +print_status "Export hardware to Vitis SDK" "OK" + +exit diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..68183517238b5e40876841fb2e2e01d76e42205b --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.sh @@ -0,0 +1,34 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Generate bitstream file +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +PRJ_DIR=.. + +echo "> Generate bitstream file..." +vivado -nojournal -nolog -mode tcl -source ./gen_bitstream_scalp_fast_router_firmware.tcl -notrace + +echo "> Copy bitstream file in current directory..." +mkdir -p ${PRJ_DIR}/bitstream/ +cp ${PRJ_DIR}/scalp_fast_router_firmware/scalp_fast_router_firmware.runs/impl_1/scalp_fast_router_firmware.bit ${PRJ_DIR}/bitstream/scalp_fast_router_firmware.bit + +echo "> Done" + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.tcl new file mode 100644 index 0000000000000000000000000000000000000000..aad95c89f0bf37d5472218af8f37fcc9465d45cc --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/gen_bitstream_scalp_fast_router_firmware.tcl @@ -0,0 +1,56 @@ +#!/usr/bin/tcl + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script used to generate bitstream file +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +source utils.tcl + +set PRJ_DIR ".." +set prj_name "scalp_fast_router_firmware" + +# Create a variable to store the start time +set start_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}] + +# Open the project +open_project -verbose ${PRJ_DIR}/$prj_name/$prj_name.xpr +update_compile_order -fileset sources_1 +print_status "Open project $prj_name" "OK" + +# Run synthesis +print_status "Run synthesis" "IN_PROGRESS" +launch_runs synth_1 +wait_on_run synth_1 +print_status "Run synthesis" "OK" + +# Run implementation +print_status "Run implementation" "IN_PROGRESS" +launch_runs impl_1 -to_step write_bitstream -jobs 8 +wait_on_run impl_1 +print_status "Run implementation" "OK" + +# Set the completion time +set end_time [clock format [clock seconds] -format {%b. %d, %Y %I:%M:%S %p}] + +# Display the start and end time on the screen +puts $start_time +puts $end_time + +exit diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..3b226b2dea833d4f5c097479ecebe4ca7ee0a3e7 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.sh @@ -0,0 +1,27 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Load bitstream file +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Load bitstream file..." +vivado -nojournal -nolog -mode tcl -source ./load_bitstream_scalp_fast_router_firmware.tcl -notrace +echo "> Done" + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.tcl new file mode 100644 index 0000000000000000000000000000000000000000..35d87b0cbdde356d02c727d5ba7bbff3f74380c3 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/load_bitstream_scalp_fast_router_firmware.tcl @@ -0,0 +1,61 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script used to load FPGA bitstream +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +source utils.tcl + +set PRJ_DIR ".." +set prj_name "scalp_fast_router_firmware" + +# Open the hardware manager and connect to the hardware server +open_hw +print_status "Open hardware manager" "OK" +connect_hw_server -url localhost:3121 +print_status "Connect to hardware server" "OK" + +# Get the hardware target and open it +current_hw_target [get_hw_targets */xilinx_tcf/Digilent/*] +set_property PARAM.FREQUENCY 15000000 [get_hw_targets */xilinx_tcf/Digilent/*] +open_hw_target +print_status "Open hardware target" "OK" + +# Display targets list +set index -1 +set targets [lindex [get_hw_devices]] +puts "Found target(s):" +foreach target $targets { + incr index + puts "$index : $target" +} +puts "Which target do you want to program?" +set sel_target [read stdin 1] + +# Set the program file +set_property PROGRAM.FILE ${PRJ_DIR}/bitstream/$prj_name.bit [lindex [get_hw_devices] $sel_target] +current_hw_device [lindex [get_hw_devices] $sel_target] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] $sel_target] +print_status "Set program file" "OK" + +# Program the device +print_status "Program device" "IN_PROGRESS" +program_hw_device [lindex [get_hw_devices] $sel_target] +print_status "Program device" "OK" + +exit diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/open_prj_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/open_prj_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..72a41ea59dfbdf94514c42e0725b527a95399680 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/open_prj_scalp_fast_router_firmware.sh @@ -0,0 +1,25 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Open Vivado project GUI +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Open Vivado GUI..." +vivado -nojournal -nolog -notrace ../scalp_fast_router_firmware/scalp_fast_router_firmware.xpr diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/utils.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/utils.tcl new file mode 100644 index 0000000000000000000000000000000000000000..30a0b187cf37042c4c3d24827d489321f4833c58 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/.scripts/utils.tcl @@ -0,0 +1,62 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Project management utilities +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# findFiles +# basedir - the directory to start looking in +# pattern - A pattern, as defined by the glob command, that the files must match +proc findFiles { basedir pattern } { + + # Fix the directory name, this ensures the directory name is in the + # native format for the platform and contains a final directory seperator + set basedir [string trimright [file join [file normalize $basedir] { }]] + set fileList {} + + # Look in the current directory for matching files, -type {f r} + # means ony readable normal files are looked at, -nocomplain stops + # an error being thrown if the returned list is empty + foreach fileName [glob -nocomplain -type {f r} -path $basedir $pattern] { + lappend fileList $fileName + } + + # Now look for any sub direcories in the current directory + foreach dirName [glob -nocomplain -type {d r} -path $basedir *] { + # Recusively call the routine on the sub directory and append any + # new files to the results + set subDirList [findFiles $dirName $pattern] + if { [llength $subDirList] > 0 } { + foreach subDirFile $subDirList { + lappend fileList $subDirFile + } + } + } + return $fileList +} + + +# Print a progress status +# str The string describing the current status +# status The status as a string (eg. "OK", "FAILED") +proc print_status {str status} { + set MAX_STR_LENGTH 70 + source .prompt_colors.tcl + puts "${CYAN}>${YELLOW} $str [string repeat " " [expr {$MAX_STR_LENGTH - [string length $str]}]]\[${GREEN}${status}${YELLOW}\]${RESET}" +} + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/setup.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/setup.sh new file mode 100755 index 0000000000000000000000000000000000000000..73089c88f5564c159e5d5a88370d8015e299eeac --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/lin64/setup.sh @@ -0,0 +1,28 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script creating aliases for Vivado project management scripts +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Create aliases +alias create_project='cd .scripts && ./create_prj_scalp_fast_router_firmware.sh && cd ..' +alias clean_project='cd .scripts && ./clean_prj_scalp_fast_router_firmware.sh && cd ..' +alias export_hw='cd .scripts && ./export_hw_scalp_fast_router_firmware.sh && cd ..' +alias gen_bitstream='cd .scripts && ./gen_bitstream_scalp_fast_router_firmware.sh && cd ..' +alias load_bitstream='cd .scripts && ./load_bitstream_scalp_fast_router_firmware.sh && cd ..' +alias open_gui='cd .scripts && ./open_prj_scalp_fast_router_firmware.sh && cd ..' diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/debug.xdc b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/debug.xdc new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/ibert_constraints.xdc b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/ibert_constraints.xdc new file mode 100644 index 0000000000000000000000000000000000000000..602b523f941544701ea24e62603c5fb40558d97e --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/ibert_constraints.xdc @@ -0,0 +1,382 @@ +# Taken from IBERT example design + +## +## Icon Constraints +## +create_clock -name J_CLK -period 30 [get_pins -of_objects [get_cells gen_ibert.ibert_inst/inst/bscan_inst/SERIES7_BSCAN.bscan_inst] -filter {name =~ *DRCK}] +set_clock_groups -group [get_clocks J_CLK] -asynchronous +## +## System clock Divider paramter values +## +set_property CLKFBOUT_MULT_F 8.000 [get_cells gen_ibert.ibert_inst/inst/SYSCLK_DIVIDER.U_GT_MMCM] +set_property DIVCLK_DIVIDE 1 [get_cells gen_ibert.ibert_inst/inst/SYSCLK_DIVIDER.U_GT_MMCM] +set_property CLKIN1_PERIOD 8.0 [get_cells gen_ibert.ibert_inst/inst/SYSCLK_DIVIDER.U_GT_MMCM] +set_property CLKOUT0_DIVIDE_F 10.000 [get_cells gen_ibert.ibert_inst/inst/SYSCLK_DIVIDER.U_GT_MMCM] +## +## Refclk constraints +## +set_clock_groups -group [get_clocks GTP_REF_CLK_* -include_generated_clocks] -asynchronous +# +# +# +## +## TX/RX out clock constraints +## +# GT X0Y0 +create_clock -name Q0_RXCLK0 -period 2.56 [get_pins {gen_ibert.ibert_inst/inst/QUAD[0].u_q/CH[0].u_ch/u_gtpe2_channel/RXOUTCLK}] +set_clock_groups -group [get_clocks Q0_RXCLK0] -asynchronous +create_clock -name Q0_TX0 -period 2.56 [get_pins {gen_ibert.ibert_inst/inst/QUAD[0].u_q/CH[0].u_ch/u_gtpe2_channel/TXOUTCLK}] +set_clock_groups -group [get_clocks Q0_TX0] -asynchronous +# GT X0Y1 +create_clock -name Q0_RXCLK1 -period 2.56 [get_pins {gen_ibert.ibert_inst/inst/QUAD[0].u_q/CH[1].u_ch/u_gtpe2_channel/RXOUTCLK}] +set_clock_groups -group [get_clocks Q0_RXCLK1] -asynchronous +# GT X0Y2 +create_clock -name Q0_RXCLK2 -period 2.56 [get_pins {gen_ibert.ibert_inst/inst/QUAD[0].u_q/CH[2].u_ch/u_gtpe2_channel/RXOUTCLK}] +set_clock_groups -group [get_clocks Q0_RXCLK2] -asynchronous +# GT X0Y3 +create_clock -name Q0_RXCLK3 -period 2.56 [get_pins {gen_ibert.ibert_inst/inst/QUAD[0].u_q/CH[3].u_ch/u_gtpe2_channel/RXOUTCLK}] +set_clock_groups -group [get_clocks Q0_RXCLK3] -asynchronous +## +## Timing constraint +## +set_property CLOCK_DEDICATED_ROUTE FALSE [get_pins gen_ibert.ibert_inst/inst/SYSCLK_DIVIDER.U_GT_MMCM/CLKIN1] +## +## GTPE2 Channel and Common Loc constraints +## +set_property LOC GTPE2_CHANNEL_X0Y0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[0].u_ch/u_gtpe2_channel] +set_property LOC GTPE2_CHANNEL_X0Y1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[1].u_ch/u_gtpe2_channel] +set_property LOC GTPE2_CHANNEL_X0Y2 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[2].u_ch/u_gtpe2_channel] +set_property LOC GTPE2_CHANNEL_X0Y3 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[3].u_ch/u_gtpe2_channel] +set_property LOC GTPE2_COMMON_X0Y0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +## +## BUFH Loc constraints for TX/RX userclks +## +set_property LOC BUFHCE_X1Y0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_clocking/local_txusr.u_txusr] +set_property LOC BUFHCE_X1Y1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_clocking/rx_ind.u_rxusr0] +set_property LOC BUFHCE_X1Y2 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_clocking/rx_ind.u_rxusr1] +set_property LOC BUFHCE_X1Y3 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_clocking/rx_ind.u_rxusr2] +set_property LOC BUFHCE_X1Y4 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_clocking/rx_ind.u_rxusr3] +## +## MGT reference clock BUFFERS location constraints +## + + +set_property LOC IBUFDS_GTE2_X0Y0 [get_cells i_clocks.ibufds_GTP_REF_CLK_0] +set_property LOC IBUFDS_GTE2_X0Y1 [get_cells i_clocks.ibufds_GTP_REF_CLK_1] + +## +## Attribute values for GTPE2 Channel and Common instances +## +## +##remove ASYNC_REG property +## +set_property ASYNC_REG false [get_cells {gen_ibert.ibert_inst/inst/QUAD[*].u_q/CH[*].u_ch/U_CHANNEL_REGS/reg_310/I_EN_STAT_EQ1.U_STAT/xsdb_reg_reg[*]}] +set_property ASYNC_REG false [get_cells {gen_ibert.ibert_inst/inst/QUAD[*].u_q/CH[*].u_ch/U_CHANNEL_REGS/reg_30E/I_EN_STAT_EQ1.U_STAT/xsdb_reg_reg[*]}] +set_property ASYNC_REG false [get_cells {gen_ibert.ibert_inst/inst/QUAD[*].u_q/CH[*].u_ch/U_CHANNEL_REGS/reg_312/I_EN_STAT_EQ1.U_STAT/xsdb_reg_reg[*]}] +set_property ASYNC_REG false [get_cells {gen_ibert.ibert_inst/inst/QUAD[*].u_q/CH[*].u_ch/U_CHANNEL_REGS/reg_314/I_EN_STAT_EQ1.U_STAT/xsdb_reg_reg[*]}] + +set_property ASYNC_REG false [get_cells {gen_ibert.ibert_inst/inst/QUAD[*].u_q/CH[*].u_ch/U_CHANNEL_REGS/reg_306/I_EN_STAT_EQ1.U_STAT/xsdb_reg_reg[*]}] + +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_RD/U_RD_FIFO/SUBCORE_FIFO.xsdb_rdfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg] + +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg] +set_property ASYNC_REG false [get_cells gen_ibert.ibert_inst/inst/UUT_MASTER/U_ICON_INTERFACE/U_CMD6_WR/U_WR_FIFO/SUBCORE_FIFO.xsdb_wrfifo_inst/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg] + +## +## Attribute Values for QUAD[0] - Channel +## + ##------Comma Detection and Alignment--------- +set_property ALIGN_COMMA_DOUBLE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_COMMA_ENABLE 10'b0001111111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_COMMA_WORD 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_MCOMMA_DET "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_MCOMMA_VALUE 10'b1010000011 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_PCOMMA_DET "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ALIGN_PCOMMA_VALUE 10'b0101111100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property DEC_MCOMMA_DETECT "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property DEC_PCOMMA_DETECT "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property DEC_VALID_COMMA_ONLY "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property DMONITOR_CFG 24'h000A00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##--------------Channel Bonding-------------- +set_property CBCC_DATA_SOURCE_SEL "DECODED" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_KEEP_ALIGN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_MAX_SKEW 7 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_LEN 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_1_1 10'b0101111100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_1_2 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_1_3 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_1_4 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_1_ENABLE 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_1 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_2 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_3 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_4 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_ENABLE 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CHAN_BOND_SEQ_2_USE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------Clock Correction------------ +set_property CLK_COR_KEEP_IDLE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_MAX_LAT 9.0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_MIN_LAT 7.0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_PRECEDENCE "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_CORRECT_USE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_REPEAT_WAIT 0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_LEN 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_1_1 10'b0100011100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_1_2 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_1_3 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_1_4 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_1_ENABLE 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_1 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_2 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_3 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_4 10'b0100000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_ENABLE 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CLK_COR_SEQ_2_USE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------Channel PLL---------------------- +set_property RXOUT_DIV 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXOUT_DIV 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------Eyescan-------------- +set_property ES_CONTROL 6'b000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_ERRDET_EN "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_EYE_SCAN_EN "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_HORZ_OFFSET 12'h002 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_PMA_CFG 10'b0000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_PRESCALE 5'b00000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_QUALIFIER 80'h00000000000000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_QUAL_MASK 80'h00000000000000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_SDATA_MASK 80'h00000000000000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ES_VERT_OFFSET 9'b010000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property FTS_DESKEW_SEQ_ENABLE 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property FTS_LANE_DESKEW_CFG 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property FTS_LANE_DESKEW_EN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property GEARBOX_MODE 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property OUTREFCLK_SEL_INV 2'b11 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PCS_PCIE_EN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PCS_RSVD_ATTR 48'h000000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV 32'h00000333 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV2 32'h00002040 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV3 2'b00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV4 4'b0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV5 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV6 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV7 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_BIAS_CFG 16'b0000111100110011 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_PREDRIVER_MODE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------Rx Elastic Buffer and Phase alignment------------- +set_property RXBUF_ADDR_MODE "FAST" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_EIDLE_HI_CNT 4'b1000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_EIDLE_LO_CNT 4'b0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_EN "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_BUFFER_CFG 6'b000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_RESET_ON_CB_CHANGE "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_RESET_ON_COMMAALIGN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_RESET_ON_EIDLE "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_RESET_ON_RATE_CHANGE "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUFRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_THRESH_OVFLW 61 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_THRESH_OVRD "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXBUF_THRESH_UNDFLW 4 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXDLY_CFG 16'h0010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXDLY_LCFG 9'h020 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXDLY_TAP_CFG 16'h0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------RX driver, OOB signalling, Coupling and Eq., CDR------------ +set_property RXCDR_CFG 83'h0001107FE206021041010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDRFREQRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDR_FR_RESET_ON_EIDLE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDR_HOLD_DURING_EIDLE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDR_LOCK_CFG 6'b001001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDR_PH_RESET_ON_EIDLE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXCDRPHRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXOOB_CFG 7'b0000110 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------------RX Interface------------------------- +set_property RX_DATA_WIDTH 16 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_CLK25_DIV 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_CM_SEL 2'b11 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_CM_TRIM 4'b1010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_DDI_SEL 6'b000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_DEBUG_CFG 12'b000000000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##------------RX Decision Feedback Equalizer(DFE)------------- +set_property RX_DEFER_RESET_BUF_EN "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_OS_CFG 13'b0000010000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_DISPERR_SEQ_MATCH "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------------RX Gearbox--------------------------- +set_property RXGEARBOX_EN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXISCANRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_HF_CFG 14'b00001111110000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_HF_CFG2 5'b01010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_HF_CFG3 4'b0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_HOLD_DURING_EIDLE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_INCM_CFG 1'b1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_IPCM_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_LF_CFG 18'b000000001111110000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_LF_CFG2 5'b01010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_OSINT_CFG 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPCSRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPH_CFG 24'hC00002 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPHDLY_CFG 24'h084000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPH_MONITOR_SEL 5'b00000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPMARESET_TIME 5'b00011 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------------PRBS Detection----------------------- +set_property RXPRBS_ERR_LOOPBACK 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_SIG_VALID_DLY 10 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXSLIDE_AUTO_WAIT 7 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXSLIDE_MODE "off" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_XCLK_SEL "RXREC" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------RX Attributes for PCI Express/SATA/SAS---------- +set_property PD_TRANS_TIME_FROM_P2 12'h03c [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PD_TRANS_TIME_NONE_P2 8'h3c [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PD_TRANS_TIME_TO_P2 8'h64 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SAS_MAX_COM 64 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SAS_MIN_COM 36 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_BURST_SEQ_LEN 4'b1111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_BURST_VAL 3'b100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_PLL_CFG VCO_3000MHZ [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_EIDLE_VAL 3'b100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MAX_BURST 8 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MAX_INIT 21 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MAX_WAKE 7 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MIN_BURST 4 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MIN_INIT 12 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SATA_MIN_WAKE 4 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property SHOW_REALIGN_COMMA "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TERM_RCAL_CFG 15'b100001000010000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TERM_RCAL_OVRD 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TRANS_TIME_RATE 8'h0E [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TST_RSV 32'h00000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##------------TX Buffering and Phase Alignment---------------- +set_property TXBUF_EN "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXBUF_RESET_ON_RATE_CHANGE "TRUE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------------TX Interface------------------------- +set_property TX_DATA_WIDTH 16 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_DEEMPH0 6'b000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_DEEMPH1 6'b000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXDLY_CFG 16'h0010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXDLY_LCFG 9'h020 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXDLY_TAP_CFG 16'h0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_CLK25_DIV 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##--------------TX Driver and OOB Signalling------------------ +set_property TX_EIDLE_ASSERT_DELAY 3'b110 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_EIDLE_DEASSERT_DELAY 3'b100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_LOOPBACK_DRIVE_HIZ "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MAINCURSOR_SEL 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_DRIVE_MODE "DIRECT" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##-----------------------TX Gearbox--------------------------- +set_property TXGEARBOX_EN "FALSE" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##----------------TX Attributes for PCI Express--------------- +set_property TX_MARGIN_FULL_0 7'b1001110 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_FULL_1 7'b1001001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_FULL_2 7'b1000101 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_FULL_3 7'b1000010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_FULL_4 7'b1000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_LOW_0 7'b1000110 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_LOW_1 7'b1000100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_LOW_2 7'b1000010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_LOW_3 7'b1000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_MARGIN_LOW_4 7'b1000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPCSRESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPH_CFG 16'h0400 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPHDLY_CFG 24'h084000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPH_MONITOR_SEL 5'b00000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPMARESET_TIME 5'b00001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_RXDETECT_CFG 14'h1832 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_RXDETECT_REF 3'b100 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_XCLK_SEL "TXOUT" [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property UCODEER_CLR 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + ##---------------- JTAG Attributes --------------- +set_property ACJTAG_DEBUG_MODE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ACJTAG_MODE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ACJTAG_RESET 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property ADAPT_CFG0 20'h00000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPMRESET_TIME 7'b0001111 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_BIAS_STARTUP_DISABLE 1'b1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_CFG 4'b0110 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_CFG1 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_CM_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_GC_CFG 9'b101110010 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXLPM_GC_CFG2 3'b001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG 43'h49000040E80 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG2 7'b0100000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG3 7'b0100000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG4 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG5 2'h0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property CFOK_CFG6 4'b0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- EYESCAN --------------- +set_property ES_CLK_PHASE_SEL 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_RSV5 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- RX Phase Interpolator --------------- +set_property RXPI_CFG0 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPI_CFG1 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXPI_CFG2 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- TX Phase Interpolator --------------- +set_property TXPI_CFG0 2'b00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_CFG1 2'b00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_CFG2 2'b00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_CFG3 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_CFG4 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_CFG5 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_GREY_SEL 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_INVSTROBE_SEL 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_PPMCLK_SEL TXUSRCLK2 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_PPM_CFG 8'h00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXPI_SYNFREQ_PPM 3'b000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property USE_PCS_CLK_PHASE_SEL 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- LOOPBACK --------------- +set_property LOOPBACK_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- OOB Signalling --------------- +set_property RXOOB_CLK_CFG PMA [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXOSCALRESET_TIME 5'b00011 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXOSCALRESET_TIMEOUT 5'b00000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXOOB_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + +##---------------- PMA Attributes --------------- +set_property CLK_COMMON_SWING 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RX_CLKMUX_EN 1'b1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TX_CLKMUX_EN 1'b1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property PMA_LOOPBACK_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + +##---------------- RX SYNC --------------- +set_property RXSYNC_MULTILANE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXSYNC_OVRD 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property RXSYNC_SKIP_DA 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + + ##---------------- TX SYNC --------------- +set_property TXSYNC_MULTILANE 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXSYNC_OVRD 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] +set_property TXSYNC_SKIP_DA 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/CH[*].u_ch/u_gtpe2_channel] + +## +## Attribute Values for QUAD[0] - Common +## +set_property BIAS_CFG 64'h0000000000050001 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property COMMON_CFG 32'h00000000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_CFG 27'h01F0319 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_CFG 27'h01F0319 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_DMON_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_DMON_CFG 1'b0 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL_CLKOUT_CFG 8'h00 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_INIT_CFG 24'h00001E [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_INIT_CFG 24'h00001E [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_LOCK_CFG 9'h1E8 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_LOCK_CFG 9'h1E8 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_FBDIV 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_FBDIV 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_FBDIV_45 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_FBDIV_45 5 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL0_REFCLK_DIV 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property PLL1_REFCLK_DIV 1 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property RSVD_ATTR0 16'h0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] +set_property RSVD_ATTR1 16'h0000 [get_cells gen_ibert.ibert_inst/inst/QUAD[0].u_q*/u_common/u_gtpe2_common] diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/scalp_firmware.xdc b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/scalp_firmware.xdc new file mode 100644 index 0000000000000000000000000000000000000000..1e482d7947aa0eab4c9d29e78c3e45bf26b140fa --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/scalp_firmware.xdc @@ -0,0 +1,260 @@ +############################################################################ +# Programmable Logic placement constraints # +############################################################################ + +##### USB interface (bank 13) ##### +# USB_VBUS_PWRFAULT_i +set_property PACKAGE_PIN AA19 [get_ports UsbVbusPwrFaultxSI] +set_property IOSTANDARD LVCMOS25 [get_ports UsbVbusPwrFaultxSI] + +##### PLL interface (banks 35 and 34) ##### +# PLL_2V5_CLKuWire_o +set_property PACKAGE_PIN G8 [get_ports Pll2V5ClkuWirexCO] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5ClkuWirexCO] +# PLL_2V5_DATAuWire_o +set_property PACKAGE_PIN G7 [get_ports Pll2V5DatauWirexSO] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5DatauWirexSO] +# PLL_2V5_LEuWire_o +set_property PACKAGE_PIN G6 [get_ports Pll2V5LEuWirexSO] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5LEuWirexSO] +# PLL_2V5_GOE_o +set_property PACKAGE_PIN F6 [get_ports Pll2V5GOExSO] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5GOExSO] +# PLL_2V5_LD_i +set_property PACKAGE_PIN H6 [get_ports Pll2V5LDxSI] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5LDxSI] +# PLL_2V5_SYNC_n_o +set_property PACKAGE_PIN H5 [get_ports Pll2V5SyncxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5SyncxSO] +# PLL_2V5_CLKIN0_LOS_i (bank 34) +set_property PACKAGE_PIN J3 [get_ports Pll2V5ClkIn0LOSxSI] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5ClkIn0LOSxSI] +# PLL_2V5_CLKIN1_LOS_i (bank 34) +set_property PACKAGE_PIN K2 [get_ports Pll2V5ClkIn1LOSxSI] +set_property IOSTANDARD LVCMOS25 [get_ports Pll2V5ClkIn1LOSxSI] + +##### GTP interfaces (bank 112) ##### +set_property PACKAGE_PIN U9 [get_ports GTPRefClk0PxCI] +set_property PACKAGE_PIN V9 [get_ports GTPRefClk0NxCI] +#set_property PACKAGE_PIN "U5" [get_ports "GTPRefClk1PxCI"] +#set_property PACKAGE_PIN "V5" [get_ports "GTPRefClk1NxCI"] +set_property PACKAGE_PIN Y8 [get_ports GTPFromNorthNxSI] +set_property PACKAGE_PIN W8 [get_ports GTPFromNorthPxSI] +set_property PACKAGE_PIN Y4 [get_ports GTPToNorthNxSO] +set_property PACKAGE_PIN W4 [get_ports GTPToNorthPxSO] +set_property PACKAGE_PIN AB7 [get_ports GTPFromSouthNxSI] +set_property PACKAGE_PIN AA7 [get_ports GTPFromSouthPxSI] +set_property PACKAGE_PIN AB3 [get_ports GTPToSouthNxSO] +set_property PACKAGE_PIN AA3 [get_ports GTPToSouthPxSO] +set_property PACKAGE_PIN AB9 [get_ports GTPFromEastNxSI] +set_property PACKAGE_PIN AA9 [get_ports GTPFromEastPxSI] +set_property PACKAGE_PIN AB5 [get_ports GTPToEastNxSO] +set_property PACKAGE_PIN AA5 [get_ports GTPToEastPxSO] +set_property PACKAGE_PIN Y6 [get_ports GTPFromWestNxSI] +set_property PACKAGE_PIN W6 [get_ports GTPFromWestPxSI] +set_property PACKAGE_PIN Y2 [get_ports GTPToWestNxSO] +set_property PACKAGE_PIN W2 [get_ports GTPToWestPxSO] + +##### LVDS links towards edge connectors ##### +# North (bank 35) +#set_property PACKAGE_PIN "E8" [get_ports "LVDS2V5North7PxSIO"] +#set_property PACKAGE_PIN "D8" [get_ports "LVDS2V5North7NxSIO"] +#set_property PACKAGE_PIN "D7" [get_ports "LVDS2V5North6PxSIO"] +#set_property PACKAGE_PIN "D6" [get_ports "LVDS2V5North6NxSIO"] +#set_property PACKAGE_PIN "C8" [get_ports "LVDS2V5North5PxSIO"] +#set_property PACKAGE_PIN "B8" [get_ports "LVDS2V5North5NxSIO"] +#set_property PACKAGE_PIN "B7" [get_ports "LVDS2V5North4PxSIO"] +#set_property PACKAGE_PIN "B6" [get_ports "LVDS2V5North4NxSIO"] +#set_property PACKAGE_PIN "A7" [get_ports "LVDS2V5North3PxSIO"] +#set_property PACKAGE_PIN "A6" [get_ports "LVDS2V5North3NxSIO"] +#set_property PACKAGE_PIN "A5" [get_ports "LVDS2V5North2PxSIO"] +#set_property PACKAGE_PIN "A4" [get_ports "LVDS2V5North2NxSIO"] +#set_property PACKAGE_PIN "B2" [get_ports "LVDS2V5North1PxSIO"] +#set_property PACKAGE_PIN "B1" [get_ports "LVDS2V5North1NxSIO"] +#set_property PACKAGE_PIN "A2" [get_ports "LVDS2V5North0PxSIO"] +#set_property PACKAGE_PIN "A1" [get_ports "LVDS2V5North0NxSIO"] +# South (bank 13) +#set_property PACKAGE_PIN "V15" [get_ports "LVDS2V5South7PxSIO"] +#set_property PACKAGE_PIN "W15" [get_ports "LVDS2V5South7NxSIO"] +#set_property PACKAGE_PIN "AB13" [get_ports "LVDS2V5South6PxSIO"] +#set_property PACKAGE_PIN "AB14" [get_ports "LVDS2V5South6NxSIO"] +#set_property PACKAGE_PIN "V13" [get_ports "LVDS2V5South5PxSIO"] +#set_property PACKAGE_PIN "V14" [get_ports "LVDS2V5South5NxSIO"] +#set_property PACKAGE_PIN "Y12" [get_ports "LVDS2V5South4PxSIO"] +#set_property PACKAGE_PIN "Y13" [get_ports "LVDS2V5South4NxSIO"] +#set_property PACKAGE_PIN "AA12" [get_ports "LVDS2V5South3PxSIO"] +#set_property PACKAGE_PIN "AB12" [get_ports "LVDS2V5South3NxSIO"] +#set_property PACKAGE_PIN "W12" [get_ports "LVDS2V5South2PxSIO"] +#set_property PACKAGE_PIN "W13" [get_ports "LVDS2V5South2NxSIO"] +#set_property PACKAGE_PIN "AA11" [get_ports "LVDS2V5South1PxSIO"] +#set_property PACKAGE_PIN "AB11" [get_ports "LVDS2V5South1NxSIO"] +#set_property PACKAGE_PIN "V11" [get_ports "LVDS2V5South0PxSIO"] +#set_property PACKAGE_PIN "W11" [get_ports "LVDS2V5South0NxSIO"] +# East (bank 13) +#set_property PACKAGE_PIN "V16" [get_ports "LVDS2V5East7PxSIO"] +#set_property PACKAGE_PIN "W16" [get_ports "LVDS2V5East7NxSIO"] +#set_property PACKAGE_PIN "W17" [get_ports "LVDS2V5East6PxSIO"] +#set_property PACKAGE_PIN "Y17" [get_ports "LVDS2V5East6NxSIO"] +#set_property PACKAGE_PIN "U13" [get_ports "LVDS2V5East5PxSIO"] +#set_property PACKAGE_PIN "U14" [get_ports "LVDS2V5East5NxSIO"] +#set_property PACKAGE_PIN "V18" [get_ports "LVDS2V5East4PxSIO"] +#set_property PACKAGE_PIN "W18" [get_ports "LVDS2V5East4NxSIO"] +#set_property PACKAGE_PIN "U11" [get_ports "LVDS2V5East3PxSIO"] +#set_property PACKAGE_PIN "U12" [get_ports "LVDS2V5East3NxSIO"] +#set_property PACKAGE_PIN "U19" [get_ports "LVDS2V5East2PxSIO"] +#set_property PACKAGE_PIN "V19" [get_ports "LVDS2V5East2NxSIO"] +#set_property PACKAGE_PIN "R17" [get_ports "LVDS2V5East1PxSIO"] +#set_property PACKAGE_PIN "T17" [get_ports "LVDS2V5East1NxSIO"] +#set_property PACKAGE_PIN "U17" [get_ports "LVDS2V5East0PxSIO"] +#set_property PACKAGE_PIN "U18" [get_ports "LVDS2V5East0NxSIO"] +# West (bank 35) +#set_property PACKAGE_PIN "H4" [get_ports "LVDS2V5West7PxSIO"] +#set_property PACKAGE_PIN "H3" [get_ports "LVDS2V5West7NxSIO"] +#set_property PACKAGE_PIN "H1" [get_ports "LVDS2V5West6PxSIO"] +#set_property PACKAGE_PIN "G1" [get_ports "LVDS2V5West6NxSIO"] +#set_property PACKAGE_PIN "G3" [get_ports "LVDS2V5West5PxSIO"] +#set_property PACKAGE_PIN "G2" [get_ports "LVDS2V5West5NxSIO"] +#set_property PACKAGE_PIN "F2" [get_ports "LVDS2V5West4PxSIO"] +#set_property PACKAGE_PIN "F1" [get_ports "LVDS2V5West4NxSIO"] +#set_property PACKAGE_PIN "G4" [get_ports "LVDS2V5West3PxSIO"] +#set_property PACKAGE_PIN "F4" [get_ports "LVDS2V5West3NxSIO"] +#set_property PACKAGE_PIN "E2" [get_ports "LVDS2V5West2PxSIO"] +#set_property PACKAGE_PIN "D2" [get_ports "LVDS2V5West2NxSIO"] +#set_property PACKAGE_PIN "E4" [get_ports "LVDS2V5West1PxSIO"] +#set_property PACKAGE_PIN "E3" [get_ports "LVDS2V5West1NxSIO"] +#set_property PACKAGE_PIN "D1" [get_ports "LVDS2V5West0PxSIO"] +#set_property PACKAGE_PIN "C1" [get_ports "LVDS2V5West0NxSIO"] + +##### LVDS links towards top-bottom connectors ##### +# Top (bank 34) +#set_property PACKAGE_PIN "J8" [get_ports "LVDS2V5Top7PxSIO"] +#set_property PACKAGE_PIN "K8" [get_ports "LVDS2V5Top7NxSIO"] +#set_property PACKAGE_PIN "K7" [get_ports "LVDS2V5Top6PxSIO"] +#set_property PACKAGE_PIN "L7" [get_ports "LVDS2V5Top6NxSIO"] +#set_property PACKAGE_PIN "N8" [get_ports "LVDS2V5Top5PxSIO"] +#set_property PACKAGE_PIN "P8" [get_ports "LVDS2V5Top5NxSIO"] +#set_property PACKAGE_PIN "M8" [get_ports "LVDS2V5Top4PxSIO"] +#set_property PACKAGE_PIN "M7" [get_ports "LVDS2V5Top4NxSIO"] +#set_property PACKAGE_PIN "L6" [get_ports "LVDS2V5Top3PxSIO"] +#set_property PACKAGE_PIN "M6" [get_ports "LVDS2V5Top3NxSIO"] +#set_property PACKAGE_PIN "J7" [get_ports "LVDS2V5Top2PxSIO"] +#set_property PACKAGE_PIN "J6" [get_ports "LVDS2V5Top2NxSIO"] +#set_property PACKAGE_PIN "J5" [get_ports "LVDS2V5Top1PxSIO"] +#set_property PACKAGE_PIN "K5" [get_ports "LVDS2V5Top1NxSIO"] +#set_property PACKAGE_PIN "J2" [get_ports "LVDS2V5Top0PxSIO"] +#set_property PACKAGE_PIN "J1" [get_ports "LVDS2V5Top0NxSIO"] +# Bottom (bank 34) +#set_property PACKAGE_PIN "N6" [get_ports "LVDS2V5Bottom7PxSIO"] +#set_property PACKAGE_PIN "N5" [get_ports "LVDS2V5Bottom7NxSIO"] +#set_property PACKAGE_PIN "P6" [get_ports "LVDS2V5Bottom6PxSIO"] +#set_property PACKAGE_PIN "P5" [get_ports "LVDS2V5Bottom6NxSIO"] +#set_property PACKAGE_PIN "R5" [get_ports "LVDS2V5Bottom5PxSIO"] +#set_property PACKAGE_PIN "R4" [get_ports "LVDS2V5Bottom5NxSIO"] +#set_property PACKAGE_PIN "R3" [get_ports "LVDS2V5Bottom4PxSIO"] +#set_property PACKAGE_PIN "R2" [get_ports "LVDS2V5Bottom4NxSIO"] +#set_property PACKAGE_PIN "P3" [get_ports "LVDS2V5Bottom3PxSIO"] +#set_property PACKAGE_PIN "P2" [get_ports "LVDS2V5Bottom3NxSIO"] +#set_property PACKAGE_PIN "N1" [get_ports "LVDS2V5Bottom2PxSIO"] +#set_property PACKAGE_PIN "P1" [get_ports "LVDS2V5Bottom2NxSIO"] +#set_property PACKAGE_PIN "N4" [get_ports "LVDS2V5Bottom1PxSIO"] +#set_property PACKAGE_PIN "N3" [get_ports "LVDS2V5Bottom1NxSIO"] +#set_property PACKAGE_PIN "M2" [get_ports "LVDS2V5Bottom0PxSIO"] +#set_property PACKAGE_PIN "M1" [get_ports "LVDS2V5Bottom0NxSIO"] + +##### RGB LEDs (banks 34 and 13) ##### +# LED1_2V5_R_o (bank 34) +set_property PACKAGE_PIN L2 [get_ports Led12V5RxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led12V5RxSO] +# LED1_2V5_G_o (bank 34) +set_property PACKAGE_PIN L1 [get_ports Led12V5GxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led12V5GxSO] +# LED1_2V5_B_o (bank 34) +set_property PACKAGE_PIN R8 [get_ports Led12V5BxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led12V5BxSO] +# LED2_2V5_R_o (bank 13) +set_property PACKAGE_PIN T16 [get_ports Led22V5RxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led22V5RxSO] +# LED2_2V5_G_o (bank 13) +set_property PACKAGE_PIN U16 [get_ports Led22V5GxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led22V5GxSO] +# LED2_2V5_B_o (bank 13) +set_property PACKAGE_PIN AA20 [get_ports Led22V5BxSO] +set_property IOSTANDARD LVCMOS25 [get_ports Led22V5BxSO] + +##### Self reset (bank 34) ##### +set_property PACKAGE_PIN H8 [get_ports SelfRstxRNO] +set_property IOSTANDARD LVCMOS25 [get_ports SelfRstxRNO] + +##### Clock dedicated pins (Multi-region) ##### +# Bank 35 +#set_property PACKAGE_PIN "D5" [get_ports "PLLClk2V5LocalPxCI"] +#set_property PACKAGE_PIN "C4" [get_ports "PLLClk2V5LocalNxCI"] +#set_property PACKAGE_PIN "B4" [get_ports "PLLClk2V5NorthPxCI"] +#set_property PACKAGE_PIN "B3" [get_ports "PLLClk2V5NorthNxCI"] +# Bank 34 +#set_property PACKAGE_PIN "T2" [get_ports "PLLClk2V5TopxCI"] +#set_property PACKAGE_PIN "L5" [get_ports "PLLClk2V5BottomxCI"] +# Bank 13 +#set_property PACKAGE_PIN "Y14" [get_ports "PLLClk2V5SouthPxCI"] +#set_property PACKAGE_PIN "Y15" [get_ports "PLLClk2V5SouthNxCI"] +#set_property PACKAGE_PIN "Y18" [get_ports "Clk2V5RecoveryPxCO"] +#set_property PACKAGE_PIN "Y19" [get_ports "Clk2V5RecoveryNxCO"] + +##### Clock dedicated pins (Single-region) ##### +# Bank 35 +#set_property PACKAGE_PIN "C6" [get_ports "Clk2V5NorthPxCI"] +#set_property PACKAGE_PIN "C5" [get_ports "Clk2V5NorthNxCI"] +#set_property PACKAGE_PIN "D3" [get_ports "Clk2V5WestPxCI"] +#set_property PACKAGE_PIN "C3" [get_ports "Clk2V5WestNxCI"] +# Bank 34 +#set_property PACKAGE_PIN "K4" [get_ports "Clk2V5TopPxCI"] +#set_property PACKAGE_PIN "K3" [get_ports "Clk2V5TopNxCI"] +#set_property PACKAGE_PIN "U2" [get_ports "Clk2V5BottomPxCI"] +#set_property PACKAGE_PIN "U1" [get_ports "Clk2V5BottomNxCI"] +# Bank 13 +#set_property PACKAGE_PIN "AA14" [get_ports "Clk2V5SouthPxCI"] +#set_property PACKAGE_PIN "AA15" [get_ports "Clk2V5SouthNxCI"] +#set_property PACKAGE_PIN "AA16" [get_ports "Clk2V5EastPxCI"] +#set_property PACKAGE_PIN "AA17" [get_ports "Clk2V5EastNxCI"] + +##### Clock outputs ##### +## Bank 35 +#set_property PACKAGE_PIN "F7" [get_ports "Clk2V5NorthPxCO"] +#set_property PACKAGE_PIN "E7" [get_ports "Clk2V5NorthNxCO"] +#set_property PACKAGE_PIN "F5" [get_ports "Clk2V5WestPxCO"] +#set_property PACKAGE_PIN "E5" [get_ports "Clk2V5WestNxCO"] +# Bank 34 +#set_property PACKAGE_PIN "P7" [get_ports "Clk2V5TopPxCO"] +#set_property PACKAGE_PIN "R7" [get_ports "Clk2V5TopNxCO"] +#set_property PACKAGE_PIN "M4" [get_ports "Clk2V5BottomPxCO"] +#set_property PACKAGE_PIN "M3" [get_ports "Clk2V5BottomNxCO"] +# Bank 13 +#set_property PACKAGE_PIN "AB16" [get_ports "Clk2V5SouthPxCO"] +#set_property PACKAGE_PIN "AB17" [get_ports "Clk2V5SouthNxCO"] +#set_property PACKAGE_PIN "AB21" [get_ports "Clk2V5EastPxCO"] +#set_property PACKAGE_PIN "AB22" [get_ports "Clk2V5EastNxCO"] + +############################################################################ +# Other constraints # +############################################################################ + +##### Operating conditions (for XPE report) ##### +# Extended grade (as for -2 speed grade) and maximum consumption estimation +set_operating_conditions -grade extended -process maximum +# 4'' by 4'' PCB, no heatsink, no air flow +set_operating_conditions -airflow 0 -heatsink none -board small + + + + + + + + + + + + + + + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/timing_constraints.xdc b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/timing_constraints.xdc new file mode 100644 index 0000000000000000000000000000000000000000..e5c8cd817933767c76d8e770f2ade2b50b54e629 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/constrs/timing_constraints.xdc @@ -0,0 +1,40 @@ +############################################################################ +# Timing constraints # +############################################################################ + +##### PS_CLK (125 MHz) ##### +create_clock -period 8.000 -waveform {0.000 4.000} [get_ports PSClkxCIO] + +##### GTP reference clocks (125 MHz) ##### +create_clock -period 8.000 -waveform {0.000 4.000} [get_nets GTPRefClk0PxCI] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets GTPRefClk1xC] + +##### Clocks from PLLs (125 MHz) ##### +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {PLL_Clk_in_Local}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {PLL_Clk_in_North}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {PLL_Clk_in_South}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {PLL_Clk_in_Top}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {PLL_Clk_in_Bottom}] + +##### Clocks from neighbours (125 MHz) ##### +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_North}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_South}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_East}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_West}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_Top}] +#create_clock -period 8.000 -waveform {0.000 4.000} [get_nets {Clk_in_Bottom}] + + + + + + + + + + + + + + + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/reset_delay_gen.vhd b/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/reset_delay_gen.vhd new file mode 100644 index 0000000000000000000000000000000000000000..573ada50011993dde0c31c9609c9aee94f684c5b --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/reset_delay_gen.vhd @@ -0,0 +1,68 @@ +---------------------------------------------------------------------------------- +-- _ _ +-- | |_ ___ _ __(_)__ _ +-- | ' \/ -_) '_ \ / _` | +-- |_||_\___| .__/_\__,_| +-- |_| +-- +---------------------------------------------------------------------------------- +-- +-- Company: hepia +-- Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +-- +-- Module Name: reset_delay_gen - behavioral +-- Target Device: SCALP xc7z015clg485-2 +-- Tool version: 2020.2 +-- Description: Reset Delay Generator +-- +-- Last update: 2020-10-12 +-- +--------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_misc.all; + +library UNISIM; +use UNISIM.VCOMPONENTS.all; + +entity reset_delay_gen is + + generic ( + C_TICKS : integer := 10); + + port ( + ClkxCI : in std_ulogic; + PllLockedxSI : in std_ulogic; + ResetxRI : in std_ulogic; + ResetDelayedxRO : out std_ulogic); + +end entity reset_delay_gen; + +architecture behavioral of reset_delay_gen is + + -- Signals + signal ResetDelayxRD : std_ulogic_vector((C_TICKS - 1) downto 0) := (others => '1'); + +begin -- architecture behavioral + + -- Asynchronous statements + + ResetDelayedxAS : ResetDelayedxRO <= ResetDelayxRD(C_TICKS - 1); + + -- Synchronous statements + + ResetDelayGenxP : process (ClkxCI) is + begin -- process ResetDelayGenxP + if rising_edge(ClkxCI) then + if PllLockedxSI = '0' then + ResetDelayxRD <= (others => '1'); + elsif PllLockedxSI = '1' then + ResetDelayxRD <= ResetDelayxRD((C_TICKS - 2) downto 0) & ResetxRI; + end if; + end if; + end process ResetDelayGenxP; + +end architecture behavioral; diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/scalp_fast_router_firmware.vhd b/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/scalp_fast_router_firmware.vhd new file mode 100644 index 0000000000000000000000000000000000000000..020fc38ffa0ac43c405925badb5793adf34d19d5 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/hdl/scalp_fast_router_firmware.vhd @@ -0,0 +1,1053 @@ +---------------------------------------------------------------------------------- +-- _ _ +-- | |_ ___ _ __(_)__ _ +-- | ' \/ -_) '_ \ / _` | +-- |_||_\___| .__/_\__,_| +-- |_| +-- +---------------------------------------------------------------------------------- +-- +-- Company: hepia +-- Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +-- +-- Module Name: scalp_fast_router_firmware - arch +-- Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +-- Tool version: 2020.2 +-- Description: scalp_fast_router_firmware +-- +-- Last update: 2021-09-20 +-- +--------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +-- Aurora packages +use work.aurora_status_pkg.all; +use work.aurora_drp_pkg.all; +-- Axi4 packages +use work.axi4_pkg.all; +-- Scalp +use work.scalp_misc.all; +use work.scalp_utility.all; + +library unisim; +use unisim.vcomponents.all; + +entity scalp_fast_router_firmware is + + generic ( + C_USE_IBERT : boolean := false; + C_DEBUG_MODE : boolean := false; + C_RX_FIFO_MODE : boolean := true; + C_RX_FIFO_CTRL_TLAST : boolean := true; + C_SCALP_NUMBER_OF_INTERFACE : integer range 0 to 255 := 7; + C_SCALP_SCHEDULER_STRATEGY : string := "RR"); + + port ( + ----------------------------------------------------------------------- + -- Names defined and not described in the constraint file. + ----------------------------------------------------------------------- + -- Zynq FIXED_IO + PSClkxCIO : inout std_logic; + PSSRstxRNIO : inout std_logic; + PSPorxSNIO : inout std_logic; + -- DDR interface + DDRClkNxCIO : inout std_logic; + DDRClkPxCIO : inout std_logic; + DDRDRstxRNIO : inout std_logic; + DDRCasNxSIO : inout std_logic; + DDRCkexSIO : inout std_logic; + DDRCsNxSIO : inout std_logic; + DDROdtxSIO : inout std_logic; + DDRRasNxSIO : inout std_logic; + DDRWexSNIO : inout std_logic; + DDRBankAddrxDIO : inout std_logic_vector(2 downto 0); + DDRAddrxDIO : inout std_logic_vector(14 downto 0); + DDRVrNxSIO : inout std_logic; + DDRVrPxSIO : inout std_logic; + DDRDmxDIO : inout std_logic_vector(3 downto 0); + DDRDqxDIO : inout std_logic_vector(31 downto 0); + DDRDqsNxDIO : inout std_logic_vector(3 downto 0); + DDRDqsPxDIO : inout std_logic_vector(3 downto 0); + -- MIO Interface + MIOxDIO : inout std_logic_vector(53 downto 0); + ----------------------------------------------------------------------- + -- USB signals + UsbVbusPwrFaultxSI : in std_logic; + -- PLL interface + Pll2V5ClkuWirexCO : out std_logic; -- Clock (from SPI1_SCLK) + Pll2V5DatauWirexSO : out std_logic; -- Data (from SPI1_MOSI) + Pll2V5LEuWirexSO : out std_logic; -- Latch enable (from SPI1_SS) + Pll2V5GOExSO : out std_logic; -- Global Output Enable + Pll2V5LDxSI : in std_logic; -- Lock Detect + Pll2V5SyncxSO : out std_logic; -- Sync + Pll2V5ClkIn0LOSxSI : in std_logic; -- FPGA clock Loss of Sync + Pll2V5ClkIn1LOSxSI : in std_logic; -- External oscillator Loss of Sync + -- GTP interfaces + -- Clocks + GTPRefClk0PxCI : in std_logic; + GTPRefClk0NxCI : in std_logic; + GTPRefClk1PxCI : in std_logic; + GTPRefClk1NxCI : in std_logic; + -- North + GTPFromNorthPxSI : in std_logic; + GTPFromNorthNxSI : in std_logic; + GTPToNorthPxSO : out std_logic; + GTPToNorthNxSO : out std_logic; + -- East + GTPFromEastPxSI : in std_logic; + GTPFromEastNxSI : in std_logic; + GTPToEastPxSO : out std_logic; + GTPToEastNxSO : out std_logic; + -- South + GTPFromSouthPxSI : in std_logic; + GTPFromSouthNxSI : in std_logic; + GTPToSouthPxSO : out std_logic; + GTPToSouthNxSO : out std_logic; + -- West + GTPFromWestPxSI : in std_logic; + GTPFromWestNxSI : in std_logic; + GTPToWestPxSO : out std_logic; + GTPToWestNxSO : out std_logic; + -- LVDS links towards edge connectors + -- North + -- LVDS2V5North0PxSIO : inout std_logic; + -- LVDS2V5North0NxSIO : inout std_logic; + -- LVDS2V5North1PxSIO : inout std_logic; + -- LVDS2V5North1NxSIO : inout std_logic; + -- LVDS2V5North2PxSIO : inout std_logic; + -- LVDS2V5North2NxSIO : inout std_logic; + -- LVDS2V5North3PxSIO : inout std_logic; + -- LVDS2V5North3NxSIO : inout std_logic; + -- LVDS2V5North4PxSIO : inout std_logic; + -- LVDS2V5North4NxSIO : inout std_logic; + -- LVDS2V5North5PxSIO : inout std_logic; + -- LVDS2V5North5NxSIO : inout std_logic; + -- LVDS2V5North6PxSIO : inout std_logic; + -- LVDS2V5North6NxSIO : inout std_logic; + -- LVDS2V5North7PxSIO : inout std_logic; + -- LVDS2V5North7NxSIO : inout std_logic; + -- South + -- LVDS2V5South0PxSIO : inout std_logic; + -- LVDS2V5South0NxSIO : inout std_logic; + -- LVDS2V5South1PxSIO : inout std_logic; + -- LVDS2V5South1NxSIO : inout std_logic; + -- LVDS2V5South2PxSIO : inout std_logic; + -- LVDS2V5South2NxSIO : inout std_logic; + -- LVDS2V5South3PxSIO : inout std_logic; + -- LVDS2V5South3NxSIO : inout std_logic; + -- LVDS2V5South4PxSIO : inout std_logic; + -- LVDS2V5South4NxSIO : inout std_logic; + -- LVDS2V5South5PxSIO : inout std_logic; + -- LVDS2V5South5NxSIO : inout std_logic; + -- LVDS2V5South6PxSIO : inout std_logic; + -- LVDS2V5South6NxSIO : inout std_logic; + -- LVDS2V5South7PxSIO : inout std_logic; + -- LVDS2V5South7NxSIO : inout std_logic; + -- East + -- LVDS2V5East0PxSIO : inout std_logic; + -- LVDS2V5East0NxSIO : inout std_logic; + -- LVDS2V5East1PxSIO : inout std_logic; + -- LVDS2V5East1NxSIO : inout std_logic; + -- LVDS2V5East2PxSIO : inout std_logic; + -- LVDS2V5East2NxSIO : inout std_logic; + -- LVDS2V5East3PxSIO : inout std_logic; + -- LVDS2V5East3NxSIO : inout std_logic; + -- LVDS2V5East4PxSIO : inout std_logic; + -- LVDS2V5East4NxSIO : inout std_logic; + -- LVDS2V5East5PxSIO : inout std_logic; + -- LVDS2V5East5NxSIO : inout std_logic; + -- LVDS2V5East6PxSIO : inout std_logic; + -- LVDS2V5East6NxSIO : inout std_logic; + -- LVDS2V5East7PxSIO : inout std_logic; + -- LVDS2V5East7NxSIO : inout std_logic; + -- West + -- LVDS2V5West0PxSIO : inout std_logic; + -- LVDS2V5West0NxSIO : inout std_logic; + -- LVDS2V5West1PxSIO : inout std_logic; + -- LVDS2V5West1NxSIO : inout std_logic; + -- LVDS2V5West2PxSIO : inout std_logic; + -- LVDS2V5West2NxSIO : inout std_logic; + -- LVDS2V5West3PxSIO : inout std_logic; + -- LVDS2V5West3NxSIO : inout std_logic; + -- LVDS2V5West4PxSIO : inout std_logic; + -- LVDS2V5West4NxSIO : inout std_logic; + -- LVDS2V5West5PxSIO : inout std_logic; + -- LVDS2V5West5NxSIO : inout std_logic; + -- LVDS2V5West6PxSIO : inout std_logic; + -- LVDS2V5West6NxSIO : inout std_logic; + -- LVDS2V5West7PxSIO : inout std_logic; + -- LVDS2V5West7NxSIO : inout std_logic; + -- LVDS links towards top-bottom connectors + -- Top + -- LVDS2V5Top0PxSIO : inout std_logic; + -- LVDS2V5Top0NxSIO : inout std_logic; + -- LVDS2V5Top1PxSIO : inout std_logic; + -- LVDS2V5Top1NxSIO : inout std_logic; + -- LVDS2V5Top2PxSIO : inout std_logic; + -- LVDS2V5Top2NxSIO : inout std_logic; + -- LVDS2V5Top3PxSIO : inout std_logic; + -- LVDS2V5Top3NxSIO : inout std_logic; + -- LVDS2V5Top4PxSIO : inout std_logic; + -- LVDS2V5Top4NxSIO : inout std_logic; + -- LVDS2V5Top5PxSIO : inout std_logic; + -- LVDS2V5Top5NxSIO : inout std_logic; + -- LVDS2V5Top6PxSIO : inout std_logic; + -- LVDS2V5Top6NxSIO : inout std_logic; + -- LVDS2V5Top7PxSIO : inout std_logic; + -- LVDS2V5Top7NxSIO : inout std_logic; + -- Bottom + -- LVDS2V5Bottom0PxSIO : inout std_logic; + -- LVDS2V5Bottom0NxSIO : inout std_logic; + -- LVDS2V5Bottom1PxSIO : inout std_logic; + -- LVDS2V5Bottom1NxSIO : inout std_logic; + -- LVDS2V5Bottom2PxSIO : inout std_logic; + -- LVDS2V5Bottom2NxSIO : inout std_logic; + -- LVDS2V5Bottom3PxSIO : inout std_logic; + -- LVDS2V5Bottom3NxSIO : inout std_logic; + -- LVDS2V5Bottom4PxSIO : inout std_logic; + -- LVDS2V5Bottom4NxSIO : inout std_logic; + -- LVDS2V5Bottom5PxSIO : inout std_logic; + -- LVDS2V5Bottom5NxSIO : inout std_logic; + -- LVDS2V5Bottom6PxSIO : inout std_logic; + -- LVDS2V5Bottom6NxSIO : inout std_logic; + -- LVDS2V5Bottom7PxSIO : inout std_logic; + -- LVDS2V5Bottom7NxSIO : inout std_logic; + -- RGB LEDs + Led12V5RxSO : out std_logic; + Led12V5GxSO : out std_logic; + Led12V5BxSO : out std_logic; + Led22V5RxSO : out std_logic; + Led22V5GxSO : out std_logic; + Led22V5BxSO : out std_logic; + -- Self reset (connected to PS_SRSTB) + SelfRstxRNO : out std_logic); + -- Clocks from PLLs (connected to MRCC pins) + -- Local + -- PLLClk2V5LocalPxCI : in std_logic; + -- PLLClk2V5LocalNxCI : in std_logic; + -- -- North + -- PLLClk2V5NorthPxCI : in std_logic; + -- PLLClk2V5NorthNxCI : in std_logic; + -- -- South + -- PLLClk2V5SouthPxCI : in std_logic; + -- PLLClk2V5SouthNxCI : in std_logic; + -- -- Top + -- PLLClk2V5TopxCI : in std_logic; -- Single-ended + -- -- Bottom + -- PLLClk2V5BottomxCI : in std_logic; -- Single-ended + -- -- Clocks to/from neighbours + -- -- North + -- Clk2V5NorthPxCI : in std_logic; + -- Clk2V5NorthNxCI : in std_logic; + -- Clk2V5NorthPxCO : out std_logic; + -- Clk2V5NorthNxCO : out std_logic; + -- -- South + -- Clk2V5SouthPxCI : in std_logic; + -- Clk2V5SouthNxCI : in std_logic; + -- Clk2V5SouthPxCO : out std_logic; + -- Clk2V5SouthNxCO : out std_logic; + -- -- East + -- Clk2V5EastPxCI : in std_logic; + -- Clk2V5EastNxCI : in std_logic; + -- Clk2V5EastPxCO : out std_logic; + -- Clk2V5EastNxCO : out std_logic; + -- -- West + -- Clk2V5WestPxCI : in std_logic; + -- Clk2V5WestNxCI : in std_logic; + -- Clk2V5WestPxCO : out std_logic; + -- Clk2V5WestNxCO : out std_logic; + -- -- Top + -- Clk2V5TopPxCI : in std_logic; + -- Clk2V5TopNxCI : in std_logic; + -- Clk2V5TopPxCO : out std_logic; + -- Clk2V5TopNxCO : out std_logic; + -- -- Bottom + -- Clk2V5BottomPxCI : in std_logic; + -- Clk2V5BottomNxCI : in std_logic; + -- Clk2V5BottomPxCO : out std_logic; + -- Clk2V5BottomNxCO : out std_logic; + -- -- Recovery + -- Clk2V5RecoveryPxCO : out std_logic; + -- Clk2V5RecoveryNxCO : out std_logic); + +end scalp_fast_router_firmware; + + +architecture arch of scalp_fast_router_firmware is + + -- Constantes + -- constant C_PS_SYS_RESET_SIZE : integer range 0 to 7 := 1; + constant C_AXI_ADDR_SIZE : integer range 0 to 32 := 12; + + component scalp_aurora_phy is + generic ( + C_DEBUG_MODE : boolean; + C_RX_FIFO_MODE : boolean; + C_RX_FIFO_RST_DONE_DLY_TICKS : integer; + C_RX_FIFO_CTRL_TLAST : boolean); + port ( + GTRefClkxCI : in t_gt_ref_slave_clk; + AuroraClkxCI : in t_aurora_slave_clk; + AuroraClkxCO : out t_aurora_master_clk; + AuroraResetxRI : in t_aurora_slave_reset; + AuroraResetxRO : out t_aurora_master_link_reset; + RXResetxRI : in t_rx_reset; + RXFifoResetDonexDO : out t_rx_fifo_reset_done; + GTPFromNorthxDI : in t_aurora_gtp_diff_io_rx; + GTPToNorthxDO : out t_aurora_gtp_diff_io_tx; + GTPFromEastxDI : in t_aurora_gtp_diff_io_rx; + GTPToEastxDO : out t_aurora_gtp_diff_io_tx; + GTPFromSouthxDI : in t_aurora_gtp_diff_io_rx; + GTPToSouthxDO : out t_aurora_gtp_diff_io_tx; + GTPFromWestxDI : in t_aurora_gtp_diff_io_rx; + GTPToWestxDO : out t_aurora_gtp_diff_io_tx; + NorthRXM2SxDO : out t_axi4m2s; + NorthRXS2MxDI : in t_axi4s2m; + NorthTXM2SxDI : in t_axi4m2s; + NorthTXS2MxDO : out t_axi4s2m; + EastRXM2SxDO : out t_axi4m2s; + EastRXS2MxDI : in t_axi4s2m; + EastTXM2SxDI : in t_axi4m2s; + EastTXS2MxDO : out t_axi4s2m; + SouthRXM2SxDO : out t_axi4m2s; + SouthRXS2MxDI : in t_axi4s2m; + SouthTXM2SxDI : in t_axi4m2s; + SouthTXS2MxDO : out t_axi4s2m; + WestRXM2SxDO : out t_axi4m2s; + WestRXS2MxDI : in t_axi4s2m; + WestTXM2SxDI : in t_axi4m2s; + WestTXS2MxDO : out t_axi4s2m; + NorthRXUFCM2SxDO : out t_axi4ufcm2s_rx; + NorthTXUFCM2SxDI : in t_axi4ufcm2s_tx; + NorthTXUFCS2MxDO : out t_axi4ufcs2m_tx; + EastRXUFCM2SxDO : out t_axi4ufcm2s_rx; + EastTXUFCM2SxDI : in t_axi4ufcm2s_tx; + EastTXUFCS2MxDO : out t_axi4ufcs2m_tx; + SouthRXUFCM2SxDO : out t_axi4ufcm2s_rx; + SouthTXUFCM2SxDI : in t_axi4ufcm2s_tx; + SouthTXUFCS2MxDO : out t_axi4ufcs2m_tx; + WestRXUFCM2SxDO : out t_axi4ufcm2s_rx; + WestTXUFCM2SxDI : in t_axi4ufcm2s_tx; + WestTXUFCS2MxDO : out t_axi4ufcs2m_tx; + NorthRXNFCM2SxDO : out t_axi4nfcm2s; + NorthTXNFCM2SxDI : in t_axi4nfcm2s; + NorthTXNFCS2MxDO : out t_axi4nfcs2m; + EastRXNFCM2SxDO : out t_axi4nfcm2s; + EastTXNFCM2SxDI : in t_axi4nfcm2s; + EastTXNFCS2MxDO : out t_axi4nfcs2m; + SouthRXNFCM2SxDO : out t_axi4nfcm2s; + SouthTXNFCM2SxDI : in t_axi4nfcm2s; + SouthTXNFCS2mxDO : out t_axi4nfcs2m; + WestRXNFCM2SxDO : out t_axi4nfcm2s; + WestTXNFCM2SxDI : in t_axi4nfcm2s; + WestTXNFCS2MxDO : out t_axi4nfcs2m; + AuroraCtrlxDI : in t_aurora_control; + AuroraStatusxDO : out t_aurora_status; + AuroraDRPM2SxDI : in t_drpm2s_vector((C_NB_GTP_CORE - 1) downto 0); + AuroraDRPS2MxDO : out t_drps2m_vector((C_NB_GTP_CORE - 1) downto 0); + NorthRXFifoStatusxDO : out t_axi4fifo_status; + EastRXFifoStatusxDO : out t_axi4fifo_status; + SouthRXFifoStatusxDO : out t_axi4fifo_status; + WestRXFifoStatusxDO : out t_axi4fifo_status; + AxisFifoErrorxDO : out t_axi4fifo_error); + end component scalp_aurora_phy; + + -- Signals + -- Clocks + -- Processing system clock + signal PSSysClkxC : std_logic := '0'; + -- GTP Clocks + -- signal GTPRefClk0xC : std_logic := '0'; + -- signal GTPRefClk1xC : std_logic := '0'; + signal GTRefClk0DiffxC : t_gtp_diff_ref_clk := C_NO_GTP_DIFF_REF_CLK; + signal GTRefClk1DiffxC : t_gtp_diff_ref_clk := C_NO_GTP_DIFF_REF_CLK; + -- Resets + -- Processing system reset + signal PSSysResetxR : std_logic := '0'; + -- Scalp Aurora Phy + signal GTRefClk0xC : t_gt_ref_slave_clk := C_GT_REF_NO_SLAVE_CLK; + signal GTRefClk1xC : t_gt_ref_slave_clk := C_GT_REF_NO_SLAVE_CLK; + signal AuroraClkSlavexC : t_aurora_slave_clk := C_AURORA_NO_SLAVE_CLK; + signal AuroraClkMasterxC : t_aurora_master_clk := C_AURORA_NO_MASTER_CLK; + signal AuroraResetSlavexR : t_aurora_slave_reset := C_AURORA_NO_SLAVE_RESET; + signal AuroraResetMasterLinkxR : t_aurora_master_link_reset := C_AURORA_NO_MASTER_LINK_RESET; + signal RXResetxR : t_rx_reset := C_NO_RX_RESET; + signal RXFifoResetDonexD : t_rx_fifo_reset_done := C_NO_RX_FIFO_RESET_DONE; + signal RXFifoResetDoneDelayedxD : t_rx_fifo_reset_done := C_NO_RX_FIFO_RESET_DONE; + signal GTPFromNorthxD : t_aurora_gtp_diff_io_rx := C_AURORA_NO_GTP_DIFF_IO_RX; + signal GTPToNorthxD : t_aurora_gtp_diff_io_tx := C_AURORA_NO_GTP_DIFF_IO_TX; + signal GTPFromEastxD : t_aurora_gtp_diff_io_rx := C_AURORA_NO_GTP_DIFF_IO_RX; + signal GTPToEastxD : t_aurora_gtp_diff_io_tx := C_AURORA_NO_GTP_DIFF_IO_TX; + signal GTPFromSouthxD : t_aurora_gtp_diff_io_rx := C_AURORA_NO_GTP_DIFF_IO_RX; + signal GTPToSouthxD : t_aurora_gtp_diff_io_tx := C_AURORA_NO_GTP_DIFF_IO_TX; + signal GTPFromWestxD : t_aurora_gtp_diff_io_rx := C_AURORA_NO_GTP_DIFF_IO_RX; + signal GTPToWestxD : t_aurora_gtp_diff_io_tx := C_AURORA_NO_GTP_DIFF_IO_TX; + signal NorthRXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal NorthRXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal NorthTXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal NorthTXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal EastRXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal EastRXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal EastTXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal EastTXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal SouthRXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal SouthRXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal SouthTXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal SouthTXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal WestRXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal WestRXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal WestTXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal WestTXS2MxD : t_axi4s2m := C_NO_AXI4_S2M; + signal NorthRXUFCM2SxD : t_axi4ufcm2s_rx := C_NO_AXI4_UFC_M2S_RX; + signal NorthTXUFCM2SxD : t_axi4ufcm2s_tx := C_NO_AXI4_UFC_M2S_TX; + signal NorthTXUFCS2MxD : t_axi4ufcs2m_tx := C_NO_AXI4_UFC_S2M_TX; + signal EastRXUFCM2SxD : t_axi4ufcm2s_rx := C_NO_AXI4_UFC_M2S_RX; + signal EastTXUFCM2SxD : t_axi4ufcm2s_tx := C_NO_AXI4_UFC_M2S_TX; + signal EastTXUFCS2MxD : t_axi4ufcs2m_tx := C_NO_AXI4_UFC_S2M_TX; + signal SouthRXUFCM2SxD : t_axi4ufcm2s_rx := C_NO_AXI4_UFC_M2S_RX; + signal SouthTXUFCM2SxD : t_axi4ufcm2s_tx := C_NO_AXI4_UFC_M2S_TX; + signal SouthTXUFCS2MxD : t_axi4ufcs2m_tx := C_NO_AXI4_UFC_S2M_TX; + signal WestRXUFCM2SxD : t_axi4ufcm2s_rx := C_NO_AXI4_UFC_M2S_RX; + signal WestTXUFCM2SxD : t_axi4ufcm2s_tx := C_NO_AXI4_UFC_M2S_TX; + signal WestTXUFCS2MxD : t_axi4ufcs2m_tx := C_NO_AXI4_UFC_S2M_TX; + signal NorthRXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal NorthTXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal NorthTXNFCS2MxD : t_axi4nfcs2m := C_NO_AXI4_NFC_S2M; + signal EastRXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal EastTXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal EastTXNFCS2MxD : t_axi4nfcs2m := C_NO_AXI4_NFC_S2M; + signal SouthRXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal SouthTXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal SouthTXNFCS2MxD : t_axi4nfcs2m := C_NO_AXI4_NFC_S2M; + signal WestRXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal WestTXNFCM2SxD : t_axi4nfcm2s := C_NO_AXI4_NFC_M2S; + signal WestTXNFCS2MxD : t_axi4nfcs2m := C_NO_AXI4_NFC_S2M; + signal AuroraCtrlxD : t_aurora_control := C_AURORA_NO_CONTROL; + signal AuroraStatusxD : t_aurora_status := C_AURORA_NO_STATUS; + signal AuroraDRPM2SxD : t_drpm2s_vector((C_NB_GTP_CORE - 1) downto 0) := (others => C_NO_DRP_M2S); + signal AuroraDRPS2MxD : t_drps2m_vector((C_NB_GTP_CORE - 1) downto 0) := (others => C_NO_DRP_S2M); + signal NorthRXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal EastRXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal SouthRXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal WestRXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal AxisFifoErrorxD : t_axi4fifo_error := C_NO_AXI4_FIFO_ERROR; + -- Scalp Router + signal LocNetAddrxD : t_scalp_netaddr := C_3D_MIN_SCALP_NETADDR; + signal RXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S); + signal RXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M); + signal TXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S); + signal TXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M); + signal QoSVectorxD : t_scalp_qos_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_SCALP_NO_QOS); + -- signal ScalpRouterReadyxD : t_scalp_router_ready := C_NO_SCALP_ROUTER_READY; + -- Scalp Axi Lite interface and IRQ + signal ScalpPacketWriteDataxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal ScalpPacketReadDataxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal ScalpPacketCtrlxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal ScalpPacketStatusxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal RXRdDataCntxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal RXWrDataCntxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal TXRdDataCntxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal TXWrDataCntxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal LocNetAddrVectxD : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal RXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal TXFifoStatusxD : t_axi4fifo_status := C_NO_AXI4_FIFO_STATUS; + signal TXFifoRXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal TXFifoRXS2MxS : t_axi4s2m := C_NO_AXI4_S2M; + signal RXFifoTXM2SxD : t_axi4m2s := C_NO_AXI4_M2S; + signal RXFifoTXS2MxS : t_axi4s2m := C_NO_AXI4_S2M; + signal ScalpRouterResetxRNA : std_ulogic := '0'; + -- Zynq Reg Bank + -- type t_status_send_word is (E_IDLE, E_SEND); + + type t_tx_fifo_wr_data_states is (E_WR_IDLE, E_WR_H0, E_WR_H1, E_WR_H2, E_WR_PLD, E_WR_NEXT); + type t_rx_fifo_rd_data_states is (E_RD_IDLE, E_RD_WORD, E_RD_NEXT); + + constant C_WR_VALID : integer range 0 to 255 := 0; + constant C_WR_LAST : integer range 0 to 255 := 1; + constant C_WR_READY : integer range 0 to 255 := 2; + constant C_WR_NEXT : integer range 0 to 255 := 3; + constant C_RESET_ALL_FIFO : integer range 0 to 255 := 4; + constant C_WR_H0 : integer range 0 to 255 := 5; + constant C_WR_H1 : integer range 0 to 255 := 6; + constant C_WR_H2 : integer range 0 to 255 := 7; + constant C_WR_PLD : integer range 0 to 255 := 8; + constant C_WR_NEW_PACKET : integer range 0 to 255 := 9; + constant C_RD_NEXT : integer range 0 to 255 := 10; + constant C_RD_NEW_PACKET : integer range 0 to 255 := 11; + -- + constant C_RD_VALID : integer range 0 to 255 := 0; + constant C_RD_LAST : integer range 0 to 255 := 1; + constant C_TX_PROG_FULL : integer range 0 to 255 := 2; + constant C_RX_PROG_FULL : integer range 0 to 255 := 3; + constant C_RD_WAIT_NEXT : integer range 0 to 255 := 4; + + signal TXFifoWrDataStatexD : t_tx_fifo_wr_data_states := E_WR_IDLE; + signal TXFifoWrDataStateNextxD : t_tx_fifo_wr_data_states := E_WR_IDLE; + signal RXFifoRdDataStatexD : t_rx_fifo_rd_data_states := E_RD_IDLE; + signal RXFifoRdDataStateNextxD : t_rx_fifo_rd_data_states := E_RD_IDLE; + + -- signal NorthStatusSendWordxDN : t_status_send_word := E_IDLE; + -- signal NorthStatusSendWordxDP : t_status_send_word := E_IDLE; + -- signal EastStatusSendWordxDN : t_status_send_word := E_IDLE; + -- signal EastStatusSendWordxDP : t_status_send_word := E_IDLE; + -- signal SouthStatusSendWordxDN : t_status_send_word := E_IDLE; + -- signal SouthStatusSendWordxDP : t_status_send_word := E_IDLE; + -- signal WestStatusSendWordxDN : t_status_send_word := E_IDLE; + -- signal WestStatusSendWordxDP : t_status_send_word := E_IDLE; + -- -- + -- signal NorthNativeSlavexD : t_native_fifo_slave; + -- signal NorthNativeMasterxD : t_native_fifo_master; + -- signal EastNativeSlavexD : t_native_fifo_slave; + -- signal EastNativeMasterxD : t_native_fifo_master; + -- signal SouthNativeSlavexD : t_native_fifo_slave; + -- signal SouthNativeMasterxD : t_native_fifo_master; + -- signal WestNativeSlavexD : t_native_fifo_slave; + -- signal WestNativeMasterxD : t_native_fifo_master; + -- + -- signal InterruptRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal InterruptRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- North + -- signal NorthStatusRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal NorthStatusRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal NorthCtrlRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + signal NorthCtrlRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal NorthWrDataRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal NorthWrDataRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- East + -- signal EastStatusRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal EastStatusRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal EastCtrlRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal EastCtrlRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal EastWrDataRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal EastWrDataRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- South + -- signal SouthStatusRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal SouthStatusRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal SouthCtrlRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal SouthCtrlRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal SouthWrDataRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal SouthWrDataRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- West + -- signal WestStatusRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal WestStatusRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal WestCtrlRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal WestCtrlRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal WestWrDataRegPortxDN : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- signal WestWrDataRegPortxDP : std_ulogic_vector((C_AXI4_DATA_SIZE - 1) downto 0) := (others => '0'); + -- Debug + -- signal CntRstxR : std_ulogic := '0'; + signal ClkEnxS : std_ulogic := '0'; + signal NorthDataCounterxDN : unsigned(31 downto 0) := (others => '0'); + signal NorthDataCounterxDP : unsigned(31 downto 0) := (others => '0'); + signal EastDataCounterxDN : unsigned(31 downto 0) := (others => '0'); + signal EastDataCounterxDP : unsigned(31 downto 0) := (others => '0'); + signal SouthDataCounterxDN : unsigned(31 downto 0) := (others => '0'); + signal SouthDataCounterxDP : unsigned(31 downto 0) := (others => '0'); + signal WestDataCounterxDN : unsigned(31 downto 0) := (others => '0'); + signal WestDataCounterxDP : unsigned(31 downto 0) := (others => '0'); + -- + signal DebugCounterResetxR : t_if_common_reset := C_NO_IF_COMMON_RESET; + signal DebugRXFifoResetxR : t_rx_fifo_reset := C_NO_RX_FIFO_RESET; + signal DebugBackPressureResetxR : t_rx_back_pressure_reset := C_NO_RX_BACK_PRESSURE_RESET; + + -- Attributes + attribute mark_debug : string; + attribute keep : string; + -- Clocks + attribute keep of PSSysClkxC : signal is "true"; + attribute keep of GTRefClk0xC : signal is "true"; + attribute keep of GTRefClk1xC : signal is "true"; + attribute keep of AuroraClkSlavexC : signal is "true"; + attribute keep of AuroraClkMasterxC : signal is "true"; + -- Scalp Router + +begin + + ProcessingSystemxB : block is + begin -- block ProcessingSystemxB + + ZynqxI : entity work.scalp_zynqps_wrapper + port map ( + -- Processor interface + FIXED_IO_ps_clk => PSClkxCIO, + FIXED_IO_ps_porb => PSPorxSNIO, + FIXED_IO_ps_srstb => PSSRstxRNIO, + FclkClk0xCO => PSSysClkxC, + FclkReset0xRO => PSSysResetxR, + -- DDR interface + DDR_addr => DDRAddrxDIO, + DDR_ba => DDRBankAddrxDIO, + DDR_cas_n => DDRCasNxSIO, + DDR_ck_n => DDRClkNxCIO, + DDR_ck_p => DDRClkPxCIO, + DDR_cke => DDRCkexSIO, + DDR_cs_n => DDRCsNxSIO, + DDR_dm => DDRDmxDIO, + DDR_dq => DDRDqxDIO, + DDR_dqs_n => DDRDqsNxDIO, + DDR_dqs_p => DDRDqsPxDIO, + DDR_odt => DDROdtxSIO, + DDR_ras_n => DDRRasNxSIO, + DDR_reset_n => DDRDRstxRNIO, + DDR_we_n => DDRWexSNIO, + FIXED_IO_ddr_vrn => DDRVrNxSIO, + FIXED_IO_ddr_vrp => DDRVrPxSIO, + -- USB interface + Usb0VBusPwrFaultxSI => UsbVbusPwrFaultxSI, + -- SPI1 used as uWire master. Clk, Data and LE signals are outputs + -- SPI1 inputs are unused. Clk is connected to SCLK, Data to MOSI and LE to SS + Spi1MOSIxSO => Pll2V5DatauWirexSO, + Spi1SSxSO => Pll2V5LEuWirexSO, + Spi1SclkxCO => Pll2V5ClkuWirexCO, + -- MIO + FIXED_IO_mio => MIOxDIO, + UserClkxCI => AuroraClkMasterxC.UserClkxC, + UserResetxRANI => ScalpRouterResetxRNA); + + end block ProcessingSystemxB; + + ProgrammableLogicxB : block is + begin -- block ProgrammableLogicxB + + InputClocksxB : block is + begin -- block InputClocksxB + + GTPRefClk0PxAS : GTRefClk0DiffxC.ClkPxC <= GTPRefClk0PxCI; + GTPRefClk0NxAS : GTRefClk0DiffxC.ClkNxC <= GTPRefClk0NxCI; + -- GTPRefClk1PxAS : GTRefClk0DiffxC.ClkPxC <= GTPRefClk1PxCI; + -- GTPRefClk1NxAS : GTRefClk0DiffxC.ClkNxC <= GTPRefClk1NxCI; + + -- GTP Clocks buffers + IBufDSGTPRefClk0xI : IBUFDS_GTE2 + port map ( + I => GTRefClk0DiffxC.ClkPxC, + IB => GTRefClk0DiffxC.ClkNxC, + CEB => '0', + O => GTRefClk0xC.GTRefClkxC, + ODIV2 => open); + + -- IBufDSGTPRefClk1xI : IBUFDS_GTE2 + -- port map ( + -- I => GTRefClk0DiffxC.ClkPxC, + -- IB => GTRefClk0DiffxC.ClkNxC, + -- CEB => '0', + -- O => GTRefClk1xCGTRefClkxC, + -- ODIV2 => open); + + end block InputClocksxB; + + PllClocksxB : block is + + constant C_AURORA_RST_DLY_TICKS : integer := 25; + constant C_GT_RST_DLY_TICKS : integer := 625; + + signal PllLockedxS : std_ulogic := '0'; + + begin -- block PllClocksxB + + ScalpAuroraPllxI : entity work.scalp_aurora_clk + port map ( + -- Clock out ports + InitClkxCO => AuroraClkSlavexC.InitClkxC, + DrpClkxCO => AuroraClkSlavexC.DrpClkxC, + -- Status and control signals + reset => PSSysResetxR, + PllLockedxSO => PllLockedxS, + -- Clock in ports + PSSysClkxCI => PSSysClkxC); + + AuroraRstDlyxI : entity work.reset_delay_gen + generic map ( + C_TICKS => C_AURORA_RST_DLY_TICKS) + port map ( + ClkxCI => AuroraClkSlavexC.InitClkxC, + PllLockedxSI => PllLockedxS, + ResetxRI => PSSysResetxR, + ResetDelayedxRO => AuroraResetSlavexR.ResetxR); + + GTRstDlyxI : entity work.reset_delay_gen + generic map ( + C_TICKS => C_GT_RST_DLY_TICKS) + port map ( + ClkxCI => AuroraClkSlavexC.InitClkxC, + PllLockedxSI => PllLockedxS, + ResetxRI => PSSysResetxR, + ResetDelayedxRO => AuroraResetSlavexR.GTResetxR); + + end block PllClocksxB; + + GTPhyxB : block is + + constant C_RX_FIFO_RST_DONE_DLY_TICKS : integer := 100; + + begin -- block GTPhyxB + + -- GTP + GTPFromNorthPxAS : GTPFromNorthxD.RXPxD(0) <= GTPFromNorthPxSI; + GTPFromNorthNxAS : GTPFromNorthxD.RXNxD(0) <= GTPFromNorthNxSI; + GTPToNorthPxAS : GTPToNorthPxSO <= GTPToNorthxD.TXPxD(0); + GTPToNorthNxAS : GTPToNorthNxSO <= GTPToNorthxD.TXNxD(0); + GTPFromEastPxAS : GTPFromEastxD.RXPxD(0) <= GTPFromEastPxSI; + GTPFromEastNxAS : GTPFromEastxD.RXNxD(0) <= GTPFromEastNxSI; + GTPToEastPxAS : GTPToEastPxSO <= GTPToEastxD.TXPxD(0); + GTPToEastNxAS : GTPToEastNxSO <= GTPToEastxD.TXNxD(0); + GTPFromSouthPxAS : GTPFromSouthxD.RXPxD(0) <= GTPFromSouthPxSI; + GTPFromSouthNxAS : GTPFromSouthxD.RXNxD(0) <= GTPFromSouthNxSI; + GTPToSouthPxAS : GTPToSouthPxSO <= GTPToSouthxD.TXPxD(0); + GTPToSouthNxAS : GTPToSouthNxSO <= GTPToSouthxD.TXNxD(0); + GTPFromWestPxAS : GTPFromWestxD.RXPxD(0) <= GTPFromWestPxSI; + GTPFromWestNxAS : GTPFromWestxD.RXNxD(0) <= GTPFromWestNxSI; + GTPToWestPxAS : GTPToWestPxSO <= GTPToWestxD.TXPxD(0); + GTPToWestNxAS : GTPToWestNxSO <= GTPToWestxD.TXNxD(0); + + CtrlxB : block is + begin -- block CtrlxB + + PowerDownxAS : AuroraCtrlxD.PowerDownxS <= '0'; + LoopbackxAS : AuroraCtrlxD.LoopbackxD <= (others => '0'); + + end block CtrlxB; + + ScalpAuroraPhyxI : entity work.scalp_aurora_phy + generic map ( + C_DEBUG_MODE => C_DEBUG_MODE, + C_RX_FIFO_MODE => C_RX_FIFO_MODE, + C_RX_FIFO_RST_DONE_DLY_TICKS => C_RX_FIFO_RST_DONE_DLY_TICKS, + C_RX_FIFO_CTRL_TLAST => C_RX_FIFO_CTRL_TLAST) + port map ( + -- Clocks + -- GTP Ref Clocks + GTRefClkxCI => GTRefClk0xC, + -- Aurora System and GTP Clocks + AuroraClkxCI => AuroraClkSlavexC, + AuroraClkxCO => AuroraClkMasterxC, + -- Reset + -- Aurora Reset + AuroraResetxRI => AuroraResetSlavexR, + AuroraResetxRO => AuroraResetMasterLinkxR, + -- RX Fifo and Back Pressure Reset + RXResetxRI => RXResetxR, + RXFifoResetDonexDO => RXFifoResetDonexD, + RXFifoResetDoneDelayedxDO => RXFifoResetDoneDelayedxD, + -- Back Pressure Reset + -- GTP Serial IO + -- North + GTPFromNorthxDI => GTPFromNorthxD, + GTPToNorthxDO => GTPToNorthxD, + -- East + GTPFromEastxDI => GTPFromEastxD, + GTPToEastxDO => GTPToEastxD, + -- South + GTPFromSouthxDI => GTPFromSouthxD, + GTPToSouthxDO => GTPToSouthxD, + -- West + GTPFromWestxDI => GTPFromWestxD, + GTPToWestxDO => GTPToWestxD, + -- Axi4 Framing Interface + -- North + NorthRXM2SxDO => NorthRXM2SxD, + NorthRXS2MxDI => NorthRXS2MxD, + NorthTXM2SxDI => NorthTXM2SxD, + NorthTXS2MxDO => NorthTXS2MxD, + -- East + EastRXM2SxDO => EastRXM2SxD, + EastRXS2MxDI => EastRXS2MxD, + EastTXM2SxDI => EastTXM2SxD, + EastTXS2MxDO => EastTXS2MxD, + -- South + SouthRXM2SxDO => SouthRXM2SxD, + SouthRXS2MxDI => SouthRXS2MxD, + SouthTXM2SxDI => SouthTXM2SxD, + SouthTXS2MxDO => SouthTXS2MxD, + -- West + WestRXM2SxDO => WestRXM2SxD, + WestRXS2MxDI => WestRXS2MxD, + WestTXM2SxDI => WestTXM2SxD, + WestTXS2MxDO => WestTXS2MxD, + -- Axi4 Framing UFC Interface + -- North + NorthRXUFCM2SxDO => NorthRXUFCM2SxD, + NorthTXUFCM2SxDI => NorthTXUFCM2SxD, + NorthTXUFCS2MxDO => NorthTXUFCS2MxD, + -- East + EastRXUFCM2SxDO => EastRXUFCM2SxD, + EastTXUFCM2SxDI => EastTXUFCM2SxD, + EastTXUFCS2MxDO => EastTXUFCS2MxD, + -- South + SouthRXUFCM2SxDO => SouthRXUFCM2SxD, + SouthTXUFCM2SxDI => SouthTXUFCM2SxD, + SouthTXUFCS2MxDO => SouthTXUFCS2MxD, + -- West + WestRXUFCM2SxDO => WestRXUFCM2SxD, + WestTXUFCM2SxDI => WestTXUFCM2SxD, + WestTXUFCS2MxDO => WestTXUFCS2MxD, + -- Axi4 Framing NFC Interface + -- The NFC interface is not available when the + -- constant C_RX_FIFO_MODE is set to TRUE. + -- North + NorthRXNFCM2SxDO => NorthRXNFCM2SxD, + NorthTXNFCM2SxDI => NorthTXNFCM2SxD, + NorthTXNFCS2MxDO => NorthTXNFCS2MxD, + -- East + EastRXNFCM2SxDO => EastRXNFCM2SxD, + EastTXNFCM2SxDI => EastTXNFCM2SxD, + EastTXNFCS2MxDO => EastTXNFCS2MxD, + -- South + SouthRXNFCM2SxDO => SouthRXNFCM2SxD, + SouthTXNFCM2SxDI => SouthTXNFCM2SxD, + SouthTXNFCS2mxDO => SouthTXNFCS2mxD, + -- West + WestRXNFCM2SxDO => WestRXNFCM2SxD, + WestTXNFCM2SxDI => WestTXNFCM2SxD, + WestTXNFCS2MxDO => WestTXNFCS2MxD, + -- Aurora Ctrl + Status + AuroraCtrlxDI => AuroraCtrlxD, + AuroraStatusxDO => AuroraStatusxD, + -- DRP Port + AuroraDRPM2SxDI => AuroraDRPM2SxD, + AuroraDRPS2MxDO => AuroraDRPS2MxD, + -- RX Fifo Status + -- North + NorthRXFifoStatusxDO => NorthRXFifoStatusxD, + -- East + EastRXFifoStatusxDO => EastRXFifoStatusxD, + -- South + SouthRXFifoStatusxDO => SouthRXFifoStatusxD, + -- West + WestRXFifoStatusxDO => WestRXFifoStatusxD, + -- Axis Fifo Error + AxisFifoErrorxDO => AxisFifoErrorxD); + + end block GTPhyxB; + + NetworkLayerxB : block is + + constant C_SCALP_PACKET_PAYLOAD_SIZE : integer range 1 to C_SCALP_PACKET_LENGTH_RANGE_VALUE := 8; + constant C_SCALP_RANDOM_READY : boolean := false; + --------------------------------------------------------------------------- + -- Scalp Packets + --------------------------------------------------------------------------- + + constant C_SCALP_PACKET_NET_ADDR_110 : t_scalp_netaddr + := (XxD => 1, YxD => 1, ZxD => 0); + constant C_SCALP_PACKET_NET_ADDR_210 : t_scalp_netaddr + := (XxD => 2, YxD => 1, ZxD => 0); + --------------------------------------------------------------------------- + -- Scalp Packet Headers + --------------------------------------------------------------------------- + constant C_SP_HEADER_NULL : t_scalp_packet_header := C_NO_SCALP_PACKET_HEADER; + constant C_SP_HEADER_110_TO_210 : t_scalp_packet_header + := (DstAddrxD => C_SCALP_PACKET_NET_ADDR_210, + SrcAddrxD => C_SCALP_PACKET_NET_ADDR_110, + TypexD => 1, + LengthxD => C_SCALP_PACKET_PAYLOAD_SIZE); + --------------------------------------------------------------------------- + -- Scalp Packet Payloads + --------------------------------------------------------------------------- + constant C_SP_PAYLOAD_NULL : t_scalp_packet_payload(0 to (C_SCALP_PACKET_PAYLOAD_SIZE - 1)) + := (0 => C_NO_SCALP_PACKET_WORD, + 1 => C_NO_SCALP_PACKET_WORD, + 2 => C_NO_SCALP_PACKET_WORD, + 3 => C_NO_SCALP_PACKET_WORD, + 4 => C_NO_SCALP_PACKET_WORD, + 5 => C_NO_SCALP_PACKET_WORD, + 6 => C_NO_SCALP_PACKET_WORD, + 7 => C_NO_SCALP_PACKET_WORD); + constant C_SP_PAYLOAD_0 : t_scalp_packet_payload(0 to (C_SCALP_PACKET_PAYLOAD_SIZE - 1)) + := (0 => + (WordxD => std_ulogic_vector(to_unsigned(16#0abbccdd#, (C_BYTE_SIZE * 4))), + IdxD => 0), + 1 => + (WordxD => std_ulogic_vector(to_unsigned(16#0bccddee#, (C_BYTE_SIZE * 4))), + IdxD => 1), + 2 => + (WordxD => std_ulogic_vector(to_unsigned(16#0cddeeff#, (C_BYTE_SIZE * 4))), + IdxD => 2), + 3 => + (WordxD => std_ulogic_vector(to_unsigned(16#0deeff11#, (C_BYTE_SIZE * 4))), + IdxD => 3), + 4 => + (WordxD => std_ulogic_vector(to_unsigned(16#0eff1122#, (C_BYTE_SIZE * 4))), + IdxD => 4), + 5 => + (WordxD => std_ulogic_vector(to_unsigned(16#0f112233#, (C_BYTE_SIZE * 4))), + IdxD => 5), + 6 => + (WordxD => std_ulogic_vector(to_unsigned(16#01223344#, (C_BYTE_SIZE * 4))), + IdxD => 6), + 7 => + (WordxD => std_ulogic_vector(to_unsigned(16#02334455#, (C_BYTE_SIZE * 4))), + IdxD => 7)); + + -- type t_write_sp_states is (E_WR_SP_IDLE, E_WR_SP_VALID, E_WR_SP_WAIT); + + type t_write_sp_states is (E_WR_SP_IDLE, E_WR_SP_VALID_0, E_WR_SP_LAST_0, E_WR_SP_LAST_1, E_WR_SP_VALID_1, E_WR_SP_WAIT); + + -- Scalp Packets + -- From South 101 + --------------------------------------------------------------------------- + --------------------------------------------------------------------------- + signal ScalpPacketLocalxD : t_scalp_packet(SpPayloadxD(0 to (C_SCALP_PACKET_PAYLOAD_SIZE - 1))) := + (SpHeaderxD => C_SP_HEADER_NULL, + SpPayloadxD => C_SP_PAYLOAD_NULL); + signal ScalpPacketValidLocalxS : std_ulogic := '0'; + signal ScalpPacketSelectLocalxD : integer := 0; + --------------------------------------------------------------------------- + -- Packet 0 + signal ScalpPacket0xD : t_scalp_packet(SpPayloadxD(0 to (C_SCALP_PACKET_PAYLOAD_SIZE - 1))) := + (SpHeaderxD => C_SP_HEADER_110_TO_210, + SpPayloadxD => C_SP_PAYLOAD_0); + signal ScalpPacketValid12xS : std_ulogic := '0'; + -- + signal WrSPStatexDP : t_write_sp_states := E_WR_SP_IDLE; + signal WrSPStatexDN : t_write_sp_states := E_WR_SP_IDLE; + signal VioWrSpValidxS : std_ulogic := '0'; + -- + signal RXSideLLxDP : t_scalp_rx_link_layer_states := E_SCALP_RX_LINK_LAYER_IDLE; + signal RXSideLLxDN : t_scalp_rx_link_layer_states := E_SCALP_RX_LINK_LAYER_IDLE; + + begin -- block NetworkLayerxB + + ResetxB : block is + + constant C_CDC_TYPE : integer range 0 to 2 := 1; + constant C_RESET_STATE : integer range 0 to 1 := 0; + constant C_SINGLE_BIT : integer range 0 to 1 := 1; + constant C_FLOP_INPUT : integer range 0 to 1 := 1; + constant C_VECTOR_WIDTH : integer range 0 to 32 := 2; + constant C_MTBF_STAGES : integer range 0 to 6 := 5; + + signal PrimaryResetxRN : std_ulogic := '0'; + signal SecondaryResetxRN : std_ulogic := '0'; + signal PSSysResetSyncxR : std_ulogic := '0'; + + begin -- block ResetxB + + PrimaryResetxAS : PrimaryResetxRN <= not PSSysResetxR; + SecondaryResetxAS : SecondaryResetxRN <= not AuroraClkMasterxC.PllNotLockedxS; + + CDCSyncResetxB : entity work.cdc_sync + generic map ( + C_CDC_TYPE => C_CDC_TYPE, + C_RESET_STATE => C_RESET_STATE, + C_SINGLE_BIT => C_SINGLE_BIT, + C_FLOP_INPUT => C_FLOP_INPUT, + C_VECTOR_WIDTH => C_VECTOR_WIDTH, + C_MTBF_STAGES => C_MTBF_STAGES) + port map ( + PrimaryClkxCAI => PSSysClkxC, + PrimaryResetxRNI => PrimaryResetxRN, + PrimaryxSI => PSSysResetxR, + PrimaryxDI => (others => '0'), + PrimaryAckxSO => open, + SecondaryClkxCAI => AuroraClkMasterxC.UserClkxC, + SecondaryResetxRNI => SecondaryResetxRN, + SecondaryxSO => PSSysResetSyncxR, + SecondaryxDO => open); + + ScalpRouterResetxAS : ScalpRouterResetxRNA <= (not PSSysResetSyncxR) and + (not AuroraClkMasterxC.PllNotLockedxS); + -- RX Fifo reset 196 cycles + -- Clock and Resets + -- RX Fifo + NorthFifoResetxAS : RXResetxR.FifoResetxR.NorthxR <= + '1' when + (AuroraStatusxD.LaneUpxD(0)(0) = '0') or + (AuroraStatusxD.ChannelUpxD(0) = '0') else + '0'; + EastFifoResetxAS : RXResetxR.FifoResetxR.EastxR <= + '1' when + (AuroraStatusxD.LaneUpxD(1)(0) = '0') or + (AuroraStatusxD.ChannelUpxD(1) = '0') else + '0'; + SouthFifoResetxAS : RXResetxR.FifoResetxR.SouthxR <= + '1' when + (AuroraStatusxD.LaneUpxD(2)(0) = '0') or + (AuroraStatusxD.ChannelUpxD(2) = '0') else + '0'; + WestFifoResetxAS : RXResetxR.FifoResetxR.WestxR <= + '1' when + (AuroraStatusxD.LaneUpxD(3)(0) = '0') or + (AuroraStatusxD.ChannelUpxD(3) = '0') else + '0'; + -- Back pressure + NorthBackPressureResetxAS : RXResetxR.BackPressureResetxR.NorthxR <= + '1' when + (AuroraStatusxD.LaneUpxD(0)(0) = '0') or + (RXFifoResetDonexD.NorthxS = '0') or + (RXFifoResetDoneDelayedxD.NorthxS = '0') or + (AuroraStatusxD.ChannelUpxD(0) = '0') else + '0'; + EastBackPressureResetxAS : RXResetxR.BackPressureResetxR.EastxR <= + '1' when + (AuroraStatusxD.LaneUpxD(1)(0) = '0') or + (RXFifoResetDonexD.EastxS = '0') or + (RXFifoResetDoneDelayedxD.EastxS = '0') or + (AuroraStatusxD.ChannelUpxD(1) = '0') else + '0'; + SouthBackPressureResetxAS : RXResetxR.BackPressureResetxR.SouthxR <= + '1' when + (RXFifoResetDonexD.SouthxS = '0') or + (RXFifoResetDoneDelayedxD.SouthxS = '0') or + (AuroraStatusxD.LaneUpxD(2)(0) = '0') or + (AuroraStatusxD.ChannelUpxD(2) = '0') else + '0'; + WestBackPressureResetxAS : RXResetxR.BackPressureResetxR.WestxR <= + '1' when + (AuroraStatusxD.LaneUpxD(3)(0) = '0') or + (RXFifoResetDonexD.WestxS = '0') or + (RXFifoResetDoneDelayedxD.WestxS = '0') or + (AuroraStatusxD.ChannelUpxD(3) = '0') else + '0'; + + end block ResetxB; + + -- Local Router Net Addr + -- LocNetAddrxAS : LocNetAddrxD <= C_SCALP_PACKET_NET_ADDR_210; + LocNetAddrXxAS : LocNetAddrxD.XxD <= to_integer(unsigned(LocNetAddrVectxD(7 downto 0))); + LocNetAddrYxAS : LocNetAddrxD.YxD <= to_integer(unsigned(LocNetAddrVectxD(15 downto 8))); + LocNetAddrZxAS : LocNetAddrxD.ZxD <= to_integer(unsigned(LocNetAddrVectxD(23 downto 16))); + + -- TX Side + NorthTXM2SxAS : NorthTXM2SxD <= TXAxism2sVectorxD(C_NORTH_IF_ID); + EastTXM2SxAS : EastTXM2SxD <= TXAxism2sVectorxD(C_EAST_IF_ID); + SouthTXM2SxAS : SouthTXM2SxD <= TXAxism2sVectorxD(C_SOUTH_IF_ID); + WestTXM2SxAS : WestTXM2SxD <= TXAxism2sVectorxD(C_WEST_IF_ID); + NorthTXS2MxAS : TXAxiss2mVectorxD(C_NORTH_IF_ID) <= NorthTXS2MxD; + EastTXS2MxAS : TXAxiss2mVectorxD(C_EAST_IF_ID) <= EastTXS2MxD; + SouthTXS2MxAS : TXAxiss2mVectorxD(C_SOUTH_IF_ID) <= SouthTXS2MxD; + WestTXS2MxAS : TXAxiss2mVectorxD(C_WEST_IF_ID) <= WestTXS2MxD; + + -- RX Side + NorthRXM2SxAS : RXAxism2sVectorxD(C_NORTH_IF_ID) <= NorthRXM2SxD; + EastRXM2SxAS : RXAxism2sVectorxD(C_EAST_IF_ID) <= EastRXM2SxD; + SouthRXM2SxAS : RXAxism2sVectorxD(C_SOUTH_IF_ID) <= SouthRXM2SxD; + WestRXM2SxAS : RXAxism2sVectorxD(C_WEST_IF_ID) <= WestRXM2SxD; + NorthRXS2MxAS : NorthRXS2MxD <= RXAxiss2mVectorxD(C_NORTH_IF_ID); + EastRXS2MxAS : EastRXS2MxD <= RXAxiss2mVectorxD(C_EAST_IF_ID); + SouthRXS2MxAS : SouthRXS2MxD <= RXAxiss2mVectorxD(C_SOUTH_IF_ID); + WestRXS2MxAS : WestRXS2MxD <= RXAxiss2mVectorxD(C_WEST_IF_ID); + + ScalpRouterxI : entity work.scalp_router + generic map ( + C_SCALP_NUMBER_OF_INTERFACE => C_SCALP_NUMBER_OF_INTERFACE, + C_SCALP_SCHEDULER_STRATEGY => C_SCALP_SCHEDULER_STRATEGY) + port map ( + SysClkxCI => AuroraClkMasterxC.UserClkxC, + SysRstxRNAI => ScalpRouterResetxRNA, + LocNetAddrxDI => LocNetAddrxD, + RXAxism2sVectorxDI => RXAxism2sVectorxD, + RXAxiss2mVectorxDO => RXAxiss2mVectorxD, + TXAxism2sVectorxDO => TXAxism2sVectorxD, + TXAxiss2mVectorxDI => TXAxiss2mVectorxD, + QoSVectorxDI => QoSVectorxD); + + end block NetworkLayerxB; + + end block ProgrammableLogicxB; + +end arch; diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/ipi_tcl/scalp_fast_router_firmware_ipi.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/src/ipi_tcl/scalp_fast_router_firmware_ipi.tcl new file mode 100644 index 0000000000000000000000000000000000000000..e1687165c745d65accba46f0e86ebf5af5a3d442 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/ipi_tcl/scalp_fast_router_firmware_ipi.tcl @@ -0,0 +1 @@ +source "../../../../../../soc/vivado/scalp_zynqps/2020.2/src/ipi_tcl/scalp_zynqps_ipi.tcl" \ No newline at end of file diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sim/tb_scalp_fast_router_firmware.vhd b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sim/tb_scalp_fast_router_firmware.vhd new file mode 100644 index 0000000000000000000000000000000000000000..30399717ef1085d0f4f9c167d9f47d90b448bc9f --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sim/tb_scalp_fast_router_firmware.vhd @@ -0,0 +1,34 @@ +---------------------------------------------------------------------------------- +-- _ _ +-- | |_ ___ _ __(_)__ _ +-- | ' \/ -_) '_ \ / _` | +-- |_||_\___| .__/_\__,_| +-- |_| +-- +---------------------------------------------------------------------------------- +-- +-- Company: hepia +-- Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +-- +-- Module Name: tb_scalp_fast_router_firmware - arch +-- Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +-- Tool version: 2020.2 +-- Description: Testbench for scalp_fast_router_firmware +-- +-- Last update: 2021-09-20 13:47:50 +-- +--------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity tb_scalp_fast_router_firmware is +end tb_scalp_fast_router_firmware; + + +architecture behavioral of tb_scalp_fast_router_firmware is + +begin + +end behavioral; diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/.prompt_colors.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/.prompt_colors.tcl new file mode 100644 index 0000000000000000000000000000000000000000..f67509bd38d493eed91051d64c87d5ac803b5512 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/.prompt_colors.tcl @@ -0,0 +1,47 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Console color print utility +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Try to set a variable with an execution command +# If the command fails, set the variable to an empty string +# cmd - The command to be executed +# return The variable to be set +proc try_setexec {cmd} { + set code [catch { set var [exec {*}$cmd] } ] + if { $code != 0 } { set var "" } + + return ${var} +} + +# Text attributes +set RESET [try_setexec "tput sgr0"] +set BOLD [try_setexec "tput bold"] +set ITALIC [try_setexec "tput sitm"] +set BLINK [try_setexec "tput blink"] +set HIGHL [try_setexec "tput smso"] + +# Text colors +set RED [try_setexec "tput setaf 1"] +set GREEN [try_setexec "tput setaf 2"] +set YELLOW [try_setexec "tput setaf 3"] +set BLUE [try_setexec "tput setaf 4"] +set MAGENTA [try_setexec "tput setaf 5"] +set CYAN [try_setexec "tput setaf 6"] +set WHITE [try_setexec "tput setaf 7"] diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/clean_sdk_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/clean_sdk_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..0b7e5a2b7d0a5cf1f08bbfe22a40c0e0c78f643b --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/clean_sdk_scalp_fast_router_firmware.sh @@ -0,0 +1,35 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Cleanup Vitis SDK workspace directory +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Cleanup SDK workspace directory..." + +PRJ_DIR=.. + +# Clean current directory +rm -rf ./xrc.log/ 2> /dev/null +rm -rf ./.Xil/ 2> /dev/null + +# Remove generated workspace directory +rm -rf ${PRJ_DIR}/vitis_workspace/ 2> /dev/null + +echo "> Done" diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..ae754f336e25cb6884a9aad544d31d7399e815b0 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.sh @@ -0,0 +1,26 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Generate Vitis workspace for software applications +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Generate Vitis workspace software applications" +xsct gen_sw_apps_scalp_fast_router_firmware.tcl +echo "> Done" diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.tcl new file mode 100644 index 0000000000000000000000000000000000000000..6b64480ab79f24e16bf5fc7211686fdbc6d78ce2 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/gen_sw_apps_scalp_fast_router_firmware.tcl @@ -0,0 +1,101 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script for re-creating Vitis workspace +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# +# Include files +# +source utils.tcl + +# +# Procedures +# +# Create source directories and import application source files +proc import_app_sources {prj_workspace app_name app_src_path} { + puts "Import application source file into workspace..." + + # Create workspace application directory + set ws_app_src_dir "${prj_workspace}/${app_name}/src" + file mkdir "${ws_app_src_dir}" + print_status "Create app directory into workspace: ${ws_app_src_dir}" "OK" + + foreach f [glob -nocomplain -directory ${app_src_path} *] { + file copy -force $f ${ws_app_src_dir} + print_status "Copy $f into ${ws_app_src_dir}" "OK" + } +} + +# +# Generate workspace +# + +# Set variables +set pwd [pwd] + +set prj_workspace "../vitis_workspace" + +set prj_platform "scalp_fast_router_firmware" +set prj_desc "Zynq platform project" +set prj_xsa "${pwd}/../hw_export/scalp_fast_router_firmware.xsa" + +# Generate default application for APU0 +# APU0 - Cortex-A9 +set apu_0_prj_proc "ps7_cortexa9_0" +set apu_0_prj_os "standalone" + +set apu_0_domain_name "standalone_apu0_domain" + +set apu_0_app_sysprj "scalp_fast_router_firmware_app_system" +set apu_0_app_template "Empty Application" + +set apu_0_app_name "scalp_fast_router_firmware_app" +set apu_0_app_src_dir "${pwd}/../sw_apps/scalp_fast_router_firmware_app/src" + +# Create the workspace +file mkdir $prj_workspace +setws $prj_workspace + +# Create the platform from the XSA (Xilinx Shell Archive) file +platform create -name $prj_platform -desc $prj_desc -hw $prj_xsa + +# Create a domain for the APU (Core_0) +domain create -name ${apu_0_domain_name} -proc ${apu_0_prj_proc} -os ${apu_0_prj_os} + +# Setup the APU_0 domain +domain active ${apu_0_domain_name} +# Example of how to add libraries to the BSP +#bsp setlib -name xilfpga +#bsp setlib -name xilsecure + +# Build the platform +platform active ${prj_platform} +platform generate + +# Build the APU_0 domain +domain active ${apu_0_domain_name} +# Create an application for APU Core_0 +app create -name ${apu_0_app_name} -sysproj ${apu_0_app_sysprj} -platform ${prj_platform} -domain ${apu_0_domain_name} -proc ${apu_0_prj_proc} -os ${apu_0_prj_os} -template ${apu_0_app_template} -lang {c} +# Add application sources +# Sources are copied into the workspace directory +import_app_sources ${prj_workspace} ${apu_0_app_name} ${apu_0_app_src_dir} +# Set includes path +app config -name ${apu_0_app_name} -add include-path {"${workspace_loc:/${ProjName}/src/inc}"} +# Build application +app build ${apu_0_app_name} diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/open_sdk_scalp_fast_router_firmware.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/open_sdk_scalp_fast_router_firmware.sh new file mode 100755 index 0000000000000000000000000000000000000000..ca16fdd66e93699629f126b4f3042f4b34fd54f2 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/open_sdk_scalp_fast_router_firmware.sh @@ -0,0 +1,25 @@ +#!/bin/sh + +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: Open the Vitis workspace +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +echo "> Open Vitis workspace..." +vitis -workspace ../vitis_workspace diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/utils.tcl b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/utils.tcl new file mode 100644 index 0000000000000000000000000000000000000000..6f8668ee4211266fb403b4c0629a42b08e5c90ca --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/.scripts/utils.tcl @@ -0,0 +1,62 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Laurent Gantel <laurent.gantel@hesge.ch> +# +# Project Name: t01_demo_platform +# Target Device: em.avnet.com:zed:part0:1.4 xc7z020clg484-1 +# Tool version: 2019.2 +# Description: Project management utilities +# +# Last update: 2019-12-09 09:06:01 +# +################################################################################## + +# findFiles +# basedir - the directory to start looking in +# pattern - A pattern, as defined by the glob command, that the files must match +proc findFiles { basedir pattern } { + + # Fix the directory name, this ensures the directory name is in the + # native format for the platform and contains a final directory seperator + set basedir [string trimright [file join [file normalize $basedir] { }]] + set fileList {} + + # Look in the current directory for matching files, -type {f r} + # means ony readable normal files are looked at, -nocomplain stops + # an error being thrown if the returned list is empty + foreach fileName [glob -nocomplain -type {f r} -path $basedir $pattern] { + lappend fileList $fileName + } + + # Now look for any sub direcories in the current directory + foreach dirName [glob -nocomplain -type {d r} -path $basedir *] { + # Recusively call the routine on the sub directory and append any + # new files to the results + set subDirList [findFiles $dirName $pattern] + if { [llength $subDirList] > 0 } { + foreach subDirFile $subDirList { + lappend fileList $subDirFile + } + } + } + return $fileList +} + + +# Print a progress status +# str The string describing the current status +# status The status as a string (eg. "OK", "FAILED") +proc print_status {str status} { + set MAX_STR_LENGTH 70 + source .prompt_colors.tcl + puts "${CYAN}>${YELLOW} $str [string repeat " " [expr {$MAX_STR_LENGTH - [string length $str]}]]\[${GREEN}${status}${YELLOW}\]${RESET}" +} + diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/setup.sh b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/setup.sh new file mode 100755 index 0000000000000000000000000000000000000000..a3a69d16308073b6cc25d2b9745a1781e29be653 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/setup.sh @@ -0,0 +1,27 @@ +################################################################################## +# _ _ +# | |_ ___ _ __(_)__ _ +# | ' \/ -_) '_ \ / _` | +# |_||_\___| .__/_\__,_| +# |_| +# +################################################################################## +# +# Company: hepia +# Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +# +# Project Name: scalp_fast_router_firmware +# Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +# Tool version: 2020.2 +# Description: TCL script creating aliases for Vitis workspace management scripts +# +# Last update: 2021-09-20 13:47:50 +# +################################################################################## + +# Create aliases +alias gen_sw_apps='cd .scripts && ./gen_sw_apps_scalp_fast_router_firmware.sh && cd ..' +# TODO: Not supported yet +#alias load_sw_app='cd .scripts && ./load_sw_app_scalp_fast_router_firmware.sh && cd ..' +alias open_sdk='cd .scripts && ./open_sdk_scalp_fast_router_firmware.sh && cd ..' +alias clean_sdk='cd .scripts && ./clean_sdk_scalp_fast_router_firmware.sh && cd ..' diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/inc/scalp_fast_router_firmware_app.h b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/inc/scalp_fast_router_firmware_app.h new file mode 100644 index 0000000000000000000000000000000000000000..33770481986b19fdbc9f87f026428e5c7bcd55d1 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/inc/scalp_fast_router_firmware_app.h @@ -0,0 +1,48 @@ +/*----------------------------------------------------------------------------- +-- _ _ +-- | |_ ___ _ __(_)__ _ +-- | ' \/ -_) '_ \ / _` | +-- |_||_\___| .__/_\__,_| +-- |_| +-- +------------------------------------------------------------------------------- +-- +-- Company: hepia +-- Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +-- +-- File Name: scalp_fast_router_firmware_app.h +-- Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +-- Tool version: 2020.2 +-- Description: Software application +-- +-- Last update: 2021-09-20 13:47:50 +-- +-----------------------------------------------------------------------------*/ + +#ifndef SCALP_FAST_ROUTER_FIRMWARE_APP_H +#define SCALP_FAST_ROUTER_FIRMWARE_APP_H + +#ifdef __cplusplus +extern "C" { +#endif + +/*---------------------------------------------------------------- +// Constants +//--------------------------------------------------------------*/ + + +/*---------------------------------------------------------------- +// Types +//--------------------------------------------------------------*/ + + +/*---------------------------------------------------------------- +// Functions +//--------------------------------------------------------------*/ + + +#ifdef __cplusplus +} +#endif + +#endif // SCALP_FAST_ROUTER_FIRMWARE_APP_H diff --git a/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/scalp_fast_router_firmware_app.c b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/scalp_fast_router_firmware_app.c new file mode 100644 index 0000000000000000000000000000000000000000..5005c2f666ab0f7c586366e96810efccda06bad0 --- /dev/null +++ b/designs/vivado/scalp_fast_router_firmware/2020.2/src/sw/sw_apps/scalp_fast_router_firmware_app/src/scalp_fast_router_firmware_app.c @@ -0,0 +1,60 @@ +/*----------------------------------------------------------------------------- +-- _ _ +-- | |_ ___ _ __(_)__ _ +-- | ' \/ -_) '_ \ / _` | +-- |_||_\___| .__/_\__,_| +-- |_| +-- +------------------------------------------------------------------------------- +-- +-- Company: hepia +-- Author: Joachim Schmidt <joachim.schmidt@hesge.ch> +-- +-- File Name: scalp_fast_router_firmware_app.c +-- Target Device: hepia-cores.ch:scalp_node:part0:0.1 xc7z015clg485-2 +-- Tool version: 2020.2 +-- Description: Software application +-- +-- Last update: 2021-09-20 13:47:50 +-- +-----------------------------------------------------------------------------*/ + +/* Xilinx includes. */ +#include "xil_printf.h" +#include "xparameters.h" + + +/*---------------------------------------------------------------- +// Constants +//--------------------------------------------------------------*/ + + +/*---------------------------------------------------------------- +// Variables +//--------------------------------------------------------------*/ +/** @brief Software version */ +const char * APP_VERSION = "0.0.1"; + + +/*---------------------------------------------------------------- +// Static Functions +//--------------------------------------------------------------*/ + + +/*---------------------------------------------------------------- +// Functions +//--------------------------------------------------------------*/ + +/** + * @brief Main entry point + */ +int main( void ) +{ + + //---------------------------------------------------------------- + xil_printf( "\r\n-- scalp_fast_router_firmware app v%s\r\n", APP_VERSION); + xil_printf("-- %s - %s\r\n", __DATE__,__TIME__); + + // Endless loop + for( ;; ); +} diff --git a/tools/config/scalp_fast_router_firmware.json b/tools/config/scalp_fast_router_firmware.json new file mode 100644 index 0000000000000000000000000000000000000000..7a14ae3b4b55cd0e1809bc3551ae857d45ed6de5 --- /dev/null +++ b/tools/config/scalp_fast_router_firmware.json @@ -0,0 +1,69 @@ +{ + "author" : { + "name" : "Joachim Schmidt", + "email" : "<joachim.schmidt@hesge.ch>" + }, + "project" : { + "name" : "scalp_fast_router_firmware", + "type" : "DESIGN_PRJ_TYPE", + "category" : "DESIGNS", + "vivado_version" : "2020.2", + "target_language" : "VHDL", + "vhdl_version" : "VHDL 2008" + }, + "hardware" : { + "part_name" : "xc7z015clg485-2", + "board_name" : "hepia-cores.ch:scalp_node:part0:0.1" + }, + "constraints" : { + "scalp_firmware" : "enable", + "ibert_constraints" : "disable", + "debug" : "enable", + "timing_constraints" : "enable" + }, + "components" : { + "packages" : { + "aurora_drp_pkg" : { + "enable" : "true" + }, + "aurora_status_pkg" : { + "enable" : "true" + }, + "axi4_pkg" : { + "enable" : "true" + } + }, + "soc" : { + "scalp_zynqps" : "enable" + }, + "ips" : { + "scalp_router" : { + "hdl" : "enable", + "xci" : { + } + }, + "scalp_aurora_phy" : { + "hdl" : "enable", + "xci" : { + "east_channel" : "enable", + "north_channel" : "enable", + "south_channel" : "enable", + "west_channel" : "enable" + } + }, + "scalp_aurora_phy_rx_fifo" : + { + "hdl" : "enable", + "xci" : { + "axis_data_fifo" : "enable" + } + }, + "scalp_design_aurora_clk" : { + "hdl" : "disable", + "xci" : { + "scalp_aurora_clk" : "enable" + } + } + } + } +}