diff --git a/.gitignore b/.gitignore index 4fe44703299aba856047252b00d945b62af3eb92..afbec16a93d9a87f11f9dc4e086eeff84fbafd69 100644 --- a/.gitignore +++ b/.gitignore @@ -95,6 +95,19 @@ ################ # Vivado folders ################ +designs/vivado/scalp_firmware_bkp_0/ +designs/vivado/scalp_firmware_bkp_1/ +designs/vivado/scalp_firmware_bkp_2/ +designs/vivado/scalp_router_firmware/2020.2/lin64/.scripts/.Xil/ +designs/vivado/scalp_router_firmware/2020.2/lin64/.scripts/scalp_zynqps/ +designs/vivado/scalp_router_firmware_bkup_0/ +designs/vivado/scalp_safe_firmware/2020.2/lin64/.scripts/scalp_zynqps/ +designs/vivado/scalp_safe_firmware/2020.2/lin64/scalp_safe_firmware/ +designs/vivado/scalp_user_firmware/2020.2/lin64/.scripts/scalp_zynqps/ +designs/vivado/scalp_user_firmware/2020.2/lin64/scalp_user_firmware/ +ips/hw/scalp_firmware.gen/ +soc/vivado/scalp_zynqps/2020.2/lin64/.scripts/scalp_zynqps/ +soc/vivado/scalp_zynqps/2020.2/lin64/scalp_zynqps/ designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/ designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/ ips/hw/scalp_aurora_phy/src/ip_core/east_channel/east_channel.dcp diff --git a/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/vivado_pid24324.str b/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/vivado_pid24324.str deleted file mode 100644 index c7eaebc66eb8baca2b960b38f2f283e6c6670ac0..0000000000000000000000000000000000000000 --- a/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/vivado_pid24324.str +++ /dev/null @@ -1,3890 +0,0 @@ -/* - -Xilinx Vivado v2020.2 (64-bit) [Major: 2020, Minor: 2] -SW Build: 3064766 on Wed Nov 18 09:12:47 MST 2020 -IP Build: 3064653 on Wed Nov 18 14:17:31 MST 2020 - -Process ID (PID): 24324 -License: Customer -Mode: GUI Mode - -Current time: Mon May 17 08:42:34 CEST 2021 -Time zone: Central European Standard Time (Europe/Zurich) - -OS: PRETTY_NAME="Debian GNU/Linux 10 (buster)" -OS Version: 5.11.7-debian10-semtech -OS Architecture: amd64 -Available processors (cores): 6 - -Display: 0 -Screen size: 2560x1440 -Screen resolution (DPI): 100 -Available screens: 1 -Default font: family=Dialog,name=Dialog,style=plain,size=12 -Scale size: 12 - -Java version: 11.0.2 64-bit -Java home: /tools/Xilinx/Vivado/2020.2/tps/lnx64/jre11.0.2 -Java executable location: /tools/Xilinx/Vivado/2020.2/tps/lnx64/jre11.0.2/bin/java -Java initial memory (-Xms): 128 MB -Java maximum memory (-Xmx): 3 GB - - -User name: jo -User home directory: /home/jo -User working directory: /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts -User country: CH -User language: fr -User locale: fr_CH - -RDI_BASEROOT: /tools/Xilinx/Vivado -HDI_APPROOT: /tools/Xilinx/Vivado/2020.2 -RDI_DATADIR: /tools/Xilinx/Vivado/2020.2/data -RDI_BINDIR: /tools/Xilinx/Vivado/2020.2/bin - -Vivado preferences file location: /home/jo/.Xilinx/Vivado/2020.2/vivado.xml -Vivado preferences directory: /home/jo/.Xilinx/Vivado/2020.2/ -Vivado layouts directory: /home/jo/.Xilinx/Vivado/2020.2/data/layouts -PlanAhead jar file location: /tools/Xilinx/Vivado/2020.2/lib/classes/planAhead.jar -Vivado log file location: -Vivado journal file location: -Engine tmp dir: ./.Xil/Vivado-24324-semaphore-debian - -Xilinx Environment Variables ----------------------------- -ANDROIDSDK: /home/jo/Android/Sdk/ -ANDROIDSDKTOOLS: /home/jo/Android/Sdk/tools/ -ANDROIDSDKTOOLSBIN: /home/jo/Android/Sdk//home/jo/Android/Sdk/tools/bin/ -VIVADOBINPATH: /tools/Xilinx/Vivado/2020.2/bin/ -VIVADODOCNAVPATH: /tools/Xilinx/DocNav -VIVADOGCCBINPATH: /tools/Xilinx/Vitis/2020.2/gnu/microblaze/lin/bin/ -VIVADOHLSBINPATH: /tools/Xilinx/Vivado_HLS/2020.2/bin/ -VIVADOHLSPATH: /tools/Xilinx/Vivado_HLS/2020.2/ -VIVADOPATH: /tools/Xilinx/Vivado/2020.2/ -VIVADOSDKBINPATH: /tools/Xilinx/Vitis/2020.2/bin/ -VIVADOSDKPATH: /tools/Xilinx/Vitis/2020.2/ -VIVADOVERSION: 2020.2 -XILINX: /tools/Xilinx/Vivado/2020.2/ids_lite/ISE -XILINXD_LICENSE_FILE: 7419@10.136.132.94;7419@129.194.185.168;2100@lsn-licence -XILINXROOTFOLD: /tools -XILINX_DSP: /tools/Xilinx/Vivado/2020.2/ids_lite/ISE -XILINX_HLS: /tools/Xilinx/Vitis_HLS/2020.2 -XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2020.2 -XILINX_SDK: /tools/Xilinx/Vitis/2020.2 -XILINX_VITIS: /tools/Xilinx/Vitis/2020.2 -XILINX_VIVADO: /tools/Xilinx/Vivado/2020.2 -XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2020.2 - - -GUI allocated memory: 188 MB -GUI max memory: 3,072 MB -Engine allocated memory: 1,489 MB - -Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. - -*/ - -// TclEventType: START_GUI -// Tcl Message: start_gui -// TclEventType: PROJECT_OPEN_DIALOG -// [GUI Memory]: 109 MB (+111406kb) [00:00:04] -// [Engine Memory]: 1,476 MB (+1396099kb) [00:00:04] -// bz (cr): Open Project : addNotify -// Opening Vivado Project: ../scalp_firmware/scalp_firmware.xpr. Version: Vivado v2020.2 -// TclEventType: DEBUG_PROBE_SET_CHANGE -// Tcl Message: open_project ../scalp_firmware/scalp_firmware.xpr -// TclEventType: MSGMGR_MOVEMSG -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_DASHBOARD_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: LOAD_FEATURE -// HMemoryUtils.trashcanNow. Engine heap size: 1,501 MB. GUI used memory: 60 MB. Current time: 5/17/21, 8:42:35 AM CEST -// TclEventType: PROJECT_NEW -// [GUI Memory]: 120 MB (+5705kb) [00:00:11] -// WARNING: HEventQueue.dispatchEvent() is taking 1620 ms. -// Tcl Message: open_project ../scalp_firmware/scalp_firmware.xpr -// Tcl Message: Scanning sources... Finished scanning sources -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw'. -// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.2/data/ip'. -// Project name: scalp_firmware; location: /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware; part: xc7z015clg485-2 -// Tcl Message: open_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:07 . Memory (MB): peak = 7313.250 ; gain = 72.473 ; free physical = 9282 ; free virtual = 21737 -dismissDialog("Open Project"); // bz -// [Engine Memory]: 1,555 MB (+5117kb) [00:00:13] -// Tcl Command: 'rdi::info_commands {device::*}' -// Tcl Command: 'rdi::info_commands {debug::*}' -// Tcl Command: 'rdi::info_commands {*}' -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// [GUI Memory]: 140 MB (+14979kb) [00:00:15] -// [Engine Memory]: 1,634 MB (+1347kb) [00:00:15] -// Tcl Message: INFO: [IP_Flow 19-3899] Cannot get the environment domain name variable for the component vendor name. Setting the vendor name to 'user.org'. -// Tcl Message: update_compile_order -fileset sources_1 -// Tcl Message: update_compile_order -fileset sim_1 -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 8); // D -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1]", 9); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, debug.xdc]", 10, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, debug.xdc]", 10, false, false, false, false, false, true); // D - Double Click -// Launch External Editor: 'emacs +0:0 /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/src/constrs/debug.xdc' -// TclEventType: FILE_SET_CHANGE -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // D -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // D -// HMemoryUtils.trashcanNow. Engine heap size: 1,700 MB. GUI used memory: 85 MB. Current time: 5/17/21, 8:42:55 AM CEST -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd)]", 2, true); // D - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd)]", 2, true, false, false, false, false, true); // D - Double Click - Node -// Launch External Editor: 'emacs +280:0 /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/src/hdl/scalp_firmware.vhd' -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// [Engine Memory]: 1,726 MB (+11133kb) [00:00:43] -// HMemoryUtils.trashcanNow. Engine heap size: 1,727 MB. GUI used memory: 84 MB. Current time: 5/17/21, 8:43:10 AM CEST -// Elapsed time: 19 seconds -selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, xil_defaultlib, Unreferenced]", 31); // D -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, xil_defaultlib, Unreferenced]", 31); // D -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -// f (cr): Launch Runs: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// bz (cr): Starting Design Runs : addNotify -dismissDialog("Launch Runs"); // f -// Tcl Message: launch_runs synth_1 -jobs 3 -// TclEventType: RSB_CHANGE_CURRENT_DIAGRAM -// TclEventType: RSB_LOCK_CHANGE -// TclEventType: MSGMGR_REFRESH_MSG -// Tcl Message: INFO: [BD 41-1662] The design 'scalp_zynqps.bd' is already validated. Therefore parameter propagation will not be re-run. -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Tcl Message: VHDL Output written to : /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/synth/scalp_zynqps.vhd VHDL Output written to : /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/sim/scalp_zynqps.vhd VHDL Output written to : /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/hdl/scalp_zynqps_wrapper.vhd -// Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block gnd_constant . INFO: [BD 41-1029] Generation completed for the IP Integrator block irq_xlconcat . -// TclEventType: FILE_SET_CHANGE -// Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 . -// TclEventType: FILE_SET_CHANGE -// TclEventType: RSB_CLOSE_DIAGRAM -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/xbar . -// Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc . INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_125M . INFO: [BD 41-1029] Generation completed for the IP Integrator block scalp_axi4lite_0 . INFO: [BD 41-1029] Generation completed for the IP Integrator block scalp_safe_firmware_0 . INFO: [BD 41-1029] Generation completed for the IP Integrator block util_vector_logic_0 . INFO: [BD 41-1029] Generation completed for the IP Integrator block util_vector_logic_1 . INFO: [BD 41-1029] Generation completed for the IP Integrator block vio_0 . -// Tcl Message: Exporting to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/hw_handoff/scalp_zynqps.hwh Generated Block Design Tcl file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/hw_handoff/scalp_zynqps_bd.tcl Generated Hardware Definition File /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/.scripts/scalp_zynqps/synth/scalp_zynqps.hwdef -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_NEW -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_ADD -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_MODIFY -// HMemoryUtils.trashcanNow. Engine heap size: 1,806 MB. GUI used memory: 88 MB. Current time: 5/17/21, 8:43:50 AM CEST -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: [Mon May 17 08:43:50 2021] Launched synth_1... Run output will be captured here: /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/synth_1/runme.log -// Tcl Message: launch_runs: Time (s): cpu = 00:00:32 ; elapsed = 00:00:25 . Memory (MB): peak = 7718.582 ; gain = 187.105 ; free physical = 8144 ; free virtual = 20904 -// 'k' command handler elapsed time: 25 seconds -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 24 seconds -dismissDialog("Starting Design Runs"); // bz -// [GUI Memory]: 147 MB (+541kb) [00:01:25] -// TclEventType: RUN_STATUS_CHANGE -// [Engine Memory]: 1,951 MB (+145700kb) [00:01:27] -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 72 seconds -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, xil_defaultlib]", 2); // D -selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI : scalp_aurora_phy(arch) (scalp_aurora_phy.vhd)]", 6); // D -// TclEventType: FILE_SET_CHANGE -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI : scalp_router(rtl) (scalp_router.vhd)]", 16); // D -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI : scalp_router(rtl) (scalp_router.vhd), ScalpRouterInterfacexG[0].ScalpRouterInterfacexI : scalp_router_interface(rtl) (scalp_router_interface.vhd)]", 17); // D -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// [GUI Memory]: 155 MB (+665kb) [00:03:19] -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// [GUI Memory]: 197 MB (+35011kb) [00:03:49] -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// ag (cr): Synthesis Completed: addNotify -// HMemoryUtils.trashcanNow. Engine heap size: 1,821 MB. GUI used memory: 108 MB. Current time: 5/17/21, 9:13:50 AM CEST -// Elapsed time: 1887 seconds -selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a -dismissDialog("Synthesis Completed"); // ag -// Elapsed time: 49 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 15, true); // u - Node -// Run Command: PAResourceCommand.PACommandNames_GOTO_NETLIST_DESIGN -// bz (cr): Open Synthesized Design : addNotify -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7z015clg485-2 -// HMemoryUtils.trashcanNow. Engine heap size: 1,860 MB. GUI used memory: 108 MB. Current time: 5/17/21, 9:18:20 AM CEST -// TclEventType: DEBUG_PORT_ADD -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// [Engine Memory]: 2,416 MB (+384987kb) [00:36:03] -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 2,426 MB. GUI used memory: 109 MB. Current time: 5/17/21, 9:18:31 AM CEST -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// Schematic: addNotify -// WARNING: HEventQueue.dispatchEvent() is taking 2176 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.22 . Memory (MB): peak = 7718.582 ; gain = 0.000 ; free physical = 8492 ; free virtual = 21168 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 307 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: INFO: [Chipscope 16-324] Core: ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/vio_0 UUID: 31898c18-405f-5cf9-92b7-4ad14d196966 INFO: [Chipscope 16-324] Core: ProgrammableLogicxB.NetworkLayerxB.WrSpValidxI UUID: f846851f-ed37-59e4-b8a4-3fd8415cd5f8 -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw/scalp_design_aurora_clk/src/ip_core/scalp_aurora_clk/scalp_aurora_clk.xdc:57] INFO: [Timing 38-2] Deriving generated clocks [/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw/scalp_design_aurora_clk/src/ip_core/scalp_aurora_clk/scalp_aurora_clk.xdc:57] -// Tcl Message: INFO: [Project 1-1715] 4 XPM XDC files have been applied to the design. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 8090.301 ; gain = 0.000 ; free physical = 7929 ; free virtual = 20618 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Elapsed time: 62 seconds -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v -// ExpRunCommands.openSynthResults elapsed time: 62.4s -// Tcl Message: open_run: Time (s): cpu = 00:00:29 ; elapsed = 00:01:02 . Memory (MB): peak = 8182.688 ; gain = 464.105 ; free physical = 7827 ; free virtual = 20524 -// 'dV' command handler elapsed time: 63 seconds -// WARNING: HEventQueue.dispatchEvent() is taking 1032 ms. -dismissDialog("Open Synthesized Design"); // bz -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Set Up Debug]", 18, false); // u -// Run Command: PAResourceCommand.PACommandNames_DEBUG_WIZARD -// N (cr): Set Up Debug: addNotify -// bz (N): Filtering Net and Tracing Clock Domain : addNotify -selectButton("NEXT", "Next >"); // JButton -dismissDialog("Filtering Net and Tracing Clock Domain"); // bz -// [GUI Memory]: 214 MB (+8421kb) [00:36:25] -selectTab((HResource) null, (HResource) null, "Sources", 0); // aK -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI : scalp_router(rtl) (scalp_router.vhd), ScalpRouterInterfacexG[0].ScalpRouterInterfacexI : scalp_router_interface(rtl) (scalp_router_interface.vhd), ScalpTXSidexI : scalp_tx_side(behavioral) (scalp_tx_side.vhd)]", 18); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI : scalp_router(rtl) (scalp_router.vhd), ScalpRouterInterfacexG[0].ScalpRouterInterfacexI : scalp_router_interface(rtl) (scalp_router_interface.vhd), ScalpTXSidexI : scalp_tx_side(behavioral) (scalp_tx_side.vhd), ScalpFifoDoubleRegisterxI : scalp_fifo_double_register(behavioral) (scalp_fifo_double_register.vhd)]", 19, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, scalp_firmware(arch) (scalp_firmware.vhd), ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI : scalp_router(rtl) (scalp_router.vhd), ScalpRouterInterfacexG[0].ScalpRouterInterfacexI : scalp_router_interface(rtl) (scalp_router_interface.vhd), ScalpTXSidexI : scalp_tx_side(behavioral) (scalp_tx_side.vhd), ScalpFifoDoubleRegisterxI : scalp_fifo_double_register(behavioral) (scalp_fifo_double_register.vhd)]", 19, false, false, false, false, false, true); // D - Double Click -// Launch External Editor: 'emacs +50:0 /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw/scalp_router/src/hdl/scalp_fifo_double_register.vhd' -// Elapsed time: 18 seconds -selectButton(RDIResource.AbstractSearchablePanel_SHOW_SEARCH, "Nets to Debug_search"); // x: TRUE -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "DestxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DestxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT4 ; Data and Trigger", 3, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DestxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "[IdxS"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[IdxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 15, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[IdxS]", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "KeepxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[1].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[KeepxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 15, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[1].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[KeepxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "StrbxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[StrbxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 15, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[StrbxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "UserxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[UserxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT1 ; Data and Trigger", 8, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[UserxD] (32)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -// Elapsed time: 12 seconds -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "ScalpTXSidexI"); // OverlayTextField -selectTreeTableHeader(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "Name", 0); // aq -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; RAMB36E1 ; Data and Trigger", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 62, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, true, true, false, false, false, false); // aq - Shift Key - Node -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[4].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT1 ; Data and Trigger", 21, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[4].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 83, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, false, true, false, false, false, false); // aq - Shift Key -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "ScalpRXSidexI"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT6 ; Data and Trigger", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 125, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, false, true, false, false, false, false); // aq - Shift Key -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_axi4lite_0/U0/RdAddrxD (12) ; ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/processing_system7_0/inst/FCLK_CLK0 ; FDRE ; Data and Trigger", 0, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_axi4lite_0/U0/RdAddrxD (12)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_safe_firmware_0/U0/WrValidxS ; ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/processing_system7_0/inst/FCLK_CLK0 ; LUT5 ; Data and Trigger", 12, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_safe_firmware_0/U0/WrValidxS", 0, true, true, false, false, false, false); // aq - Shift Key - Node -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -selectButton("NEXT", "Next >"); // JButton -selectComboBox(PAResourceAtoD.DebugWizard_SAMPLE_OF_DATA_DEPTH, "2048", 1); // e -selectButton("NEXT", "Next >"); // JButton -selectButton("FINISH", "Finish"); // JButton -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: DEBUG_CORE_ADD -// TclEventType: DEBUG_GRAPH_STALE -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_core u_ila_0 ila -// bz (N): Set Up Debug : addNotify -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_DATA_DEPTH 2048 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_TRIGIN_EN false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: connect_debug_port u_ila_0/clk [get_nets [list ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ]] -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe0] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe1] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe2] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe3] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// [GUI Memory]: 234 MB (+9467kb) [00:38:35] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe4] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe4] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe5] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe5] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe6] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe7] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe7] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe8] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe8] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe9] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe9] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe10] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe10] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe11] -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe11] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe12] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe12] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe12 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe13] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe13] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe13 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe14] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe14] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe14 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe15] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe15] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe15 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe16] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe16] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe16 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe17] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe17] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe17 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe18] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe18] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe18 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe19] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe19] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe19 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe20] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe20] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe20 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe21] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe21] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe21 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe22] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe22] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe22 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe23] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe23] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe23 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe24] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe24] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe24 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe25] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe25] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe25 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe26] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe26] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe26 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe27] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe27] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe27 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe28] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe28] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe28 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe29] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe29] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe29 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe30] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe30] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe30 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe31] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe31] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe31 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe32] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe32] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe32 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe33] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe33] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe33 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe34] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe34] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe34 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe35] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe35] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe35 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe36] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe36] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe36 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe37] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe37] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe37 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe38] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe38] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe38 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe39] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe39] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe39 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe40] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe40] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe40 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe41] -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe41] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// HMemoryUtils.trashcanNow. Engine heap size: 2,530 MB. GUI used memory: 150 MB. Current time: 5/17/21, 9:21:34 AM CEST -// Tcl Message: connect_debug_port u_ila_0/probe41 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]} ]] -// TclEventType: DEBUG_GRAPH_STALE -// Elapsed time: 38 seconds -dismissDialog("Set Up Debug"); // bz -dismissDialog("Set Up Debug"); // N -// Run Command: PAResourceCommand.PACommandNames_SAVE_DESIGN -// A (cr): Out of Date Design: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// a (cr): Save Constraints: addNotify -dismissDialog("Out of Date Design"); // A -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// TclEventType: FILESET_TARGET_UCF_CHANGE -// TclEventType: FILE_SET_OPTIONS_CHANGE -// bz (cr): Save Constraints : addNotify -// Tcl Message: set_property target_constrs_file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/src/constrs/debug.xdc [current_fileset -constrset] -dismissDialog("Save Constraints"); // a -// TclEventType: DESIGN_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DESIGN_SAVE -// TclEventType: FILESET_TARGET_UCF_CHANGE -// Tcl Message: save_constraints -force -// 'h' command handler elapsed time: 9 seconds -dismissDialog("Save Constraints"); // bz -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 32, false); // u -// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN -// A (cr): No Implementation Results Available: addNotify -selectButton(RDIResource.BaseDialog_YES, "Yes"); // a -// bz (cr): Resetting Runs : addNotify -// cD (cr): Launch Runs: addNotify -dismissDialog("No Implementation Results Available"); // A -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// TclEventType: FILESET_TARGET_UCF_CHANGE -dismissDialog("Launch Runs"); // cD -// bz (cr): Generate Bitstream : addNotify -// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 3 -// Tcl Message: Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -// Tcl Message: Write XDEF Complete: Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.05 . Memory (MB): peak = 8182.688 ; gain = 0.000 ; free physical = 7751 ; free virtual = 20471 -// HMemoryUtils.trashcanNow. Engine heap size: 2,569 MB. GUI used memory: 151 MB. Current time: 5/17/21, 9:21:55 AM CEST -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// [Engine Memory]: 2,550 MB (+14185kb) [00:39:32] -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: [Mon May 17 09:21:58 2021] Launched impl_1... Run output will be captured here: /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/runme.log -dismissDialog("Generate Bitstream"); // bz -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// ag (cr): Bitstream Generation Completed: addNotify -// Elapsed time: 599 seconds -selectRadioButton(PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER, "Open Hardware Manager"); // a -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// Tcl Command: 'load_features labtools' -// TclEventType: LOAD_FEATURE -// bz (cr): Open Hardware Manager : addNotify -// TclEventType: HW_SESSION_OPEN -// WARNING: HEventQueue.dispatchEvent() is taking 1435 ms. -// Tcl Message: open_hw_manager -dismissDialog("Open Hardware Manager"); // bz -// Elapsed time: 12 seconds -selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h -selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak -// Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET -// Tcl Message: connect_hw_server -allow_non_jtag -// Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-2222] Launching hw_server... -// bz (cr): Auto Connect : addNotify -// TclEventType: HW_SERVER_UPDATE -// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 09:50:49 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3417] Launching cs_server... -// TclEventType: HW_SERVER_UPDATE -// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 03 2020-22:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved. -// TclEventType: HW_TARGET_CHANGE -// TclEventType: HW_TARGET_CLOSE -// Tcl Message: open_hw_target -// Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210299AFC175 -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_ADD -// TclEventType: HW_TARGET_UPDATE -// WARNING: HEventQueue.dispatchEvent() is taking 2824 ms. -// HMemoryUtils.trashcanNow. Engine heap size: 3,874 MB. GUI used memory: 146 MB. Current time: 5/17/21, 9:32:21 AM CEST -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: current_hw_device [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// [Engine Memory]: 3,902 MB (+1283816kb) [00:49:57] -// WARNING: HEventQueue.dispatchEvent() is taking 3207 ms. -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 VIO core(s). -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_ILA_CHANGE -// Elapsed time: 11 seconds -dismissDialog("Auto Connect"); // bz -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectMenuItem((HResource) null, "xc7z015_1"); // ak -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -// bC (cr): Program Device: addNotify -selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program"); // a -// bz (cr): Program Device : addNotify -// TclEventType: HW_DEVICE_CHANGE -dismissDialog("Program Device"); // bC -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_OBJECT_DELETE -// TclEventType: HW_DEVICE_UPDATE -// Tcl Message: program_hw_devices [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 2 VIO core(s). INFO: [Labtools 27-1889] Uploading output probe values for VIO core [hw_vio_1] INFO: [Labtools 27-1889] Uploading output probe values for VIO core [hw_vio_2] -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Waveform: addNotify -// TclEventType: WAVEFORM_MODEL_EVENT -// Waveform: addNotify -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 3,992 MB. GUI used memory: 163 MB. Current time: 5/17/21, 9:32:33 AM CEST -// Tcl Message: display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// 'I' command handler elapsed time: 5 seconds -dismissDialog("Program Device"); // bz -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Waveform: addNotify -// Waveform: addNotify -// Waveform: addNotify -// Elapsed time: 308 seconds -floatFrame(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // az -// PAResourceOtoP.PAViews_DASHBOARD: hw_ila_1: float view -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,992 MB. GUI used memory: 182 MB. Current time: 5/17/21, 9:37:42 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,992 MB. GUI used memory: 177 MB. Current time: 5/17/21, 9:37:44 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,993 MB. GUI used memory: 178 MB. Current time: 5/17/21, 9:37:45 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,993 MB. GUI used memory: 172 MB. Current time: 5/17/21, 9:37:47 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,993 MB. GUI used memory: 171 MB. Current time: 5/17/21, 9:37:49 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 3,993 MB. GUI used memory: 170 MB. Current time: 5/17/21, 9:37:51 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 14 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[DataxD][0:31]]", 0, true); // a - Node -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD][0:31]]", 5, true, true, false, false, false, false); // a - Shift Key - Node -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[LastxS]]", 15, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 16, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]]", 17, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]]", 18, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[LastxS]]", 19, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[LastxS]]", 20, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 21, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[LastxS]]", 22, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[LastxS]]", 23, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]]", 24, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]]", 25, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]]", 26, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]]", 27, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]]", 29, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]]", 28, false, false, true, false, false, false); // a - Control Key -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[DataxD][0:31]]", 3, true, false, false, false, true, false); // a - Popup Trigger - Node -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenuItem((HResource) null, "New Group"); // ak -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_RENAME_COMMAND -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side]", 21, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side]", 0); // a -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[DataxD][0:31]]", 9, true); // a - Node -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]]", 29, false, true, false, false, false, false); // a - Shift Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]]", 29, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenuItem((HResource) null, "New Group"); // ak -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_RENAME_COMMAND -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side]", 9); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 22 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 13, false); // a -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side]", 22, true); // a - Node -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side]", 22, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 10, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBES, (String) null); // E -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -selectTableHeader(PAResourceTtoZ.TriggerSetupPanel_TABLE, "Name", 0); // A -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS] ; == ; [B] ; X ; probe15[0] ; ", 0, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 0, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// Elapsed time: 12 seconds -setText("[0 - 1023]", "512"); // y -// TclEventType: HW_ILA_CHANGE -// Tcl Message: set_property CONTROL.TRIGGER_POSITION 512 [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Elapsed time: 107 seconds -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: HW_ILA_CHANGE -// Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2021-May-17 09:41:27 -// Elapsed time: 16 seconds -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS] ; == ; [B] ; B ; probe15[0] ; 1 of 1", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]", 0); // A -selectButton(PAResourceItoN.IlaProbeTablePanel_REMOVE_SELECTED_PROBE, "IlaProbeTablePanel_remove"); // E -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 11 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]]", 7, false); // a -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 9, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 10, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]]", 14, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]]", 14, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]]", 13, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]]", 17, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]]", 19, false, false, true, false, false, false); // a - Control Key -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 25, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]]", 26, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]]", 27, false, false, true, false, false, false); // a - Control Key -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 22 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]]", 7, false); // a -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 9, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 10, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]]", 13, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]]", 17, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]]", 19, false, false, true, false, false, false); // a - Control Key -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 25, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]]", 26, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]]", 27, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 30, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]]", 33, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]]", 37, false, false, true, false, false, false); // a - Control Key -selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBES, (String) null); // E -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS] ; == ; [B] ; X ; probe12[0] ; ", 0, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 0, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS] ; == ; [B] ; X ; probe14[0] ; ", 1, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 1, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectButton(PAResourceItoN.IlaProbeTablePanel_SET_TRIGGER_CONDITION_TO_GLOBAL, "IlaProbeTablePanel_OPERATOR"); // E -selectMenuItem(PAResourceItoN.IlaProbeTablePanel_SET_TRIGGER_CONDITION_TO_GLOBAL, "Set Trigger Condition to 'Global OR'"); // Y -// TclEventType: HW_ILA_CHANGE -// Tcl Message: set_property CONTROL.TRIGGER_CONDITION OR [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS] ; == ; [B] ; X ; probe15[0] ; ", 2, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 2, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS] ; == ; [B] ; X ; probe18[0] ; ", 3, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 3, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS] ; == ; [B] ; X ; probe22[0] ; ", 4, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 4, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS] ; == ; [B] ; X ; probe24[0] ; ", 5, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 5, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS] ; == ; [B] ; X ; probe28[0] ; ", 6, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 6, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS] ; == ; [B] ; X ; probe29[0] ; ", 7, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 7, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS] ; == ; [B] ; X ; probe30[0] ; ", 8, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 8, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS] ; == ; [B] ; X ; probe33[0] ; ", 9, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 9, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS] ; == ; [B] ; X ; probe36[0] ; ", 10, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 10, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS] ; == ; [B] ; X ; probe41[0] ; ", 11, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 11, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 54 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]]", 18, false); // a -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]]", 20, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]]", 21, false, false, true, false, false, false); // a - Control Key -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]]", 35, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]]", 36, false, false, true, false, false, false); // a - Control Key -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]]", 38, false, false, true, false, false, false); // a - Control Key -selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBE, "IlaProbeTablePanel_new"); // E -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS] ; == ; [B] ; X ; probe23[0] ; ", 12, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 12, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; == ; [B] ; X ; probe25[0] ; ", 13, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 13, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS] ; == ; [B] ; X ; probe26[0] ; ", 14, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 14, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; == ; [B] ; X ; probe38[0] ; ", 15, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 15, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS] ; == ; [B] ; X ; probe39[0] ; ", 16, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 16, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS] ; == ; [B] ; X ; probe40[0] ; ", 17, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 17, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: HW_ILA_CHANGE -// Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// TclEventType: HW_ILA_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 4,007 MB. GUI used memory: 173 MB. Current time: 5/17/21, 9:44:35 AM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: HW_WAVE_ZIP_EXPORT_DONE -// TclEventType: WAVEFORM_GLASSPANE -// Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2021-May-17 09:44:34 INFO: [Labtools 27-3304] ILA Waveform data saved to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data. -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 21 seconds -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: HW_ILA_CHANGE -// Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2021-May-17 09:44:49 -// TclEventType: HW_ILA_CHANGE -// Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// TclEventType: HW_ILA_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,007 MB. GUI used memory: 200 MB. Current time: 5/17/21, 9:44:54 AM CEST -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: HW_WAVE_ZIP_EXPORT_DONE -// TclEventType: WAVEFORM_GLASSPANE -// Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2021-May-17 09:44:53 INFO: [Labtools 27-3304] ILA Waveform data saved to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data. -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,002 MB. GUI used memory: 211 MB. Current time: 5/17/21, 9:44:56 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,002 MB. GUI used memory: 179 MB. Current time: 5/17/21, 9:44:58 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 22 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]]", 9, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 178 MB. Current time: 5/17/21, 9:45:12 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 10, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 173 MB. Current time: 5/17/21, 9:45:21 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Elapsed time: 46 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectMenuItem((HResource) null, "xc7z015_1"); // ak -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -// bC (cr): Program Device: addNotify -selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program"); // a -// bz (cr): Program Device : addNotify -// TclEventType: HW_DEVICE_CHANGE -dismissDialog("Program Device"); // bC -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_OBJECT_DELETE -// TclEventType: HW_DEVICE_UPDATE -// Tcl Message: program_hw_devices [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 4,011 MB. GUI used memory: 192 MB. Current time: 5/17/21, 9:46:06 AM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 2 VIO core(s). -// 'I' command handler elapsed time: 4 seconds -dismissDialog("Program Device"); // bz -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: HW_ILA_CHANGE -// Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2021-May-17 09:46:16 -// TclEventType: HW_ILA_CHANGE -// Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// TclEventType: HW_ILA_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 4,011 MB. GUI used memory: 207 MB. Current time: 5/17/21, 9:46:24 AM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: HW_WAVE_ZIP_EXPORT_DONE -// TclEventType: WAVEFORM_GLASSPANE -// Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2021-May-17 09:46:23 INFO: [Labtools 27-3304] ILA Waveform data saved to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data. -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 179 MB. Current time: 5/17/21, 9:46:31 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 17 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 540, 480); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 203 MB. Current time: 5/17/21, 9:46:33 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 179 MB. Current time: 5/17/21, 9:46:33 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 182 MB. Current time: 5/17/21, 9:46:34 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 178 MB. Current time: 5/17/21, 9:46:36 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 190 MB. Current time: 5/17/21, 9:46:39 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 177 MB. Current time: 5/17/21, 9:46:39 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xD[DataxD][0:31]]", 18, true); // a - Node -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 174 MB. Current time: 5/17/21, 9:46:41 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 180 MB. Current time: 5/17/21, 9:46:46 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 549, 493); // n -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 179 MB. Current time: 5/17/21, 9:46:48 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 183 MB. Current time: 5/17/21, 9:46:56 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,003 MB. GUI used memory: 182 MB. Current time: 5/17/21, 9:46:58 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 17 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD][0:31]]", 6, true); // a - Node -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// HMemoryUtils.trashcanNow. Engine heap size: 4,020 MB. GUI used memory: 167 MB. Current time: 5/17/21, 9:50:20 AM CEST -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// [Engine Memory]: 4,204 MB (+111995kb) [01:09:23] -// HMemoryUtils.trashcanNow. Engine heap size: 4,214 MB. GUI used memory: 167 MB. Current time: 5/17/21, 9:51:50 AM CEST -// Elapsed time: 291 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 573, 493); // nWaveform: addNotify -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 573, 493); // nWaveform: addNotify -// HMemoryUtils.trashcanNow. Engine heap size: 4,037 MB. GUI used memory: 192 MB. Current time: 5/17/21, 9:51:58 AM CEST -dockFrame(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // az -// PAResourceOtoP.PAViews_DASHBOARD: hw_ila_1: dock view -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k -closeTask("Program and Debug", "Hardware Manager", "DesignTask.PROGRAM_DEBUG"); -// A (cr): Confirm Close: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// TclEventType: WAVEFORM_UPDATE_TITLE -// bz (cr): Close Hardware Manager : addNotify -// Tcl Message: save_wave_config {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wcfg} -// TclEventType: WAVEFORM_MODEL_EVENT -dismissDialog("Confirm Close"); // A -// TclEventType: WAVEFORM_CLOSE_WCFG -// TclEventType: HW_OBJECT_DELETE -// TclEventType: HW_SERVER_CLOSE -// TclEventType: HW_SYSMON_DELETE -closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_vios"); // i -closeView(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // i -// Tcl Message: close_hw_manager -// TclEventType: HW_SYSMON_DELETE -// TclEventType: HW_TARGET_CLOSE -// TclEventType: HW_TARGET_UPDATE -// TclEventType: DEBUG_PROBE_DELETE -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: HW_SESSION_CLOSE -// WARNING: HEventQueue.dispatchEvent() is taking 1437 ms. -dismissDialog("Close Hardware Manager"); // bz -selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k -closeTask("Synthesis", "Synthesized Design", "DesignTask.NETLIST_PLANNING"); -// A (cr): Confirm Close: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// bz (cr): Close : addNotify -dismissDialog("Confirm Close"); // A -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,990 MB. GUI used memory: 148 MB. Current time: 5/17/21, 9:52:07 AM CEST -// Engine heap size: 3,990 MB. GUI used memory: 149 MB. Current time: 5/17/21, 9:52:08 AM CEST -// WARNING: HEventQueue.dispatchEvent() is taking 1735 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Close"); // bz -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, debug.xdc]", 40, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, debug.xdc]", 40, false, false, false, false, false, true); // D - Double Click -// Launch External Editor: 'emacs +0:0 /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/src/constrs/debug.xdc' -// TclEventType: FILE_SET_CHANGE -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // u -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -// A (cr): Run Synthesis: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// bz (cr): Resetting Runs : addNotify -// TclEventType: RUN_MODIFY -dismissDialog("Run Synthesis"); // A -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -// Tcl Message: reset_run synth_1 -// f (cr): Launch Runs: addNotify -// Elapsed time: 36 seconds -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// bz (cr): Starting Design Runs : addNotify -dismissDialog("Launch Runs"); // f -// TclEventType: FILESET_TARGET_UCF_CHANGE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// 'k' command handler elapsed time: 38 seconds -dismissDialog("Starting Design Runs"); // bz -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// ag (cr): Synthesis Completed: addNotify -// Elapsed time: 148 seconds -selectRadioButton(PAResourceCommand.PACommandNames_GOTO_NETLIST_DESIGN, "Open Synthesized Design"); // a -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// Run Command: PAResourceCommand.PACommandNames_GOTO_NETLIST_DESIGN -// bz (cr): Open Synthesized Design : addNotify -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7z015clg485-2 -// TclEventType: DEBUG_PORT_ADD -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: LOC_CONSTRAINT_REMOVE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: PLACEDB_MODIFIY_PRE -// TclEventType: LOC_CONSTRAINT_ADD -// TclEventType: SIGNAL_MODIFY -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: READ_XDC_FILE_START -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 4,030 MB. GUI used memory: 120 MB. Current time: 5/17/21, 9:55:36 AM CEST -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// Schematic: addNotify -// WARNING: HEventQueue.dispatchEvent() is taking 1719 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.22 . Memory (MB): peak = 9971.891 ; gain = 0.000 ; free physical = 5223 ; free virtual = 18189 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 307 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: INFO: [Chipscope 16-324] Core: ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/vio_0 UUID: 31898c18-405f-5cf9-92b7-4ad14d196966 INFO: [Chipscope 16-324] Core: ProgrammableLogicxB.NetworkLayerxB.WrSpValidxI UUID: f846851f-ed37-59e4-b8a4-3fd8415cd5f8 -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw/scalp_design_aurora_clk/src/ip_core/scalp_aurora_clk/scalp_aurora_clk.xdc:57] INFO: [Timing 38-2] Deriving generated clocks [/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/ips/hw/scalp_design_aurora_clk/src/ip_core/scalp_aurora_clk/scalp_aurora_clk.xdc:57] -// Tcl Message: INFO: [Project 1-1715] 4 XPM XDC files have been applied to the design. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 9971.891 ; gain = 0.000 ; free physical = 5098 ; free virtual = 18063 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Elapsed time: 11 seconds -closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v -// Tcl Message: open_run: Time (s): cpu = 00:00:16 ; elapsed = 00:00:11 . Memory (MB): peak = 9971.891 ; gain = 0.000 ; free physical = 5032 ; free virtual = 17996 -// 'dV' command handler elapsed time: 12 seconds -// WARNING: HEventQueue.dispatchEvent() is taking 1044 ms. -dismissDialog("Open Synthesized Design"); // bz -// Elapsed time: 10 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Set Up Debug]", 18, false); // u -// Run Command: PAResourceCommand.PACommandNames_DEBUG_WIZARD -// N (cr): Set Up Debug: addNotify -// bz (N): Filtering Net and Tracing Clock Domain : addNotify -selectButton("NEXT", "Next >"); // JButton -dismissDialog("Filtering Net and Tracing Clock Domain"); // bz -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_axi4lite_0/U0/RdAddrxD (12) ; ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/processing_system7_0/inst/FCLK_CLK0 ; FDRE ; Data and Trigger", 0, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_axi4lite_0/U0/RdAddrxD (12)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_safe_firmware_0/U0/WrValidxS ; ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/processing_system7_0/inst/FCLK_CLK0 ; LUT5 ; Data and Trigger", 12, "ProcessingSystemxB.ZynqxI/ScalpZynqPSxI/scalp_safe_firmware_0/U0/WrValidxS", 0, true, true, false, false, false, false); // aq - Shift Key - Node -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -// WARNING: HEventQueue.dispatchEvent() is taking 1020 ms. -selectButton((HResource) null, "Nets to Debug_add"); // x: TRUE -selectButton(RDIResource.AbstractSearchablePanel_SHOW_SEARCH, "Nets to Debug_search"); // x: TRUE -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "UserxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[1].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[UserxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 15, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[1].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[UserxD] (32)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "[IdxS"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[IdxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT1 ; Data and Trigger", 7, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[IdxS]", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "KeepxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[KeepxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 12, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[KeepxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "DestxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[DestxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT3 ; Data and Trigger", 3, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[DestxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "StrbxD"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[StrbxD] (4) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 13, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[StrbxD] (4)", 0, true); // aq - Node -typeControlKey(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, (String) null, 'a'); // aq -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -// Elapsed time: 18 seconds -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "ScalpTXSidexI"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; RAMB36E1 ; Data and Trigger", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 71, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, true, false, true, false, false, false); // aq - Control Key - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; RAMB36E1 ; Data and Trigger", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 71, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[2].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, true, true, false, false, false, false); // aq - Shift Key - Node -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[4].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT1 ; Data and Trigger", 24, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[4].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 95, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, false, true, false, false, false, false); // aq - Shift Key -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -setText("PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE_SEARCH_FIELD", "ScalpRXSidexI"); // OverlayTextField -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32) ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; LUT6 ; Data and Trigger", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[0].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[DataxD] (32)", 0, true); // aq - Node -selectTreeTable(PAResourceAtoD.DebugWizard_CHIPSCOPE_TREE_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS] ; ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ; FDCE ; Data and Trigger", 143, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[5].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]", 0, false, true, false, false, false, false); // aq - Shift Key -selectButton(PAResourceAtoD.DebugWizard_REMOVE_NETS, "Nets to Debug_remove_nets"); // E -selectButton("NEXT", "Next >"); // JButton -selectComboBox(PAResourceAtoD.DebugWizard_SAMPLE_OF_DATA_DEPTH, "2048", 1); // e -selectButton("NEXT", "Next >"); // JButton -selectButton("FINISH", "Finish"); // JButton -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: DEBUG_CORE_ADD -// TclEventType: DEBUG_GRAPH_STALE -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_core u_ila_0 ila -// bz (N): Set Up Debug : addNotify -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_DATA_DEPTH 2048 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_TRIGIN_EN false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0] -// TclEventType: DEBUG_CORE_CONFIG_CHANGE -// Tcl Message: connect_debug_port u_ila_0/clk [get_nets [list ProgrammableLogicxB.GTPhyxB.ScalpAuroraPhyxI/ScalpAuroraPhyxB.ScalpAuroraPhyWrapperxI/ClkRstxB.AuroraClockModulexI/CLK ]] -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe0] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe1] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe2] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe3] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe4] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe4] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe5] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe5] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe6] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe7] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe7] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe8] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe8] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe9] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe9] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe10] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe10] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe11] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe11] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe12] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe12] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// TclEventType: NETLIST_UPDATE -// Tcl Message: set_property port_width 32 [get_debug_ports u_ila_0/probe13] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe13] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe14] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe14] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe14 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe15] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe15] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe15 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe16] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe16] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe16 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe17] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe17] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe17 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe18] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe18] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe18 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe19] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe19] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe19 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe20] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe20] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe20 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe21] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe21] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe21 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe22] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe22] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe22 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe23] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe23] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe23 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe24] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe24] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe24 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe25] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe25] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe25 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe26] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe26] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe26 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe27] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe27] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe27 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe28] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe28] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe28 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe29] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe29] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe29 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe30] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe30] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe30 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe31] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe31] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe31 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe32] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe32] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe32 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe33] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe33] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe33 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe34] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe34] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe34 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe35] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe35] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe35 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe36] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe36] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe36 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe37] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe37] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe37 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe38] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe38] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe38 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe39] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe39] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe39 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe40] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe40] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe40 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe41] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe41] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe41 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe42] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe42] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe42 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe43] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe43] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe43 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe44] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe44] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe44 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[ValidxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe45] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe45] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe45 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[LastxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe46] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe46] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// Tcl Message: connect_debug_port u_ila_0/probe46 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} ]] -// TclEventType: NETLIST_UPDATE -// TclEventType: DEBUG_PORT_ADD -// TclEventType: NETLIST_UPDATE -// Tcl Message: create_debug_port u_ila_0 probe -// Tcl Message: set_property port_width 1 [get_debug_ports u_ila_0/probe47] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// Tcl Message: set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe47] -// TclEventType: DEBUG_PORT_CONFIG_CHANGE -// TclEventType: NETLIST_UPDATE -// HMemoryUtils.trashcanNow. Engine heap size: 4,111 MB. GUI used memory: 158 MB. Current time: 5/17/21, 9:58:25 AM CEST -// Tcl Message: connect_debug_port u_ila_0/probe47 [get_nets [list {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} ]] -// TclEventType: DEBUG_GRAPH_STALE -// Elapsed time: 44 seconds -dismissDialog("Set Up Debug"); // bz -dismissDialog("Set Up Debug"); // N -// Run Command: PAResourceCommand.PACommandNames_SAVE_DESIGN -// A (cr): Out of Date Design: addNotify -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// bz (cr): Save Constraints : addNotify -dismissDialog("Out of Date Design"); // A -// TclEventType: DESIGN_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: DESIGN_SAVE -// TclEventType: FILESET_TARGET_UCF_CHANGE -// Tcl Message: save_constraints -selectButton("OptionPane.button", "OK"); // JButton -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 32, false); // u -// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN -// A (cr): No Implementation Results Available: addNotify -selectButton(RDIResource.BaseDialog_YES, "Yes"); // a -// bz (cr): Resetting Runs : addNotify -// cD (cr): Launch Runs: addNotify -dismissDialog("No Implementation Results Available"); // A -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// TclEventType: FILESET_TARGET_UCF_CHANGE -dismissDialog("Launch Runs"); // cD -// bz (cr): Generate Bitstream : addNotify -// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 3 -// Tcl Message: Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -// Tcl Message: Write XDEF Complete: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9971.891 ; gain = 0.000 ; free physical = 4977 ; free virtual = 17973 -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: [Mon May 17 09:58:38 2021] Launched impl_1... Run output will be captured here: /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/runme.log -dismissDialog("Generate Bitstream"); // bz -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// ag (cr): Bitstream Generation Completed: addNotify -// Elapsed time: 915 seconds -selectRadioButton(PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER, "Open Hardware Manager"); // a -selectButton(RDIResource.BaseDialog_OK, "OK"); // a -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// bz (cr): Open Hardware Manager : addNotify -// TclEventType: HW_SESSION_OPEN -// WARNING: HEventQueue.dispatchEvent() is taking 1266 ms. -// Tcl Message: open_hw_manager -dismissDialog("Open Hardware Manager"); // bz -// Elapsed time: 20 seconds -selectButton(PAResourceOtoP.ProgramDebugTab_OPEN_TARGET, "Open target"); // h -selectMenuItem(PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET, "Auto Connect"); // ak -// Run Command: PAResourceCommand.PACommandNames_AUTO_CONNECT_TARGET -// bz (cr): Auto Connect : addNotify -// Tcl Message: connect_hw_server -allow_non_jtag -// Tcl Message: INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 INFO: [Labtools 27-2222] Launching hw_server... -// TclEventType: HW_SERVER_UPDATE -// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ****** Xilinx hw_server v2020.2 **** Build date : Nov 18 2020 at 09:50:49 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042 INFO: [Labtools 27-3417] Launching cs_server... -// TclEventType: HW_SERVER_UPDATE -// Tcl Message: INFO: [Labtools 27-2221] Launch Output: ******** Xilinx cs_server v2020.2 ****** Build date : Nov 03 2020-22:02:56 **** Build number : 2020.2.1604437376 ** Copyright 2017-2020 Xilinx, Inc. All Rights Reserved. -// TclEventType: HW_TARGET_CHANGE -// TclEventType: HW_TARGET_CLOSE -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_ADD -// TclEventType: HW_TARGET_UPDATE -// Tcl Message: open_hw_target -// Tcl Message: INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210299AFC175 -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: current_hw_device [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// WARNING: HEventQueue.dispatchEvent() is taking 2904 ms. -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 2 VIO core(s). -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_ILA_CHANGE -dismissDialog("Auto Connect"); // bz -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectMenuItem((HResource) null, "xc7z015_1"); // ak -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -// bC (cr): Program Device: addNotify -selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program"); // a -// bz (cr): Program Device : addNotify -// TclEventType: HW_DEVICE_CHANGE -dismissDialog("Program Device"); // bC -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_OBJECT_DELETE -// TclEventType: HW_DEVICE_UPDATE -// Tcl Message: program_hw_devices [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 2 VIO core(s). -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_OPEN_WCFG -// Waveform: addNotify -// TclEventType: WAVEFORM_UPDATE_TITLE -// Waveform: addNotify -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// Tcl Message: display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// 'I' command handler elapsed time: 4 seconds -dismissDialog("Program Device"); // bz -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "hw_ila_1", 1); // m -// Waveform: addNotify -// Waveform: addNotify -// Waveform: addNotify -floatFrame(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // az -// PAResourceOtoP.PAViews_DASHBOARD: hw_ila_1: float view -// HMemoryUtils.trashcanNow. Engine heap size: 4,154 MB. GUI used memory: 217 MB. Current time: 5/17/21, 10:14:43 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS] ; == ; [B] ; B ; probe20[0] ; 1 of 1", 0, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]", 0); // A -typeControlKey(PAResourceTtoZ.TriggerSetupPanel_TABLE, (String) null, 'a'); // A -selectButton(PAResourceItoN.IlaProbeTablePanel_REMOVE_SELECTED_PROBE, "IlaProbeTablePanel_remove"); // E -// [GUI Memory]: 256 MB (+10168kb) [01:32:22] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDN[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDP[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m1xDP[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axiss2m0xD[ReadyxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s1xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s2xDN[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]]", 9, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectButton(PAResourceItoN.IlaProbeTablePanel_ADD_PROBES, (String) null); // E -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -selectTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS] ; == ; [B] ; X ; probe33[0] ; ", 0, "X", 3); // A -editTable(PAResourceTtoZ.TriggerSetupPanel_TABLE, "X", 0, "Value", 3); // A -// TclEventType: DEBUG_PROBE_CHANGE -// Tcl Message: set_property TRIGGER_COMPARE_VALUE eq1'bB [get_hw_probes {ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s0xD[ValidxS]} -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: HW_ILA_CHANGE -// Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2021-May-17 10:15:12 -// TclEventType: HW_ILA_CHANGE -// Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// TclEventType: HW_ILA_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 203 MB. Current time: 5/17/21, 10:15:19 AM CEST -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: HW_WAVE_ZIP_EXPORT_DONE -// TclEventType: WAVEFORM_GLASSPANE -// Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2021-May-17 10:15:19 INFO: [Labtools 27-3304] ILA Waveform data saved to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data. -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 12 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 278, 68); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 240 MB. Current time: 5/17/21, 10:15:24 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 197 MB. Current time: 5/17/21, 10:15:25 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 205 MB. Current time: 5/17/21, 10:15:25 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 202 MB. Current time: 5/17/21, 10:15:25 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 201 MB. Current time: 5/17/21, 10:15:25 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 210 MB. Current time: 5/17/21, 10:15:28 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 197 MB. Current time: 5/17/21, 10:15:28 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,162 MB. GUI used memory: 199 MB. Current time: 5/17/21, 10:15:28 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 199 MB. Current time: 5/17/21, 10:15:29 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 199 MB. Current time: 5/17/21, 10:15:29 AM CEST -// Elapsed time: 14 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false, false, false, false, true, false); // u - Popup Trigger -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false, false, false, false, true, false); // u - Popup Trigger -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false, false, false, false, false, true); // u - Double Click -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 280, 65); // nWaveform: addNotify -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 280, 65); // nWaveform: addNotify -dockFrame(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // az -// PAResourceOtoP.PAViews_DASHBOARD: hw_ila_1: dock view -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 176 MB. Current time: 5/17/21, 10:15:51 AM CEST -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager, Program Device]", 34, false); // u -expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug]", 6); // u -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -selectMenuItem((HResource) null, "xc7z015_1"); // ak -// Run Command: PAResourceCommand.PACommandNames_OPEN_HARDWARE_MANAGER -// Tcl Command: 'rdi::info_commands get_hw_probes' -// Run Command: PAResourceCommand.PACommandNames_PROGRAM_FPGA -// bC (cr): Program Device: addNotify -selectButton(PAResourceOtoP.ProgramFpgaDialog_PROGRAM, "Program"); // a -// bz (cr): Program Device : addNotify -// TclEventType: HW_DEVICE_CHANGE -dismissDialog("Program Device"); // bC -// Tcl Message: set_property PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// Tcl Message: set_property FULL_PROBES.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.ltx} [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// Tcl Message: set_property PROGRAM.FILE {/home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.runs/impl_1/scalp_firmware.bit} [get_hw_devices xc7z015_1] -// TclEventType: HW_OBJECT_DELETE -// TclEventType: HW_DEVICE_UPDATE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 176 MB. Current time: 5/17/21, 10:15:54 AM CEST -// Tcl Message: program_hw_devices [get_hw_devices xc7z015_1] -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_SYSMON_CHANGE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_DEVICE_UPDATE -// TclEventType: HW_DEVICE_CHANGE -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 176 MB. Current time: 5/17/21, 10:15:56 AM CEST -// TclEventType: HW_DEVICE_PROBES_CHANGE -// Tcl Message: INFO: [Labtools 27-3164] End of startup status: HIGH -// Tcl Message: refresh_hw_device [lindex [get_hw_devices xc7z015_1] 0] -// TclEventType: HW_DEVICE_PROBES_CHANGE -// Tcl Message: INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 1 ILA core(s). INFO: [Labtools 27-2302] Device xc7z015 (JTAG device index = 1) is programmed with a design that has 2 VIO core(s). -// TclEventType: HW_DEVICE_PROBES_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 4,163 MB. GUI used memory: 176 MB. Current time: 5/17/21, 10:15:56 AM CEST -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: HW_VIO_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// TclEventType: HW_ILA_CHANGE -// TclEventType: HW_VIO_PROBE_VALUE_CHANGE -// 'I' command handler elapsed time: 4 seconds -dismissDialog("Program Device"); // bz -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 17 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 276, 71); // nWaveform: addNotify -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 276, 71); // nWaveform: addNotify -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 276, 71); // nWaveform: addNotify -floatFrame(PAResourceOtoP.PAViews_DASHBOARD, "hw_ila_1"); // az -// PAResourceOtoP.PAViews_DASHBOARD: hw_ila_1: float view -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 190 MB. Current time: 5/17/21, 10:16:15 AM CEST -selectButton(RDIResource.WaveformView_ADD, "Waveform Viewer_new"); // E -selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[LastxS]]", 19, false); // N -selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[DataxD][0:31]]", 15, false); // N -selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[ValidxS]]", 20, false, true, false, false, false, false); // N - Shift Key -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDN[DataxD][0:31]]", 33, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDP[ValidxS]]", 38, false, true, false, false, false, false); // a - Shift Key -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDN[DataxD][0:31]]", 33, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// Elapsed time: 10 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axism2s3xDN[ValidxS]]", 13, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Name"); // ah -selectMenuItem((HResource) null, "Long"); // Y -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: DEBUG_PROBE_CHANGE -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[ValidxS]]", 13, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenuItem((HResource) null, "Red"); // Y -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectButton(RDIResource.WaveformView_ADD, "Waveform Viewer_new"); // E -selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[DataxD][0:31]]", 39, false); // N -selectTree(PAResourceOtoP.ProbesView_PROBES_TREE, "[root, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[ValidxS]]", 44, false, true, false, false, false, false); // N - Shift Key -selectButton(PAResourceAtoD.AddIlaProbesPopup_OK, "OK"); // a -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDN[DataxD][0:31]]", 33, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDP[ValidxS]]", 38, false, true, false, false, false, false); // a - Shift Key -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, Axism2s3xDN[DataxD][0:31]]", 33, true); // a - Node -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, Axism2s3xDN[LastxS]]", 31, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenu("Radix"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Name"); // ah -selectMenuItem((HResource) null, "Long"); // Y -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: DEBUG_PROBE_CHANGE -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[6].ScalpRouterInterfacexI/ScalpRXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDN[ValidxS]]", 32, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenuItem((HResource) null, "Red"); // Y -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -selectButton(PAResourceEtoH.HardwareIlaWaveformView_RUN_TRIGGER_FOR_THIS_ILA_CORE, "Waveform Viewer_run_trigger"); // E -// Run Command: PAResourceCommand.PACommandNames_RUN_TRIGGER -// TclEventType: HW_ILA_CHANGE -// Tcl Message: run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// Tcl Message: INFO: [Labtools 27-1964] The ILA core 'hw_ila_1' trigger was armed at 2021-May-17 10:17:27 -// TclEventType: HW_ILA_CHANGE -// Tcl Message: wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}] -// TclEventType: HW_ILA_CHANGE -// TclEventType: WAVEFORM_GLASSPANE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// Tcl Message: display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7z015_1] -filter {CELL_NAME=~"u_ila_0"}]] -// TclEventType: WAVEFORM_MODEL_EVENT -// Tcl Message: INFO: [Labtools 27-1966] The ILA core 'hw_ila_1' triggered at 2021-May-17 10:17:36 -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 4,167 MB. GUI used memory: 187 MB. Current time: 5/17/21, 10:17:36 AM CEST -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: HW_WAVE_ZIP_EXPORT_DONE -// TclEventType: WAVEFORM_GLASSPANE -// Tcl Message: INFO: [Labtools 27-3304] ILA Waveform data saved to file /home/jo/Documents/Projets/Hepia/scalp_project/scalp_firmware/designs/vivado/scalp_firmware/2020.2/lin64/scalp_firmware/scalp_firmware.hw/backup/hw_ila_data_1.ila. Use Tcl command 'read_hw_ila_data' or Vivado File->Import->Import ILA Data menu item to import the previously saved data. -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_MODEL_EVENT -// Elapsed time: 14 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 269, 119); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 225 MB. Current time: 5/17/21, 10:17:41 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 192 MB. Current time: 5/17/21, 10:17:41 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 192 MB. Current time: 5/17/21, 10:17:41 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 187 MB. Current time: 5/17/21, 10:17:41 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[LastxS]]", 9, false); // a -// HMemoryUtils.trashcanNow. Engine heap size: 4,159 MB. GUI used memory: 187 MB. Current time: 5/17/21, 10:17:46 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, ProgrammableLogicxB.NetworkLayerxB.ScalpRouterxI/ScalpRouterInterfacexG[3].ScalpRouterInterfacexI/ScalpTXSidexI/ScalpFifoDoubleRegisterxI/Axism2s3xDP[LastxS]]", 9, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Name"); // ah -selectMenuItem((HResource) null, "Short"); // Y -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 183 MB. Current time: 5/17/21, 10:17:52 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 199 MB. Current time: 5/17/21, 10:17:56 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 198 MB. Current time: 5/17/21, 10:17:56 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 188 MB. Current time: 5/17/21, 10:17:57 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 13 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axiss2m0xD[ReadyxS]]", 11, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 215 MB. Current time: 5/17/21, 10:18:03 AM CEST -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axism2s3xDP[ValidxS]]", 10, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 10 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axism2s3xDP[DataxD][0:31]]", 8, true, true, false, false, false, false); // a - Shift Key - Node -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axism2s3xDP[LastxS]]", 9, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenu("Radix"); // ah -selectMenu("Signal Color"); // ah -selectMenuItem((HResource) null, "Magenta"); // Y -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 202 MB. Current time: 5/17/21, 10:18:18 AM CEST -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axiss2m1xDP[ReadyxS]]", 12, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, Axism2s3xDP[DataxD][0:31]]", 33, true); // a - Node -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, Axism2s3xDP[ValidxS]]", 35, false, true, false, false, false, false); // a - Shift Key -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 187 MB. Current time: 5/17/21, 10:18:25 AM CEST -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, RX Side, Axism2s3xDP[ValidxS]]", 35, false, false, false, false, true, false); // a - Popup Trigger -selectMenu("Name"); // ah -selectMenu("Waveform Style"); // ah -selectMenu("Signal Color"); // ah -selectMenu("Radix"); // ah -selectMenu("Radix"); // ah -selectMenu("Signal Color"); // ah -selectMenuItem((HResource) null, "Magenta"); // Y -// TclEventType: DEBUG_PROBE_CHANGE -// TclEventType: WAVEFORM_MODEL_EVENT -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_TITLE -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 450, 270); // n -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 551, 287); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 195 MB. Current time: 5/17/21, 10:18:43 AM CEST -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 201 MB. Current time: 5/17/21, 10:19:10 AM CEST -// Elapsed time: 73 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axiss2m0xD[ReadyxS]]", 11, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 12 seconds -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 275, 294); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 373, 180); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 214 MB. Current time: 5/17/21, 10:20:12 AM CEST -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 447, 153); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 561, 156); // n -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// TclEventType: WAVEFORM_UPDATE_COMMANDS -// Elapsed time: 70 seconds -selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, TX Side, Axiss2m0xD[ReadyxS]]", 11, false); // a -// TclEventType: WAVEFORM_UPDATE_WAVEFORM -// HMemoryUtils.trashcanNow. Engine heap size: 4,160 MB. GUI used memory: 194 MB. Current time: 5/17/21, 10:21:35 AM CEST -// TclEventType: FILE_SET_CHANGE diff --git a/designs/vivado/scalp_router_firmware/2020.2/src/constrs/scalp_firmware.xdc b/designs/vivado/scalp_router_firmware/2020.2/src/constrs/scalp_firmware.xdc index 744874fb65c5aa9df3737a8fcf9230809cca4d22..1e482d7947aa0eab4c9d29e78c3e45bf26b140fa 100644 --- a/designs/vivado/scalp_router_firmware/2020.2/src/constrs/scalp_firmware.xdc +++ b/designs/vivado/scalp_router_firmware/2020.2/src/constrs/scalp_firmware.xdc @@ -257,3 +257,4 @@ set_operating_conditions -airflow 0 -heatsink none -board small + diff --git a/designs/vivado/scalp_router_firmware/2020.2/src/constrs/timing_constraints.xdc b/designs/vivado/scalp_router_firmware/2020.2/src/constrs/timing_constraints.xdc index 6e693f5ae1d3ac548ce56180baa4cdc4e1ec63cd..e5c8cd817933767c76d8e770f2ade2b50b54e629 100644 --- a/designs/vivado/scalp_router_firmware/2020.2/src/constrs/timing_constraints.xdc +++ b/designs/vivado/scalp_router_firmware/2020.2/src/constrs/timing_constraints.xdc @@ -37,3 +37,4 @@ create_clock -period 8.000 -waveform {0.000 4.000} [get_nets GTPRefClk0PxCI] +