diff --git a/Labo1B/tb_test.vhd b/Labo1B/tb_test.vhd new file mode 100644 index 0000000000000000000000000000000000000000..c69ccd4741900a572ee5c33ae9f3698908692ec9 --- /dev/null +++ b/Labo1B/tb_test.vhd @@ -0,0 +1,29 @@ +------ +-- +-- Test bench to simulate success and error +-- +-- Author: Sébastien Gendre +-- +------ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity dummy_test is +end dummy_test; + +architecture arch of dummy_test is +begin + + + monitor_process: process() + begin + -- Uncomment the line you want to apply + assert false + report "Test of error" + severity error; + end process monitor_process; + + +end arch; +