From 70c0a2e6e804b0ca8e7372e494ac11504c0588c8 Mon Sep 17 00:00:00 2001
From: =?UTF-8?q?S=C3=A9bastien=20Gendre?= <sebastien.gendre@etu.hesge.ch>
Date: Fri, 28 Mar 2025 16:42:45 +0100
Subject: [PATCH] Add lots of files generated by Vivado

---
 .../a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci |  296 ++++
 .../a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp |  Bin 0 -> 12850 bytes
 .../design_1_clk_wiz_0_1_sim_netlist.v        |  220 +++
 .../design_1_clk_wiz_0_1_sim_netlist.vhdl     |  151 ++
 .../design_1_clk_wiz_0_1_stub.v               |   24 +
 .../design_1_clk_wiz_0_1_stub.vhdl            |   32 +
 .../a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci |  295 ++++
 .../adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp |  Bin 0 -> 12828 bytes
 .../design_1_clk_wiz_0_1_sim_netlist.v        |  220 +++
 .../design_1_clk_wiz_0_1_sim_netlist.vhdl     |  151 ++
 .../design_1_clk_wiz_0_1_stub.v               |   24 +
 .../design_1_clk_wiz_0_1_stub.vhdl            |   32 +
 .../2024.1.2/a/d/adff1593d44ebeb2/stats.txt   |    2 +
 Vivado/labo1b/labo1b.cache/wt/project.wpc     |    4 +-
 Vivado/labo1b/labo1b.cache/wt/synthesis.wdf   |    8 +-
 Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml  |    4 +-
 .../sources_1/bd/design_1/design_1.bxml       |    8 +-
 .../bd/design_1/hdl/design_1_wrapper.v        |    4 +-
 .../bd/design_1/hw_handoff/design_1.hwh       |    4 +-
 .../design_1_clk_wiz_0_1.dcp                  |  Bin 12894 -> 12954 bytes
 .../design_1_clk_wiz_0_1.xml                  |   56 +-
 .../design_1_clk_wiz_0_1_sim_netlist.v        |    6 +-
 .../design_1_clk_wiz_0_1_sim_netlist.vhdl     |    6 +-
 .../design_1_clk_wiz_0_1_stub.v               |    6 +-
 .../design_1_clk_wiz_0_1_stub.vhdl            |    6 +-
 .../design_1_reg_decalage_0_0.dcp             |  Bin 14238 -> 14320 bytes
 .../design_1_reg_decalage_0_0.xml             |   20 +-
 .../design_1_reg_decalage_0_0_sim_netlist.v   |    8 +-
 ...design_1_reg_decalage_0_0_sim_netlist.vhdl |    8 +-
 .../design_1_reg_decalage_0_0_stub.v          |    8 +-
 .../design_1_reg_decalage_0_0_stub.vhdl       |    8 +-
 .../synth/design_1_reg_decalage_0_0.v         |    4 +-
 .../sources_1/bd/design_1/sim/design_1.v      |    4 +-
 .../bd/design_1/synth/design_1.hwdef          |  Bin 6953 -> 7014 bytes
 .../sources_1/bd/design_1/synth/design_1.v    |    4 +-
 .../bd/mref/reg_decalage/component.xml        |    6 +-
 .../sim_scripts/README.txt                    |    2 +-
 .../sim_scripts/activehdl/README.txt          |    4 +-
 .../sim_scripts/activehdl/reg_decalage.sh     |    8 +-
 .../sim_scripts/modelsim/README.txt           |    4 +-
 .../sim_scripts/modelsim/reg_decalage.sh      |    8 +-
 .../sim_scripts/questa/README.txt             |    4 +-
 .../sim_scripts/questa/reg_decalage.sh        |    8 +-
 .../sim_scripts/riviera/README.txt            |    4 +-
 .../sim_scripts/riviera/reg_decalage.sh       |    8 +-
 .../sim_scripts/vcs/README.txt                |    4 +-
 .../sim_scripts/vcs/reg_decalage.sh           |    8 +-
 .../sim_scripts/xcelium/README.txt            |    4 +-
 .../sim_scripts/xcelium/reg_decalage.sh       |    8 +-
 .../sim_scripts/xsim/README.txt               |    4 +-
 .../sim_scripts/xsim/reg_decalage.sh          |    8 +-
 .../labo1b.runs/.jobs/vrs_config_24.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_25.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_26.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_27.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_28.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_29.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_30.xml       |   17 +
 .../labo1b.runs/.jobs/vrs_config_31.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_32.xml       |   13 +
 .../labo1b.runs/.jobs/vrs_config_33.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_34.xml       |   12 +
 .../labo1b.runs/.jobs/vrs_config_35.xml       |   12 +
 .../.vivado.begin.rst                         |    2 +-
 .../design_1_clk_wiz_0_1.dcp                  |  Bin 12894 -> 12954 bytes
 .../design_1_clk_wiz_0_1.tcl                  |    7 +-
 .../design_1_clk_wiz_0_1.vds                  |   92 +-
 .../design_1_clk_wiz_0_1_utilization_synth.pb |  Bin 224 -> 278 bytes
 ...design_1_clk_wiz_0_1_utilization_synth.rpt |   75 +-
 .../design_1_clk_wiz_0_1_synth_1/gen_run.xml  |   13 +-
 .../design_1_clk_wiz_0_1_synth_1/project.wdf  |   16 +-
 .../design_1_clk_wiz_0_1_synth_1/vivado.jou   |   14 +-
 .../design_1_clk_wiz_0_1_synth_1/vivado.pb    |  Bin 26264 -> 26264 bytes
 .../.vivado.begin.rst                         |    2 +-
 .../design_1_reg_decalage_0_0.dcp             |  Bin 14238 -> 14320 bytes
 .../design_1_reg_decalage_0_0.tcl             |    7 +-
 .../design_1_reg_decalage_0_0.vds             |   88 +-
 ...gn_1_reg_decalage_0_0_utilization_synth.pb |  Bin 224 -> 278 bytes
 ...n_1_reg_decalage_0_0_utilization_synth.rpt |   75 +-
 .../gen_run.xml                               |   13 +-
 .../project.wdf                               |   16 +-
 .../vivado.jou                                |   14 +-
 .../vivado.pb                                 |  Bin 22131 -> 22128 bytes
 .../labo1b.runs/impl_1/.init_design.begin.rst |    2 +-
 .../labo1b.runs/impl_1/.opt_design.begin.rst  |    2 +-
 .../impl_1/.phys_opt_design.begin.rst         |    2 +-
 .../impl_1/.place_design.begin.rst            |    2 +-
 .../impl_1/.route_design.begin.rst            |    2 +-
 .../labo1b.runs/impl_1/.vivado.begin.rst      |   19 +-
 .../impl_1/.write_bitstream.begin.rst         |    2 +-
 ...ado.error.rst => .write_bitstream.end.rst} |    0
 .../impl_1/.write_bitstream.error.rst         |    0
 .../labo1b/labo1b.runs/impl_1/clockInfo.txt   |    4 +-
 .../labo1b.runs/impl_1/design_1_wrapper.bit   |  Bin 0 -> 9730767 bytes
 .../labo1b.runs/impl_1/design_1_wrapper.tcl   |    3 +
 .../labo1b.runs/impl_1/design_1_wrapper.vdi   |  554 +++---
 ....vdi => design_1_wrapper_11165.backup.vdi} |  248 +--
 .../impl_1/design_1_wrapper_14663.backup.vdi  |  726 ++++++++
 .../design_1_wrapper_bus_skew_routed.rpt      |    6 +-
 .../design_1_wrapper_bus_skew_routed.rpx      |  Bin 1165 -> 1163 bytes
 ...ign_1_wrapper_clock_utilization_routed.rpt |   97 +-
 .../design_1_wrapper_control_sets_placed.rpt  |    4 +-
 .../impl_1/design_1_wrapper_drc_opted.pb      |  Bin 37 -> 37 bytes
 .../impl_1/design_1_wrapper_drc_opted.rpt     |   34 +-
 .../impl_1/design_1_wrapper_drc_opted.rpx     |  Bin 4330 -> 107 bytes
 .../impl_1/design_1_wrapper_drc_routed.pb     |  Bin 37 -> 37 bytes
 .../impl_1/design_1_wrapper_drc_routed.rpt    |   34 +-
 .../impl_1/design_1_wrapper_drc_routed.rpx    |  Bin 4331 -> 108 bytes
 .../impl_1/design_1_wrapper_io_placed.rpt     |  729 +++++---
 ...esign_1_wrapper_methodology_drc_routed.rpt |    6 +-
 .../impl_1/design_1_wrapper_opt.dcp           |  Bin 280479 -> 187288 bytes
 .../impl_1/design_1_wrapper_physopt.dcp       |  Bin 295950 -> 201806 bytes
 .../impl_1/design_1_wrapper_placed.dcp        |  Bin 295645 -> 201503 bytes
 .../impl_1/design_1_wrapper_power_routed.rpt  |   83 +-
 .../impl_1/design_1_wrapper_power_routed.rpx  |  Bin 18276 -> 17823 bytes
 .../design_1_wrapper_power_summary_routed.pb  |  Bin 870 -> 870 bytes
 .../impl_1/design_1_wrapper_routed.dcp        |  Bin 300561 -> 205503 bytes
 .../design_1_wrapper_timing_summary_routed.pb |  Bin 106 -> 106 bytes
 ...design_1_wrapper_timing_summary_routed.rpt | 1556 ++++++++---------
 ...design_1_wrapper_timing_summary_routed.rpx |  Bin 117697 -> 117025 bytes
 .../design_1_wrapper_utilization_placed.pb    |  Bin 226 -> 278 bytes
 .../design_1_wrapper_utilization_placed.rpt   |   89 +-
 Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml  |    6 +-
 .../labo1b/labo1b.runs/impl_1/init_design.pb  |  Bin 6556 -> 4393 bytes
 .../labo1b/labo1b.runs/impl_1/opt_design.pb   |  Bin 15251 -> 15413 bytes
 .../labo1b.runs/impl_1/phys_opt_design.pb     |  Bin 3425 -> 3536 bytes
 .../labo1b/labo1b.runs/impl_1/place_design.pb |  Bin 22708 -> 22789 bytes
 Vivado/labo1b/labo1b.runs/impl_1/project.wdf  |   16 +-
 .../labo1b/labo1b.runs/impl_1/route_design.pb |  Bin 16954 -> 16387 bytes
 Vivado/labo1b/labo1b.runs/impl_1/vivado.jou   |    6 +-
 Vivado/labo1b/labo1b.runs/impl_1/vivado.pb    |  Bin 16 -> 112 bytes
 ...847.backup.jou => vivado_11165.backup.jou} |    6 +-
 .../impl_1/vivado_14663.backup.jou            |   24 +
 .../labo1b.runs/impl_1/write_bitstream.pb     |  Bin 8394 -> 6435 bytes
 .../labo1b.runs/synth_1/.vivado.begin.rst     |    2 +-
 .../labo1b.runs/synth_1/design_1_wrapper.dcp  |  Bin 20218 -> 20348 bytes
 .../labo1b.runs/synth_1/design_1_wrapper.tcl  |    9 +-
 .../labo1b.runs/synth_1/design_1_wrapper.vds  |   94 +-
 .../design_1_wrapper_utilization_synth.pb     |  Bin 226 -> 278 bytes
 .../design_1_wrapper_utilization_synth.rpt    |   73 +-
 Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml |    6 +-
 Vivado/labo1b/labo1b.runs/synth_1/project.wdf |   16 +-
 Vivado/labo1b/labo1b.runs/synth_1/vivado.jou  |    6 +-
 Vivado/labo1b/labo1b.runs/synth_1/vivado.pb   |  Bin 25665 -> 25042 bytes
 .../sources_1/bd/design_1/design_1.bd         |    4 +-
 .../design_1_clk_wiz_0_1.xci                  |   14 +-
 .../design_1_reg_decalage_0_0.xci             |   14 +-
 .../sources_1/bd/design_1/ui/bd_1f5defd0.ui   |    6 +-
 Vivado/labo1b/labo1b.xpr                      |  107 +-
 149 files changed, 4803 insertions(+), 2109 deletions(-)
 create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci
 create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl
 create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci
 create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v
 create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl
 create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml
 create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml
 rename Vivado/labo1b/labo1b.runs/impl_1/{.vivado.error.rst => .write_bitstream.end.rst} (100%)
 delete mode 100644 Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst
 create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit
 rename Vivado/labo1b/labo1b.runs/impl_1/{design_1_wrapper_7847.backup.vdi => design_1_wrapper_11165.backup.vdi} (69%)
 create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi
 rename Vivado/labo1b/labo1b.runs/impl_1/{vivado_7847.backup.jou => vivado_11165.backup.jou} (91%)
 create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou

diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci
new file mode 100644
index 0000000..64beed5
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci
@@ -0,0 +1,296 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>ipcache</spirit:library>
+  <spirit:name>a9ad1272a4ff99ca</spirit:name>
+  <spirit:version>0</spirit:version>
+  <spirit:componentInstances>
+    <spirit:componentInstance>
+      <spirit:instanceName>design_1_clk_wiz_0_1</spirit:instanceName>
+      <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/>
+      <spirit:configurableElementValues>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">400000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">90.074</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">87.180</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">400.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">design_1_clk_wiz_0_1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">12</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">PLL</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART">digilentinc.com:nexys_video:part0:1.2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a200t</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">sbg484</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">e6a05ff8</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">a9ad1272a4ff99ca</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">design_1_clk_wiz_0_1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 5145035 $</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">401ad827</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">32</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2024.1.2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
+      </spirit:configurableElementValues>
+    </spirit:componentInstance>
+  </spirit:componentInstances>
+</spirit:design>
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b552ddc956d45241bff043450f37b5d8fe9db026
GIT binary patch
literal 12850
zcmWIWW@Zs#U|`^2NNBE!)IKv!$dZYHp_h$;ft`VqAtkv$uOc@mG=!Cb`DnLC`q8Q0
z#kUMZTCYD1&)AbCUf9FjlGxA_&w1|0!4ql=wR<<Pt}vYBzOD0ee7t&xXT+7S>uy({
z`&(1G&Sc)btL;28of;bH#!J^{ow~cneCs!r%9EC<sufn*yVfzxH_}V%T6U)T{<3GM
zzUGLmskz*!aDd$?xb5%71RE)>>+gU4J@xrQyXUHl#s=E6mO9*GNLRQQuApSe;Cw;e
zC(li|mZ!#=ZPzk>zZt4LZ>NfS9}~8HG^P3R?seZnWozVl*O_hkqj)xA%B4v4?Ge|v
zY`XD=?eW3Tcs|#HNKT=No8NA3Si>XE>g#vxK;&_LzlM1-5|Z;wvenN%iC7YEH}#V2
zSq^PY?<+0xYCTu-S*<Qj`QUl)#uiWGmn%)5{oL6pQgS>%UiBt-)zbYF+n)4H*l=v4
zNQ(DsF=MNpxls>%-Fj9%$;$}4ox9oKrfOwkXj^pm=1EtccP@SO*rlLf#<MK4{PP6$
z4N3ehf{osj;!bf_&wN;P`shQW>0d8>j}eyouzZa<Ys$@OJ^M~_9LfLrTTJ#v)kEg4
zM^jgBk=>}Vy0<DvPtHI2m*K&;y>{2~+<#Thk6*xj`?c4d<&owuE;Y36|F$<QZF6!>
z!0hQ?{?Ew1?UdKB#-L+%_ie9bJgUo2FSwR@iC12?XX$0P&~Dpvp5`~KGE}dwkv_KZ
z?(Z-G&+T_T@)8b)1%2M&YA&*+H*Ateq4|Ym5w;idCRZ+8iD!K;abxq{dDpYA?^z(+
zsx4s|sO>kQDO^eMX#B#<ug}Y$-E;jnGioyXvCpMnlaYbp2O|T6G$@&+7H6jC#T&*a
z=VZs1XI8}<#2dyJmy{;ymBEu<ZNI-av!TGz_rEpo1)je3R!nedg+|p&M-A5_w~f>;
zzq?=(IVtD=kC(pNSYIFYo^fW+{n_TBt>V|`?#a2;@$2Qshj~^r{XS~Cz1eu=&?>`c
z#}cNpGHUa^`M&13YbkG<TJ!fAuWcibO64!wb6ESmYvGUc8rFNa3mw?4H*Z7nF7uw|
zBVuzu3(lIV=pQ)eXw)T-KzR#=IXO9&Dh5{RpSU+>e^aQD42rJVseJ8R@<y3Udc462
zB_c}76YG19s?GUuFs|pe!j5~|cI&<QmV9;KspnFd9X-j@Nc`iD9V*u~FCQ~2U3_Mn
z<%x3R%vZBxcH3Q3t+BG1X5g0_IsF9l#;<SJFicwT`tgNRQ6Y<0D+gco2$*@;IICw>
zPsiCK4=SG)nEjs{KkW?HwqJ8L{a{25>Lnp>yOkIj7!;To807E=bw)}KQfME{I&2`|
z^8Qzs?Y4l~7A+T!sZVZ6D#-M#e&Or!=~|@H5`~kQch;|e;#}bMB2)a{nKwDp4&IVu
zcfV`r@bSxzGtV~vl(F-iY-#V18^SmB+6HeXe(NtU{BAtcnJqP0T~a(?t9qiywhvCH
zB5yqC6#4bP$;4Cr4#U^7-MW$Q3-5gT-p`vKH~+P%e%Di_^|O|x@c1q;aOj?Ab(nkI
zm&W?b{PRCDoiJ`Nf6a8q@eYH~mRgMj*1`j-8)Q~?vpTt?{1eK(s%Temd8*OLB_~$u
zFZ#VPwt91v%b}IFtRV}_Iqbd&y}9n2TjkvHz~h^u7W?6)Vy_M?wLQN0QdIAuDZ(dN
zKQGSsX?QK_D082Wd1x&A(c8)GqA_2U_z!-KFzS?@`Ni*K9a=DpSyh$VGBPk&Fyaa3
zg2bZ4T$J*Z(Sq^#zlfZQFhdJhCj}5NGE4(e3Wi1or{gweKDeYgP5y~(<MWk^JY7_U
z#LRu_gnv4<m1;5^i2dyN_p-qHw}1C1t*a{7<0P85?Z+Z^sUl0&?UQPrY&_||?048g
z=7p|Ds=m(Uoe{PDbKaiQ%Y&cl_$96h5%W{lih69wyTj<-$If_b4kvTw`%0G;Tu(=?
zstBLADLh;*%t#@<)K_%c<2hv_G8v&PlOpz=pS$(qwK-z_e>WLy__qE@--WiN`^ta1
zPKj9ba>kZ_-;>sfOI|2<T)p9(OlpvzK8K;PM%i;7D~ZS3m-9ZjJL5P1CBA2#Gm}f=
zr(cwvE8-SnSoqIXV*T3?1E-G*{zt^uUh(j?*ZumThINs~)k`O~30vt*IWl?Ol|-8r
z`>&a3xP@MSDz)poOQDwO%8i}Hd)Bozp(gZceFtqWF)%P}U}RuW#+A@B^Wu~9^GZ@H
zO7tpHlHsX+>3LtSLk0q@5B4sf!M$XOS7H9j03EkKksVVUFKra_UhJvW@#URcw4-bI
zx=9E2=;tq4wpMk@(n;(I?w49m9e6JHT*k~Y(nc$nHzu|6z@ksHx2WHC@e|D~KRGXQ
zahC2&(<54~Vfp8m@H(<DIiPX+WT)Mi#0y*eO@1e(KfLwtG}}Fcg5wvsE%NKS`u$dI
z`yTYnZqd}&`T7U^N*%?L8W&%!kU3kpbDpb#=;aq@vzE`>yH6-l>CnmhUV9Eyv^lj%
zxOp<2{<%t7W$IGpEAO87$=BT4jg~rpolXj4WoKZ};bmZu!Vxxl#TkhOsqi4l4Zk~C
z+FkIvhx$s@wMzou*S_$PVNppqQ0VvRXAPUorl_@kU8-w0?cVgBCuQRKP5w`ISd>ra
z|1A2Po9E%_8WtX#r=9(eIJs6dEvYCF4H1<4DPHkF(Prr%C($ow-gw=bu-Yp3f8E{7
zm+xLSw*H-BC-(ch^p6YAD$4hK&sl0-wd~P5p7p(2y$>ILxbz{RWKWV^;oD#Te!M!D
zxO7`k>{^N4yG1$qwSF&dzWDF*;?wGVYDpV!{QV+dt>9^Yb@QwV$s3J-HzvrO*Isxu
zsNnnB>+hevYy96<&(qC&*!WM|WxM>Ze|Bx1*e;PKv-oxXd!FOfG7?$LobBwl51(Fa
zbHwm+!EdkF7M5qX%I@ah=3SkfBbvasS;#x0C1pXG?()Q)t{#c!!i;!VzMgRG5zFf3
zd<{n(<`vCc8T;XiqIX%=tqXjL?X0F>r(EjbI&1aK?Y54i+~<W;Q%W>^YFYmYc13gq
zS$&vUFqLniOIl(EW2=kj@r9wBC1=>DB=sGz(VNwlEycT9a7y-~s2PE+3s1N6b&F)&
zUm*C^ar48xinYGH(*#tuKNQqZzHoVa=YtJBQ42C{tptt;USW!in)1Llq)Vad(~WJP
z3;5HHO!5qATD*kcX#!7s^~v;>3jM6jVyr6?$|lwA<#;b_E0B_So%=&w(D?)WEV9b(
z3U}0}t$r7ub8~Ln7Olg7E!J;&n^x?$@G$%GxlY05vX`|EwTB#Mm3Vk#i87N{J3qVW
zoez3ZrnkTJ^zq3bd?a$}){}>e7YQBO@P6LB_Qxld7n)_2)vn&p!oNW7rOHwLL!3uC
z3O9RxF|z64es3~;mTH7!?W~#dpSS~#22Gj%F8hhyqXWw?Da=_CQ$1zb8@|iMR^1!A
zZYab~(L1CWxpdw764!{yH+T)&FK;=q@Sa?S%r(`8JRK(vNcspFX6PL}9w6TIyqx#?
z<~awBE7iyZe#|>=k<VJfY3C>_YVy7-<BZUpxkjN!ZCWa;ekJYLqJ2<(u}^4w`_Zng
zHJekvRD~U1UV7=^jCbc10$P5h82e2!Of{<8`*!EtZJC7`3&fZ%PWhamIA7r41}2l0
zg}3*9HsV;%B7M;%WAgSzodTD|67$chee#&H(B)jG%eFM($TmK`GeyGLe6d%edS8Ci
za^T<mZrbgw)k!IgIcK)TEOzwb{LN(6BVZ@xbn&{CMbfKv?<0IS7yHe6@xaZZw6EgP
zjRkoU5=M5sY$Z=R{w>>KVzIt~V-tt?ipfnGD_LA`9Fg%%WU{<*sO0uH^WVBvkugWs
zT%L36mnP%#jdfNnN^LqdY{5@F<f8grweRaR%CEICoG)6McP^|>B6Y>5>5KC1S6@GI
zz)a1WdHu~Z2U0b^?vim^;d!#$c6n}4P`E+5RG+f=io2%|g!<&&>f1TBQP!u7efHU}
zJUvrOteEEqUz%xR)5m%J<&y;Q%W3HfW!&xOy`Mi4jedWlZF-xn&js$VW_5v%wd-=j
zVtLp3GKBs=DR9MOvwTx16T4!BdV$8lle0=bTw$5eyxdtq#zvUw;e(q3Yj#KO=+orf
zB~_#N;N*6;_$djwp;rGcu}pZZ-@d@@@P4KC^=r$DKgcYbx+AVZ+9%Fn)z(s}_=e}{
z3TuCHb6xZ6+{69h5s%7SZ?1W(x|V$UV6^yWj;R@ce5l8*q90O+=iE9Fd${Dq(Xz>%
zcA*(^`~1S?uX3$g>v`s&xx}(7X-*ZbzSXN#`<5zy@O67$zTvx!m5|Q;?2E;VL)-EV
ztymA22Oc*&-?@EPY<x?;vChiOv=`M6t$C)O+~aHf|Njb}n&}VcIJ<D>U1VM3r}^9Q
zaLU7Kp2?-VWWSXBushuJFz>6+@mm4rn|S^-w0%3fEwn*?Rno<*HM_;9Jq&I8Tz3ES
zZQEHF7tdVrc2(WxlMmfPw6?ywy0vZdJ_h@=6TRD>ez&f>Zf<s4FSLR&&p*;U#qv)J
z+hle79UFBH_|L2ecH>@h@Vvw__Pxcnzn%2s(h3)xezErYzY=rzOPoJGf8JlEee(aY
zipl#=?Kmg@Sa;v4_XhvikA?rZFIisE^}p$(_8q<BK?R?$Nhc*RDI80F8lfu2RmiYx
zM#{8zM}7Wr%`{YH_mN2wapC&+@$~gn7R?<WPsRVA<ahAx{Rz)??o|A2jaNS@sQl6X
z|F6of%|$k@>mEOK`6ID-q4&-5{1%J!&oODrO%`JDiLW=dKVB>GQM2N0-x>3XLI0M2
z_F6kf<B(uSlH<u6ja?tkn}@zBoH1GC@|4@3WPHzfoswU+y<GnL@#?Ewiz9*xo~!W+
zP5kri?Vp=Dra!(IwBNRV|Krw*wR>J)Kjt)NRe4B_?~(^+Z||?S)_4B-`0jnq&Q(ED
z?`37|Jc=((`S;^eaQM1UJBwUTH(A@sR9;`-TalzE{kSmc3hS{|A6?Y6*9ocn7loa9
zR(5>)nTzk%ZAqOlS2%jY=KqYSEsR_Dsy@qdGB8MRGBAkaszodE;8iH21n2W_$c;Ng
z^JF7%<Id8+fS>tMkXW&2_dZ2^2WL)?qghj4Ea_bLY3B`ze2*uL--V}d`64tm<)46{
z`K{R61U0RVJMR0woaE29<M3atkRP^Y54H*H)#6_K@a)R9jyhS_)x#q58`MK3o}T~D
z+I(tDP-NVGk&Ep-Ma^y#lEc2Y@yxJO*3xS%<X(|*S&hMaQ(CW?vxnuC1nallg?#x3
zxo$^3@NW(I6}vUE(b(noq#p6P()@|Pt0n3J-rd=9c3~~2wBAO~8;WZ34z>?+FP&3R
zi9I^;(LTxViyrkZi(P)Nr%3qAx{Ax6H{Quu@yjuC<DnyUT#ApLg*{ABT)FuA&UH~+
zGO8qmx2|_nm~vdZO_gKGx5>iRq6}@n-{<Ewt37;uT&K@WThQ;QnZF;y>R1K+r%92%
z5n>Nhj`Kh7+a1QY%KGW6ty7~c3z!_gmYlV}|9?S7ugR}(wLYGA_C2sUDfY~`_-5_=
zX+EJ_LJBx-1&r+8bLs_{Pd(r8`(Qu-^SYxoI}aT?#_&}A0A~V+$F@EGhb-IL_;;@e
zxBFspnMwHN6L+R#&s%pcn)6p%!&1RHPHfqZqNit8WM7)A8g`L?g>0SN{0EG^uTRfU
zFFtF`cboNnRn_IZptTc>s~@I1DsNx!sDHh4bGG!d*v}g+jPIV=e&<5nv*y&UEbG#@
zx@-yAY}q&dwEX)LxqIX8z>MpHkv2;&h0R;A;IP2<EzC>qyW4hTclqC*{z~{g@1Z?h
zs_Gr`ADJp-XM{<%8h=_L!<N*_=D|B(?(*j9O-zOV7|QsA{#~Bg|KfLDg5%2gZ+*u%
zGe-G~e_Ws;RWRXFcdv^rYp1ZyuD<AV-mkVz>v@~EM^iOUrcGh-TH{YrNxynlZhalE
z*tkFIxCAGEUFkQO@R^&pX76Y)KbUbw?tMOw2usy3kF6^t&X}mje2|)*u<3x>mtTEX
zi^Af?*lV(~e--UCRB|<#u#jOx)-V6EySeGn(j3c<t=rn#bN98Su|xHBt+Oq251L<!
z{O#X;o3$qScF2`Q^J`mQv^RIZDBTeF(qh@!lglFh1l_Z8V~F_iwQ%+}KTf8%uiklW
zIrKZEKHXSEQEL98Y`z2X`Q>E_^On!}A^*yT|KO7JUq8YtpISM-QafO?eagXO+bt^6
z-CPqaHT0`@e&hUP{ZHSKoB95?f1e#@Z#+<Q-K$tnWR>T{AM=IzI;~FZonm}d;vIvL
z%hk%QzU}$%zMlR#anqv-^D}u@bZJeiPSI*Tw%$_x!<?gg_k2tWEtuMJ{i)Bf2OqK|
z;&yw_to|>tHM%OyK5v?x&|+?b%>Gl?C)qsyskF!czYq7`!^}~fxtgYydydM5S?ydD
zQ>^o+F-tve^2W8+fyuQKe17qJU679Do_#Z>DgLT)(S_<a@u4~^tiCM?_G47gzN5@y
zc)^i5CR+T;oJjA>ifx}9Li&PzJeI7e{3>!w-`ddUN=MMfT7m3~H}a%z*Z-Sp%q{Kv
zb9vTz>3@rg{f;`-doNwd@$}WceOuY|I}XoqIJ4&LmOpd5>Rvdo{GKAME9F}~<FL?#
ziI-*FAE%#)l+F*{8fzH)B|HChLQ&<FbN8RkpK_b)m0qj-Jg#@o`VI+hYHW6#e5>~I
zW%aq4mrR!XR`e&dOv;+|%zg`xa;#*-E&Y=h-iQ|16x<eR^V@rNs)CGls^WvTX*Pje
zHM1?QJym)AW&Jr{zLx1M%=&iw%jcY0U?=hGu){K!7US$Qx{=l<UzOx*AOBps^#7hy
z>8orq-&YD{OR=&!?o=tdb!TD8whg@B{-;g#5MS{A0oSKLS@D+@e)CUS@pt0M$Hx-7
zWtXfgP;>bhe)C5U(_XcmEl0AqXGof<te=vgZmYNGc?HvXZ}lFA8C>pc)2vtI-M{Ag
zy@XBXw{*>ll}j_HtX<czz^Y`9=9ERVeRbw5Nxwa_SWAu5<Aloe2NR|&yjky6+)=N~
zqOt!>dhg8XI}YSZ+_;l=!@^~4TCDIj+lJjnWq%S6f3@6tWq(?i&*_B6u>qBfxBp#y
z@DeB6#m7%qNlNPL=;YWlJ&%s~&%FP9W>f0_8yn_uusELx=+nvAcD6FMINLo%+F@Ib
zeUajWZ&{Cj+qtZtdh1w_<D}W<2bP*WEt8r0(65JK#le-&XZU2EFJi10U2kF~6jP{v
zGUDf~7gZb_E~5T!o7gh?#g@g+?Kvg7<$~U<S<Ip`^PiRfW{TMNMrz(HHkl-=Si@T~
z2PW|4sC<|A<lq%?m{{G)@yAbE=G$M}31#^|{ks;<=Hu)Rwyaof`E={Wo&D!r79H0M
z-{Qt|VCALxeOpVC|0c8@zCCsMht{c!5)7LRI#mux@yfo5d}B6cS=jyP!d=&lAFWro
z^WbljeBS=#*=<)Qr<(j@-@vcXcb(V2=G`BOBcVax&fF6C^>U8ed{0>cRmB9o$D+F8
zp3*FjOxmp$xpl2x{muE(={-|zW*0H2a5Q9p<g@vFrfr=;K;QL-s*NeXCznk$zco`p
zq||!(QFX!0sm6Nd6<6kDJz4fU(<JHPsVIi#69-=I%9^?-xcOfVo7NU~-}6zor98jp
zhe)n(``;6`F7I9Ey8idy6}!LlRr)VJ^>}5<$z3l)wO0HJct1nPr|dw?3-$l9=W^6^
zomSMzWz2bMSRnbL@bv3~s|K;}m#mLjo@N_0Lu&uw=CqCrJFjs>x2{k*vc2woZsy0M
z&ky{FcoVa7w#?;Ktq$utQ}s2HpHDM7E5wy|EadTVt-}I^5&RW17R%(%n-^o@tk`<3
z;pD5G$08&(SNi_Qnrcxe{)+eYDN$RmCWg%7`~S|w-3UKXFsJdS_I(w}Lz@glbp;Ds
zH0)BJ2jt6TF|58)m2`GfQ2Eu<>nptL5?#$>3iVFpoGwW%n3(aq;Y!4X6=D@_W@{||
zu9;h1-=-@sF-NKN&cv$5X9pEi+kN`N7k<y(_`y4Ix{gLr^U=$9bQ<r?PiQ^#VCJ03
z7k!=Q2J;K83JMBZA(D4&UR=qSmIkJMF*OXg?3I&m-Z;<O;dI{M@!5Od862xpzgOJ7
zlDhObSG%Q$Ykv7{L&aBaYBxHb%`&P#B>nzqJCEw>VoS*czRnE_Z?<d6$JWMOJ!QM(
zRb@8Q@rwdKj#vI?-}CwS??2xf<Hh2qt7up4u2El6Cmv^aVufQ#=r<==(~1E+?;vz-
zw`&*&0|N+4;A&c>rli5!RvKIN|0aCUNp)g4<txFjbD-;t%>;%|Q}?~te8rf-p!PG1
zr6aS_@jWXTrmSk2)O=vhdb{K9+k68xZtJn!XL!i)h9Tnd-?lq<g&!Bc+xPJ5qP_Ev
zDVr?covkXOXUX*_R%c(H;@cnd?ma1Nv|oIovAfmfS>I+cVb$3`R+!I>>iI31GFSK8
z59`UM-Ta%=K31^B?{z=1_|zid_6OhEFR<V9Wp8{Sc(*wq{ix!l2KHnJ=Us<m|C}|S
z)%C#QH`{x+Pd|>yx7`f3nbZ_gp-}(nc;UOJA17R%xyDuUXVVk@mjWl3erXf&)N8wQ
z&u)+O|9j!(3jRH_&%PD((r}o_Z9msq@IQmyb6wXb6TSOB%YH4sBYeqi+rhUt*e`6p
z^yrN2|Kc-$<+wth1?V#QG~Fx_`^-?q#oAH%SMJ$2i@ZP5>HLSj|7O>3{(bzqp7f>1
ziaY=HtkuhkWSQQ2KzUo8VRm8ur{;6AJ#l)wGI@iuPpo3Il3y;`?0UAfz3KQ?OZC;a
zmUPFJgz<=^S^DH^$z2jX-;~kdtaaeo;~QW2E&oV;;_%DnD>9Lo)U%2;dBcjVgDS#o
z-Mh}5^qQKQD%{-?HzjFGr|YD^D@&s`WgXme{d@DAN73nmMfLrMU)=xU^saPq*xGfr
zaRv6@&c*Y&Zhf^%<6qK|dE!SMKiHo5epLK(aJ_h*!4tumJkP{iKSeZfOb9yV;nmX?
z{L*BS(Bg*${{<%PJ8o{=5c5$)VS~fL$7Z*b&&^|PP~_=&a$`+q(90_c#@<(#?ea7V
z>e#X>tm=TD*4n&Tcdc4hWnF!`=-Mi?-GOY~yDnrVvI)gVti4<o8p&F0A=B&Dd@#Xd
zlFHI4SDP$#PBm`gyLxDa+TIJ9s-1yrPvtDx;LB#@8Fo5o<7O`h?Ja3D8m%%9?@>Gb
z(?Z*pqpL5&aS}IQN3){ghOC*-mPAd<3_82g_w%e*ZLVV3yCT|8u3C0g?bJ2y*;h<M
zy?k%Fq#DeA7uETm`GunlcmMKT7reAXPBU@3Iw>-nDD?W6ztfnsgOxui+i%HbxdU5#
z8m?-29xcj^D&06E)YGB={YTT8*#`_Io~~ax@Ad2Bd!_}r@c-L=tLY9~apIv8R}-(J
zL7Y=vcfJt&87K1j*xWynf9L9W{czh?^R;_oL9?)qcDG6d+sQdDZ<>#n-nUm%?26$y
zXLqCUhp~9`=I^s6o8H?uSMYxC&RK%)HP@XAluslo&1yU=cHf}MSp3PG{yA=2?DLe$
z9v_e7+5B8Vanr<H8w)eW*)dmcc^zJH{{^q*Gr2Q6oA)qo783AqZb)$n6j2osxjp+%
zqCuvnYpjuGdgGlH3k{8wm6es0Jh>*79AsgUJg$%uW-gR)(4ptBg~(xr76GRo#UlbK
z9EvRhO&vWm)|M<2PxO;nv|>|4@rI8<8y|V?I5zpEM|QZAZ?{({YgB8@A}_X#t%52G
z7@S(f|LjipTqeXlMaY9er24&T$CEc^655#>i~{Y70?f7N3J)H%@cemt+STRPO8w5O
zOIiqYB}*(nv+@vwj@`=4!!tAD-+OwrE9mSp4B25E!s1`2vf|aAu*2OC8Xfu9&i<Er
zoR{a(1*f8b(23l8PjKi?dG@qTf4PENyBGi5!%th=Tlw4fh|YCaUMM=X_Jm({>O-xm
z#fk2b&Q%9xrX~lnYaDcseVS3A;d#dP#m$MwO|M69ai2WrfTL|%XG5Xa!OsUA#rJx)
z?BD#Nk>zI2<V`=9>^6QlD{^c5?X#>)&g)2V`>fO07P7u}Q`wOy?o8$?QR(i|mLEq0
zDlfQNe=pSbSCzGGY8AP*YjNay9+&9T(M&Aua~=sMf2!hH$Pyf`$QO9n=dwDtqQtQy
ztIxhHQ@XgO{qm+w_osEVME%%c@4Ecx<hiQfwEpBd%xW_gc~!1aFhy3iyu9_F=3lpx
zJOho*>*`r$PB~Zvha~?Cap|1*;gR}mG3~G|`YS)Ze%+{S%5`G>3U0GmkE(vp)0@nZ
zn7Ns^GIqn$kBYPU^NmDb|I!Y-eJ1YF7F!Lk0{-r~-ZL^6pDnL=6tN>=1-r6lm58%h
zSImmy*!GO-edRY;9$1LDEOa*M$Y5f9e7;b6??y@Ui`G6|4vK#qKKzhc7I30iD@|d7
zO~SeE+>@vF+|UbmF_8LsFTwWq>E6E+zcYW@5aU~|@<X&c@6DGSr)}DDbNl=jT$|S8
z`Dfkv+wJc;#GN*L{+6J>;Nylnvp#Wt*t+!2?Uq*$XCyj&6n_!5OK-kcH($=TzFBvF
zx)n!StbU=hd2|1>O$A{WBcI(^KE;S%s^x%^T*M<ouZgOko~%xm3EeZfu<rS9yXlXW
zIW1Z4O`NxP)1n^zt`hT|;UA*>lGJps|JFSx$MW(=wEFJ}@qKI(Y>c-)KRbIMbMdMr
z<=+m6@;?&2^7!|=C%+&0*6nMOXegR->+I{rQQn-sMhmlfQl4!;onuj{C2pU<e>3q<
zPe;$;r$HaY;?1AOICl0f@r#i;@bt<-r&z%p<&X;%5?>>;{+w9#>`U(fv7|qK{=Vro
z&#$Si+WcyZf0R`x_bG)H!Ve8saXn=1Tf=A_;1v7x-$U(y?u|#DJYu~q9`aszhEFl$
z=2;hS-Kpx_e%vJ^v)tmGo8<llcNUuQ@T_f13uL$6ZzU-#`Pr&iFjA_xA~fr1W6p#b
zCl@Np_x*3#v50BzI)|;5Y8#(v6!m<sa#OK6`!G5v=}e1Iau3g^ix#J2`n-c@W@x|b
zUDr^>;B=q=_teE^dIujga*D`sbS#qIr2QwHLHO_$>m>pWe=b&k3$t^ayhUk&$1Gl{
z`Rfn;5<IYQ{mMBRD&}mf+MT6B)`;r1{MXhA*>HZxszmcoN^1g7R^+Z`E1vvR;vnnQ
zlr8hSj@p!-4eWm8H1Ai+%wUc4T_PPhA+SaXWHChGanr@Y3=9l?3=9mCxEdvydC5ia
zRtfjXGiT3u_m_L>c=nW^*6;d$##_(ptnXQE&ohOmPwMM?>l$4#Ha7~=@Y6lzbLQk4
zFP)QT&Tro2rQ>z(gpPNpfl0VQfB|!o!XdWazzT^=856h}U`~Rxla$W~U7W?rzyQKH
z+et+!iEtNz+evSPTXh(u+&uW4407i%A5d_1ZfrFW5edxvTz+}3H0Q*WtrJ#m3UF51
z9g>!Q)ZxGM{eKlF`u_&6+aNfxqvHwx=IQ14T4(hwid~tvgzYlJRfbCp|2{50%6zIo
zW+&sLCCA-3<7dBqVYum(VUC1^+RJOdjklb#ud$eSrv1px*$a4&dhfqDt2&5#bI#4F
zFS|c(^IN#rjOB~OlxCa1ZrpdHs?5Rzcy#r%y)R!lpyT7^-JY~<!n)R%GH=^k4;p0V
z>GU0PcfJ^TMAG~HDaPmhTTAb%{)rSlGT-!*RMb<2xbT9qS6gT0x673<);A<Add)f6
zs^W9fF203#RSH;zed0bf%TAPCr*0@YZOytXhm>c`xMOwA+wh_70sYgi>mGCLlUm1o
zvd6UO-A&eMC(HIM3w^gScb>TPvcv?*Xx1*-&i|L+e-=OG?!TXBqTBwy&p9d?&Q~NW
zjUt{(8Y~XDUKk!`d7@uzVp_}058_kG?{5?k`m4J7&!NluPxLpOGM`{%n`bb4%i2%;
zYLQ&J8RlYDI;-y-s*Y1$U6WF(aq0r|v1>oM!*;%~Hk#*~cDUE-<;8*wof@~WojkD{
zCUC3W>an=CZmCWA*=cNR|NZb;ZF6`zw<jO_p^Svx{-w|NZ#(6`zA;peDJs{wFx@+U
z^4WRMDtbQgot@nFdD6*(IqM$V*fn!0O%2M*aMfBHpcXg%6VHPyoEN8XrbldCvEc4P
z4xtB=_UoM%eXuvi-c-1Nh5y0pjP2o@moo2pr2p#uokZ5`Z%%x<+JEX7&kNHHGMQ^y
zuhc1Dq4|+PQ!PT}iA5rh=Ob1%MgD+eQc>T}%rJT1cCWboj;ip4`<qUg+Wg&c(dd!6
zkbTsby;mofx9xm)A#ZW(BpshuuTllGwb!U>9f{AF8mwf{zc4iJp?f#yk$KNdPg(y{
z+P$TxPCD!->%_Le7e7LN^c0=DobSBwaAD%&Z4q)`RWhtttKtL0C-77@a8w*^xi<Of
zn_D-P_?AC2u~h!y^(UY2uC=4>0p1sLKek_s*}iS*tiysDWjb>XZePiIvf_M<uiPYw
zMN<}k{rrt(E32#p%lT;^(!ygM&0l|KE$vpB{r#DROa{w+Yx}haq$^D3#Jp8Mpt>x|
zdS9;N7xgQie;nGazjw{*wGQ<^e@MMQbk%hkzK~5SjBy`tZLSOth^h!pauO6gIK|6Q
zBe%6Fbld4LrI)p_H_v?V6FGJ6a>&8}y}v1~iyFhUllEp^Dtau?%)<G*%edkA3dgxl
z$23fhm6SXuIaviTDJm^H@q$r^K`4;xs6wC8f{w%%3r595-YP1ST0G>8d3Ff2SwG$F
zQFZao<C>bHOl^T%7eZGB$UU-q^89qu7V~=T>6gSWv#gNMnRIgL2jSP{vh)9L)A|>(
z;CRcL#7C^b96ZPQ`VCZ09&ul_R)KSyo)N?09}-tNwKr?~_q@2<|GsYWH9p1lh0CWm
zZdW_z`eXa8xr={IZqRE|+1D(uzy3b2)urE=I%z^itInD|S6!9DH-~qQ_w#oan|qsQ
z<yrD1xH<IyHV|vR^?jbqp2{bCk^~R#pY}T8>%{VztdqkN((n3j>hs<6=x|v9TTIQi
zM^~H<OtyLuv-bY+3vcc`d;V`z=j!A0*t?$z6#g;nZ4_USmwx_K(y^XdRs!+H-Dmng
ztqNRnu4|*C<rSMN3)e3As;FFZA~a@=ubtP-2l62kD_w6)dB7mM?pDqAgUW9T!)Eun
z6!jh{f2|S8`tI@U*Jm~`?z#S{`F?+c?6+3|i<*00Y6|g)o4kHD_2!-Ft6Ca5Ed-fk
z)9*@%tmp2m=%}>5$6-D9+0l!ZE3{_b<gd&A^*QR$vCK-x_9fwbg5Rb^EnrL7Euyqm
zyX2lXZ${VrxxtTLxy$7UhOlai3r4E!c=!Fm?Ohid&s{uXVY^`ca@&baZ3a0eMHarw
zp)1z6nXk}-73`3@#%ReBhCC()hBd4V46-=tn)srWM7^xye8dbx@03`7B}0+6_kTsz
zRc2>As@cfA>(0VAuhdRy3EVw0!*izGjI8CUx6dgE{rkO*ne7Pwo3m%W*u1ay^S7S+
zzEO6ooZk|o579kKPIV>*U5PSSpA`Bh#4oL@*Eo6kb$Nlm7I{@)H^jB??=k*Zk|7%;
ze`}8Oc}A(K6@gPdY9m(9Ij}M*<MN~q5zUxQA)YT1P6l0>zvBwubyMCYPYRm7^jELF
zyJ1oQ=hF9U{+?KWc;DKUlJW;U4_dUkUFqqc%`1_@`lXQRLW4$LZT_}b#|v&s?%4Fm
z_dlQEiyGPcQ&l5$Hhl=x?zy+&c~JP;lTU6eFk8T-I77nO_*>f<2SvHoIbFU-SDq<W
zS|Pl0)lW{>v&WPan?B4;ld?HwB3b04VVH8{sfpv#B~0(<hji+#i8*VwU{(3aDJ!%#
zeqXS(p>o1WndZ>YgHtE*XOt9ZaW8#%{c5E34h3h)xh$vevEHfW4d=hF=fu8x)gqOr
z{U@i`sxPd)Zk)JDg{x-wTkW;tM-y*+hzq({BD8OP=#(Gpb{t(D7rrF>SoN&yOQM%6
z&%dM`#P9t18vC!bt>v}hE9O?aMXg?ORH%+|eJcO2(_vA6@@gMxXE=OOF7b?u)V;n(
zCto?0_20}L@ov^<7F#4S9klZ}=)1a9eTnB6mSD3akM{?)jZaOUD<Zt|kW8zh%}G_)
z35ze5-Qo+LAr+Q1wbJ^Qc1xn=yXQVHnAuxyAIaUme}7kQJDYra-g$c-UOT?8>u$gI
zonL6Fawm@O+nKn8PMKZz{MWy~`>u(-=kfOQ54hcH&zASTD|ws#Zr-0+Z`pQV-X8tL
zIizgz!4xjewPhx8^CHc~zE^kuM@_MjmUBv~nHU(Hl^7UsHbu%)5JNV1BQNINHsGnb
ze?4N7XVkCR$F?=>U2}l#&F^ye>X!jaZb+qgW~eagblQAM=~^^p{_F4Z@$vh&esR6(
z5?<r-{8|6iuCUl8w=*Iqugh3nW}`nV=Sal$&@|}_$`gL2&s`>Y$l!)o-r<J#wQHqa
zj)z@R&bi+EJ9*9R1;%zkn@Tu(ziHTqbWfXo!!3T}$KY%EQnlA_?2r8uoBVg0U`6ZS
z|02!TD?aIQ8S3y&;as8|)pGE5k$?D~wBiiyx5kHz4hOWqJ?gGu?_BnD72}}~x0Me(
zV?Cgd$akZI`@C?(S+i-=KPjodC{2$_h@TQBYR~xYQ;1K^CUtSaGp6@5OYE*X_8nS2
zfqBZ}<1^PwbnWbLY_S#QWl4A2E|7aLGN6?6ywbvEy`uMgXOA?xzcHK{aL4T96K!>~
z^}bgk;yJ?VS=UR=5qzzfk|F+zVNHNv&f%C;L-CoiG9m{g7|**#=D2k(c()=)%OG&}
zoO$<(8GNfZzDeD(Dg89}(Wdu{=560u*L66o-)z;?57k1h*PYiYnC&^ow_mzcM)24C
z8k_9TKP+A217F>A;t${s>`1?|c#q_YoUL~SX1DvDdidgE>AG7nIr~iugr`d0-myPz
z|65CkcmJesKVD<>_001ct5%tPIi_v%4sG83LvHcrO`rF!+HYyPY4YB@M|uyGH(Fi_
zEb%YUwan=|d%W>}o>pI^w{Hpe2McYd-nD;w-n(ho98Jq8nJv@2UhkP>gK>Y0y`_}$
zTIu(v7$07Ads?3EDe%ya!QfO}OlHo*nZloZ{%o`PD7boi>|3VAMeJ(FF1l=Az;XHY
zyxU8rD)P_q-CwqIvHb0j+;v;{-)%hif6BV`dwau{XKB9FM@_luKk^&P85kJmGBDs=
z5~){|TAW{6l$=@&Z%W6WGUPv`Akg;kZ{6907oBf1c(eC!S*Tk0Izj4jg3O=)odV|D
zzyJK?c~{2DwN23R)ie7~bvEzoqwV5y4cFWbIJR=@vtsv6JMT%|47&bOT}e*B>Eq63
z_8n`ywX+4(W;Rc^JtecgdWB|hYU!<sZF7?MYqz)_St%DE;LXS+!i;N)HZKDRFfuSO
zENKL>;0v}np$oRVJ<`!lKwW){Y(hE{14BwGp0&7mOhsL2ifrm7X1u0?m!0A<7<FkS
z#9)wDmo!?k;xM=XvCtBa!Kf<+AqIm&@@?a8HXH_{uOGx?I_jD`i0Ke#UuVZ|x?VA4
z1s)zlZ=ozfKsHpCABUl!1qoP9g!Cv;N8XW5tdPKAVk)Rm$7&>`ErZ%`h8PJ7*Cma-
zia3mf^rEqv32~+}O2-#sCL}Z$;4-ra)D6aJB*dAhO)iL$5NB4ZV|OM}0}PM3s1+l!
zx!PLT&D8@}lX#3oEwPY|e5!-PNTl)$#b8!8P_Xeb@G|(aFfc6CWnj=_a5Zr<aY-@=
r(~oj4DsqkpEl*1JD=aN3G%|4sam>!ia0v{^h%m^=EOiTr$hQRmwocXC

literal 0
HcmV?d00001

diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v
new file mode 100755
index 0000000..ced7afc
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v
@@ -0,0 +1,220 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
+// Host        : hogtest running 64-bit unknown
+// Command     : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.v
+// Design      : design_1_clk_wiz_0_1
+// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
+//               or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device      : xc7a200tsbg484-1
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* NotValidForBitStream *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
+   (clk_out1,
+    reset,
+    locked,
+    clk_in1);
+  output clk_out1;
+  input reset;
+  output locked;
+  input clk_in1;
+
+  (* IBUF_LOW_PWR *) wire clk_in1;
+  wire clk_out1;
+  wire locked;
+  wire reset;
+
+  decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz inst
+       (.clk_in1(clk_in1),
+        .clk_out1(clk_out1),
+        .locked(locked),
+        .reset(reset));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz
+   (clk_out1,
+    reset,
+    locked,
+    clk_in1);
+  output clk_out1;
+  input reset;
+  output locked;
+  input clk_in1;
+
+  wire clk_in1;
+  wire clk_in1_design_1_clk_wiz_0_1;
+  wire clk_out1;
+  wire clk_out1_design_1_clk_wiz_0_1;
+  wire clkfbout_buf_design_1_clk_wiz_0_1;
+  wire clkfbout_design_1_clk_wiz_0_1;
+  wire locked;
+  wire reset;
+  wire NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED;
+  wire NLW_plle2_adv_inst_DRDY_UNCONNECTED;
+  wire [15:0]NLW_plle2_adv_inst_DO_UNCONNECTED;
+
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkf_buf
+       (.I(clkfbout_design_1_clk_wiz_0_1),
+        .O(clkfbout_buf_design_1_clk_wiz_0_1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  (* CAPACITANCE = "DONT_CARE" *) 
+  (* IBUF_DELAY_VALUE = "0" *) 
+  (* IFD_DELAY_VALUE = "AUTO" *) 
+  IBUF #(
+    .IOSTANDARD("DEFAULT")) 
+    clkin1_ibufg
+       (.I(clk_in1),
+        .O(clk_in1_design_1_clk_wiz_0_1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkout1_buf
+       (.I(clk_out1_design_1_clk_wiz_0_1),
+        .O(clk_out1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  PLLE2_ADV #(
+    .BANDWIDTH("OPTIMIZED"),
+    .CLKFBOUT_MULT(12),
+    .CLKFBOUT_PHASE(0.000000),
+    .CLKIN1_PERIOD(10.000000),
+    .CLKIN2_PERIOD(0.000000),
+    .CLKOUT0_DIVIDE(3),
+    .CLKOUT0_DUTY_CYCLE(0.500000),
+    .CLKOUT0_PHASE(0.000000),
+    .CLKOUT1_DIVIDE(1),
+    .CLKOUT1_DUTY_CYCLE(0.500000),
+    .CLKOUT1_PHASE(0.000000),
+    .CLKOUT2_DIVIDE(1),
+    .CLKOUT2_DUTY_CYCLE(0.500000),
+    .CLKOUT2_PHASE(0.000000),
+    .CLKOUT3_DIVIDE(1),
+    .CLKOUT3_DUTY_CYCLE(0.500000),
+    .CLKOUT3_PHASE(0.000000),
+    .CLKOUT4_DIVIDE(1),
+    .CLKOUT4_DUTY_CYCLE(0.500000),
+    .CLKOUT4_PHASE(0.000000),
+    .CLKOUT5_DIVIDE(1),
+    .CLKOUT5_DUTY_CYCLE(0.500000),
+    .CLKOUT5_PHASE(0.000000),
+    .COMPENSATION("ZHOLD"),
+    .DIVCLK_DIVIDE(1),
+    .IS_CLKINSEL_INVERTED(1'b0),
+    .IS_PWRDWN_INVERTED(1'b0),
+    .IS_RST_INVERTED(1'b0),
+    .REF_JITTER1(0.010000),
+    .REF_JITTER2(0.010000),
+    .STARTUP_WAIT("FALSE")) 
+    plle2_adv_inst
+       (.CLKFBIN(clkfbout_buf_design_1_clk_wiz_0_1),
+        .CLKFBOUT(clkfbout_design_1_clk_wiz_0_1),
+        .CLKIN1(clk_in1_design_1_clk_wiz_0_1),
+        .CLKIN2(1'b0),
+        .CLKINSEL(1'b1),
+        .CLKOUT0(clk_out1_design_1_clk_wiz_0_1),
+        .CLKOUT1(NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED),
+        .CLKOUT2(NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED),
+        .CLKOUT3(NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED),
+        .CLKOUT4(NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED),
+        .CLKOUT5(NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED),
+        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DCLK(1'b0),
+        .DEN(1'b0),
+        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DO(NLW_plle2_adv_inst_DO_UNCONNECTED[15:0]),
+        .DRDY(NLW_plle2_adv_inst_DRDY_UNCONNECTED),
+        .DWE(1'b0),
+        .LOCKED(locked),
+        .PWRDWN(1'b0),
+        .RST(reset));
+endmodule
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl
new file mode 100755
index 0000000..e1115bc
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl
@@ -0,0 +1,151 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
+-- Host        : hogtest running 64-bit unknown
+-- Command     : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.vhdl
+-- Design      : design_1_clk_wiz_0_1
+-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
+--               synthesized. This netlist cannot be used for SDF annotated simulation.
+-- Device      : xc7a200tsbg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is
+  port (
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is
+  signal clk_in1_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clk_out1_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clkfbout_buf_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clkfbout_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
+  attribute BOX_TYPE : string;
+  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
+  attribute CAPACITANCE : string;
+  attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
+  attribute IBUF_DELAY_VALUE : string;
+  attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
+  attribute IFD_DELAY_VALUE : string;
+  attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
+  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of plle2_adv_inst : label is "PRIMITIVE";
+begin
+clkf_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clkfbout_design_1_clk_wiz_0_1,
+      O => clkfbout_buf_design_1_clk_wiz_0_1
+    );
+clkin1_ibufg: unisim.vcomponents.IBUF
+    generic map(
+      IOSTANDARD => "DEFAULT"
+    )
+        port map (
+      I => clk_in1,
+      O => clk_in1_design_1_clk_wiz_0_1
+    );
+clkout1_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clk_out1_design_1_clk_wiz_0_1,
+      O => clk_out1
+    );
+plle2_adv_inst: unisim.vcomponents.PLLE2_ADV
+    generic map(
+      BANDWIDTH => "OPTIMIZED",
+      CLKFBOUT_MULT => 12,
+      CLKFBOUT_PHASE => 0.000000,
+      CLKIN1_PERIOD => 10.000000,
+      CLKIN2_PERIOD => 0.000000,
+      CLKOUT0_DIVIDE => 3,
+      CLKOUT0_DUTY_CYCLE => 0.500000,
+      CLKOUT0_PHASE => 0.000000,
+      CLKOUT1_DIVIDE => 1,
+      CLKOUT1_DUTY_CYCLE => 0.500000,
+      CLKOUT1_PHASE => 0.000000,
+      CLKOUT2_DIVIDE => 1,
+      CLKOUT2_DUTY_CYCLE => 0.500000,
+      CLKOUT2_PHASE => 0.000000,
+      CLKOUT3_DIVIDE => 1,
+      CLKOUT3_DUTY_CYCLE => 0.500000,
+      CLKOUT3_PHASE => 0.000000,
+      CLKOUT4_DIVIDE => 1,
+      CLKOUT4_DUTY_CYCLE => 0.500000,
+      CLKOUT4_PHASE => 0.000000,
+      CLKOUT5_DIVIDE => 1,
+      CLKOUT5_DUTY_CYCLE => 0.500000,
+      CLKOUT5_PHASE => 0.000000,
+      COMPENSATION => "ZHOLD",
+      DIVCLK_DIVIDE => 1,
+      IS_CLKINSEL_INVERTED => '0',
+      IS_PWRDWN_INVERTED => '0',
+      IS_RST_INVERTED => '0',
+      REF_JITTER1 => 0.010000,
+      REF_JITTER2 => 0.010000,
+      STARTUP_WAIT => "FALSE"
+    )
+        port map (
+      CLKFBIN => clkfbout_buf_design_1_clk_wiz_0_1,
+      CLKFBOUT => clkfbout_design_1_clk_wiz_0_1,
+      CLKIN1 => clk_in1_design_1_clk_wiz_0_1,
+      CLKIN2 => '0',
+      CLKINSEL => '1',
+      CLKOUT0 => clk_out1_design_1_clk_wiz_0_1,
+      CLKOUT1 => NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED,
+      CLKOUT2 => NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED,
+      CLKOUT3 => NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED,
+      CLKOUT4 => NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED,
+      CLKOUT5 => NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED,
+      DADDR(6 downto 0) => B"0000000",
+      DCLK => '0',
+      DEN => '0',
+      DI(15 downto 0) => B"0000000000000000",
+      DO(15 downto 0) => NLW_plle2_adv_inst_DO_UNCONNECTED(15 downto 0),
+      DRDY => NLW_plle2_adv_inst_DRDY_UNCONNECTED,
+      DWE => '0',
+      LOCKED => locked,
+      PWRDWN => '0',
+      RST => reset
+    );
+end STRUCTURE;
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  port (
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute NotValidForBitStream : boolean;
+  attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+begin
+inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz
+     port map (
+      clk_in1 => clk_in1,
+      clk_out1 => clk_out1,
+      locked => locked,
+      reset => reset
+    );
+end STRUCTURE;
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v
new file mode 100755
index 0000000..fd8f6f2
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v
@@ -0,0 +1,24 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
+// Host        : hogtest running 64-bit unknown
+// Command     : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.v
+// Design      : design_1_clk_wiz_0_1
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7a200tsbg484-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, reset, locked, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */
+/* synthesis syn_force_seq_prim="clk_out1" */;
+  output clk_out1 /* synthesis syn_isclock = 1 */;
+  input reset;
+  output locked;
+  input clk_in1;
+endmodule
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl
new file mode 100755
index 0000000..a2a8207
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl
@@ -0,0 +1,32 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
+-- Host        : hogtest running 64-bit unknown
+-- Command     : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.vhdl
+-- Design      : design_1_clk_wiz_0_1
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7a200tsbg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,reset,locked,clk_in1";
+begin
+end;
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci
new file mode 100644
index 0000000..d1bf710
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci
@@ -0,0 +1,295 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>ipcache</spirit:library>
+  <spirit:name>adff1593d44ebeb2</spirit:name>
+  <spirit:version>0</spirit:version>
+  <spirit:componentInstances>
+    <spirit:componentInstance>
+      <spirit:instanceName>design_1_clk_wiz_0_1</spirit:instanceName>
+      <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/>
+      <spirit:configurableElementValues>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">400000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">90.074</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">87.180</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">400.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">design_1_clk_wiz_0_1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">12</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">PLL</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z010i</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">clg225</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1L</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">I</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">e6a05ff8</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">adff1593d44ebeb2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">design_1_clk_wiz_0_1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 5145035 $</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">401ad827</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">31</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2024.1.2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
+      </spirit:configurableElementValues>
+    </spirit:componentInstance>
+  </spirit:componentInstances>
+</spirit:design>
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..5823dce89f7fb9ee7088d59c058ae60bb23d66cf
GIT binary patch
literal 12828
zcmWIWW@Zs#U|`^2sA{T-{E*%L%aVzKp_h$;ft`VqAtkv$uOc@mG=!CbS+dI`{peKh
z;@Jiwt=GS<zpx=oT+u=1_}3ffK6p8`x4S<$5}TPaA=xw{iN#{c{(TnH)W4Q^-7Kv>
zzf(T^x!2(@+ipHh@OSaFoUOTQmFD)(2d~_l^kwOTDWMNOE|F|wVmh^BhUP3I`I=c#
z-sj5$cJSzkHwnBy;j{Ca`{9o-qITN6DEGea{$6e6G5-UrGUqX7GS6vDiEf@D!Vtq;
zYq3+xx5toU4vWMrLz^TqgQ96=N|OC0{l<LX*kiATGS|rQ>R;RPOX+Mx$fcW0%x_%S
zvMR=&|M+3<SU%T+P;Q~glix0Gcq21i)wloHg;>?^c^z`G60`T2WV@ez6p^yOR%`Kj
z6ZJKVbV7so%{I8SXKIGO@ga5B?8|CzmmI&=BEDQL=u&!H$&_dMFQ)!;k(y-ebSUb$
z(*)jJt1~ZNlx1{Yw={g+RXs5@-|X$7l<7}gOGR^Y&S_nmqc+W}A^2eJCiS0{ceRz~
z9h!Al*o|eKN12|zMYl}2v|icsoomDz-$!0(xu3dMSK;=?)GxVi3YFS?(IpF?yMNd4
zn>OuI>5)yVuD{y1x5CEz#cvk#@M96XFYb@~%UN6SXWx<BY2Tamd@|TpA9$a#&H9-2
z)>_a1{X2_tp0u9mJG5l)j=3qP0;=}#WKCZB_ru5LodvsBWbHi4`jS1mb?%b3uZMT^
zm0#yny17jLBzNu&&n)?EFWQ^>Zh8tyzGMF-=C1gQop-CrSND_EY~P-gJpX#jT>r(f
zi$cfp?sN(-d9u~R^Jn;rFP|Q}8_V<5F`_23ANySTH5nNgelRjHNQ07DYH?<IUc6y^
za!z)9d1h6-LA+snaY<>CUKu>;)%N>)GaCvVeg9kYUf}6lZ^Z<cR%lecbkuM?a@$Dl
z^1BNrk&|-%|9I)UjrH|W?-^(I+@Eb8+A4m1?w*`m9lu_Fe3)l7)9<6E+nbF?4y`hL
zb}V5kE2B2wo9}CmyO#2%sWpF}@!B@>s8s%<J%_d5yB7X9uVKA+yU>B%dh<3E?=tUc
zJ|Z^vv*4_$ivEFfjz(Sb2$Z)_n3I!ZsbXN2{)u~I_BVwZ$)M<(oyynFC2y3uq{kba
zP$HtFJh8s#sM?$l2jhBfE9|(ZZMWW=Z^>5&o_a2Y+0m0cjl@6h*r9S=^YSsX(#2=C
zS)M32&U`gHX1Con)fy|CX$F3|k<(8wZ~Xdp4a1}buODAH6&130wQ}%PkARtnjk9`I
z^>my)@}Tl*f!Y7L@zc(5ZTmH6(+{+uUJ~-QTZxf@L4k>ZK@NXVXQbpHh4#U$!v+E_
z?|*gKZVQ-g(Q@IK`s9|Rf=tiq7rq{!u0<*>Q8<}-XZ`vo&IMjCGR5zmd6P5k;4L|J
z_q%ouAHVE4^KA1^89UF(mi7+0A$(J>ZSZE|xBl|N@5VEo*;13$CB*}_swawU``~mc
z^2UQskzfCtOgz=^FnlfBtsD8i@Xn|2{k-{c^Ix0lcRf{FKWkYEkM9Blhwgb+hq>2%
zX{^7@KmQ}s3F8Ly*GzXD?=T2$sntkeEj*yQL1tw)tCLI0KcU>KigpE;ry8AHa$=?a
zqTd^1t2alv99n718nUpQ!|sdFo9n*0Rn9FBJiaMvu^(P4_Ugb=+vAHbMfDz<B7Bnd
z^Wu!3hS#EwGWYqIhsLrWy`9`H8uL|&|KQgMqfXhGU;Iv@r|9e*HP>|+85lGe@dR^0
zVo_o)N_ont!Fc^&L{3GRp@plH0tgtUrhzC0Lqh{;Nx?0rUcEe0_wuMnL(NCwcal0H
z%llv2v%lltEWRM?Yz@=oeMOqBjOAzeFTGH1R=E+LHOEV{bk)&plWnh~_HQ+Gz54Z|
z+EUAQC!7CUXLHs`3f$OSu;A@Qm9)Zdb<aLUS#E1CZ{Ov=XuZYjW$M8eZ`%IMWw<YW
zTzYMp&8km|1^0vIAFg@iW8dwNsgXTv3d5@DB_R{EEPl>mn6OIajgZIcAFQh%d2U}Z
z<>wZwJqu3mPfZC*c%K^YP<=kKh0WCD2-k_0BPIfI^Co5bRS1TD+14?;%s?((;#^V7
z8LQmuW@ZnszEY0-v@WS7?%YM0r84*J`Q~L<WXE3eeQZBnpvx{|i<-y{2OHJwuN$NK
zclTOO<VP(-ru7}Pxx~Q0uz`_*K^a%V&diHX&d)1Jttio}NJ)mL?xp8_xegf!us+zk
zd<OTDC0>R3D+6@g{zP_6alEuq%zLq?R>zljZqbge;p-+H*rT7nWZ7EPDN84@C%9i~
zJ$2x@+;bT-%SaooT;7<}$^(l&&EBGZ+r>{bv;5?|$i-Q@FHMhVwT9)NU&8CizT|+$
z>64vyUlK2D@i+OMl>YG6zte2@3<{24;I_!G>+1Jgwe5S*GrL7oU+3!|@GEr`OKMzv
zwL<1>;m&!k2BMc=oXuK3Z|^>#M5RL~?|bbzP|@bpBH`xAbo%EiWtFK*m9M;e-X~vk
zYd2b^7mfBl%FfQfpu@|+AcZ4r^olbQ3sT`hk{f<^vb4M4^%w7!T+34Jt$OdA{z!p|
zk-4vU()o1Bf)iO=tvJ1-Qp;2COP(mQJ8d`d@uNGjc4wA1w#?{Pk#IV2fO+bp*8W8+
znwVB8RDOzf64n31y@%0XbAJG<t?}+jW=~f6-QFMn{Y%OBFK5o#P4rgz_t(m9i{UNV
zx3<CWc<1X?TSs4SdwT5J!)r^|Hb4Bh>FbZJuYWIIZQcI#l~?N4oOkc0soH3~=jU(x
z?a!+}-@<CLhswL<|Bo;ie+jl+&22f+VzWHgvyXFxqI6ix|9`!8_l@m``!D(v7bgBn
zyHIfZ`P;aF?bnMZ9O%g|YkoU-?L|5EwqFj1);7Ogd-|}9is9uCzr11_B+qP{TXmsK
zs_gikGlzAuguEjfb_Tq?nRoab*MviB7N<xBnJe_R8ix5ZGjK1od1xfO`$J}fTUpkv
z6MTx@m8M@?E_HUDu{u|ETg!>Bc;eI)o)i8xt^WkMX7mTMeOOsAm2aU(T407^tBdFH
ziJ^i#XIiHu^&PO$i&~aGlXth^wCpueGlE<fo^EIC7Rk83MDVNQ=7(#4ujQ4UCZMwY
zov?=D1>=H_2OD~-R%F^52^<l;;v5+z@-Q}}%b@Dhjk2Nw{<I^LJVRO*FX4Bdz|&rR
zGQFq5{MBW#N{z*4e*5DZzIVuRY%X|JxugF|@Q1yL$4>}yyca$l%CEO=j-PYX)CYBQ
z!f%yrwp?oP;O}I=g)4WjOBH{>yy~%2PeINdLB-Sq?1!b+{T6?_D*0#H@dp0HM5ff-
zq7R9IoQXHS`<*{r_++w$bm;PZH{+YwFLabndL;gkCF#tLn<`b3az|RX&-Okmv}S?f
zNu7=#tSb@>&v}0jEs`%52*?!h3tDIE6<pT-boX4>2-lnmdVb;$rmP7v57@=D#`8_<
zjKi5xPdwi9)bN>lYA`Dq6|g<;kT9A4KskfsApfpq&u{0wNEWo~T2Zk+dER#CT^#a@
z`?zM8yPBj4p7Wd0l_Yma#+KPI=9cKg$A!z14jfK88r68!sM>b7@C7T$1ix?T5*kjm
zdnAvaj4+zH;NG{rez!MSngsMWWSpwpFtNY0AVO}|l^t*IRZ6zRw|uQo+Hx}bqf19-
z_XhLj!arT+EOa^7>0-8AII@jT&!;#!n=kfCbnnS;r=0ms^Dn=)vNbf~=gZPPpEDuo
z(7py<<EDO>fG=Aa*Ui`^y`${%t2vX^*eVSD<|^;AFk2zbwyej$ol(|u(SA)m*>l<o
zO*#iyUoA0+(sE=pGw=?Y;vi;bc(<&nx2`)*Ogyl7_T&hA%?B#i{9OZ%EI7E_(6#T$
z!mk;7KAlx!`FKkBvt;1&XC+U!KjI1f7xd?C`gbYG8^?B@JCJW?ToCECSFc_1RS=iW
z{oLp&S47W5Eqi^6HS4=kf#`EHnd`UC9N;@w$}W92ip|Z-YHs6st(QJha)%|)zfDOL
zzZ{mXP{!SU-t+k*(dhRU%jUP)&RHnFhOb{)AUg8)iVCY3Y35b`gaubjO0#EL&BCV?
zpj@DF@Z_qJ4^Jc}G%r_Dkg<_veE47{#}ymib;({$>-gsLSAJT1SX?C{dTQT(3$`ae
zyaiWW`k;UMVfN~~IyJ19z1BQ^$TnHL$7`$G<If+iYI>~xXUe*Ixy!xEAHPIY-frfa
zx2j`F(Fd8uKV?kK*zX5;+$yS=ml&4&U|r_UFG;&ky3E%y;lICRb$=G?)oUtg52Ja4
zvy2sMX8TsHQtex+{6W_3dHIg-F;+rv4&+}f-W%E^E-|<1;_elXBc30LzPnQYP&ZF^
z)-26maTT2pjb?7oeD+^{YU6vOIi;N%hje!}T-AK4kR!pn?|8vFzwOQQ81JXgFyDK2
z-qTyJU%5?doSvC+KkIhok47!AwQgs3Z{S&PFsJ-i-Gz4-d+g4-<c4$3^5wH%60|aO
z{TkCdx0wEPD4O3cyYQan?twcQ)gcdAci1NG?RfejS;}W#(}!c580-@t`AX_f;ImJi
zA@}9n*E!sKQ#w@}^%ifB`+NGXj;H#AWBKoG=0D3fxc6keLEZ=V%I@`_tb6`<eiZ!|
zek^w0qx}hgxXQgcMegkRx=X@>dBTIvpha^!T2vT<&&+ZAo}^aadS=EXXEjbvE+y9b
z|4*}xnndnYJbpTVd2qq+@+Xna(*J)xU;pfc;N=JD^}o-q51uo1l4j9dowF+ahElth
z>@8MSv7G(fNLuj7#wT2>RptD)2_0Gf;HtIJ{h3of+0P8mdg@}>=ODxFb&M~hrGC%K
zB>zKemdsdpXIffjQdr18gS~ryM;P2%Jw@{9q$X?g%n2P&zUMzZmo@dn<-@mb-K)HP
z-L3Sg?0z$`Ng;a|Kgw2O&A<2WakQo6srm2!IeLUleU$P3!3W1#lb7+hO`o@?XzKZC
zr*^U3dr|Nre!tlh<IPb`>Yah&61z{O8_n9`HOJ;;q~qy#eR`3H@5W}Hc9<<1Jz?{I
zw0d-w*t;xQP6h@EP6h^XT=i&09=sA|l;C{+4Y@gIXr62YZq8X681OSc3KA>!?B1uS
z@8HboaWrenizS`wKJC0Ak?-+@@w@Q!EnkGDru-8SG`|&Fo1mtpc=VCQ;g~}gGPI?4
zvVUX<6v{Ez$X}>%yq}5j>&c8R#;-jSE}UvAHB4H)e%Ilx_JKzhip*onJ;$pet=}_Q
z<kB&%iR&uYsq1qT+^&`uKO|iKWHak-_JE}f(-ZD^_`12Q-=J6P*mgQROTmAQgyxsH
zB^S~?#m?(m=025V^Vil8y~~u-;$3gq5Vrl-DT7IBtPX5DA79lxYkAuvv8*xA`bhM&
z!k+<?we<{+*eP<dz4y6gvSZ!Zi*kbJvhGixes9-mqiDUr;%zC<7Q0AKXbD;w*DTjJ
z`-kA?0~MXC-YM_4=ht3u`{09P(4J#jCmxpAPFCGuutzw2vbLyPn@B24O{yuY&kp^@
z6VqiLe7)LIS1)qJe_or6yr1&-Bk9T?SGUJ$=5FRbX_~M7cF&WnTlyWVirZGtP?tJm
z(`Aw`y__@6EMUFTv)MtPUs<ZwMjUBBe`UMs>J1+w4(rHoQ{QuJf2?x(>+%fO_l9Qw
z3K<yPB5#^kmT80sy6UjUe?0qb<7-d9O_!5Dd|n_}5Nc+X^6`PM)O=sjv!6E?D;d2o
z_9@EERP9%|n=k)-*}1MYJ3k)2D$snQc#q(_d(6D?iznMIIXZPI*Cge-cim=3tghAh
z*e(cNzW@Ed*SjiCva4QMuCvNzEw`B7mJ9ot9$H7-Uix9RSloffWsNltwx_O|b7tYW
zP?w~0@q#QO?<P9)TdrI#F)1*X%dls9U3tUhMz2`m1xjoma<cO7g>BkZX0*s)%KuFt
z9&fiY{yl3gXSm&znJJ5RG9}B;FONw)^`fJ8qs=!Hu>&l#gPxp-=4IrlPycQ+;nJLM
zyBkZI#Y1ZzGOdVi?0<VAt?<H8jkc}@HgE6Gof_Ny^!%3cdAd*6P0GlhI&pRMqvfJ+
zCH{vh<ej=y_V>`ougAVDNM^T=kSafTXXfv(VH!=3*q{84W$e}$f1CGr_oq|uYuR=`
zR9V-}y2m2<k-_4=?MaI(r+(wQv^{ad)Hxy6`Sm|{eSNuBn~Q5z$%9O_N=2`W+^Y{3
zZnam=n!K$4qIQ+y+QdcLKbhQX3~gs`TGwE?KJlz(!o2&B7;CK<jPqg>9?e{$^HqR9
zp;Bjo-m1^bdX8Jp3#@vp$+<juqBrk>x%)SGt0epk2v1M9ab9!GEw6C;!3!+^KYm;`
zb>1?a&pTRrgp?~EGTThhIPh%gqVu^O(%HXD9&h^2Y;-EQVROTxr&9|~A5%Kj``W(a
z(-isg*~$w~l}wD0Sb58N>8UUu_Ufkp8>Yr8m3%7|czE>1%iH>~F8Qn{%l>?Q6y@=+
z&g21q(&1Zi4o5yunSc0p=-Z&KyUULG1^l_aYigF|hWO=Aw1V@uw0yh7e!N2P`)z@e
z3o{M2|1mK>_BXm*NyND9jo;+pMSi?SHD?#HKa<?8bZ$}jMB65}qaXC^g|{C!toXJ<
zn`2MSG}g(vj~1OW-1}Z3{nXLDc5k%i9eZ@lv22D^<|_Hw{+*w#FS(`i#+$ax+PrJS
z!^QgI3&P+0`SbDGoOad#g)bt<t-91-d0zSy+P<x}^D6t&ET{AhX5mk+I>>2iuD57h
zoyM;^XY~fwRf${f^fs+Koy|F8akU-8>qkM?E=es8lmFrQ``VIaMkl&VSFD{ZP?Ku7
zq&WMe=sKT*@NWlyK5Sjy@pVDPreh!6c~9ts&U#@dd^OU2!R|=*d?mh)%XMO2$Ag(m
z-y42CW_Hpp#H{DZt%#>ni(~ls9!dOJD{y+(`jt;UHHF_kYAC0&@89zh9{VTjq$>_a
zYV<oSR+3}rpU&L;f7Q<XM5!g~%G1uD@xP~1TxX@1b*Oxc!20Y3$K|>XmnFHaI99XR
zV9SHqhaPHuw4Jd`QbCesU2OQ@ZNd_1Uk=Y(;q+_%q#CPBQ>tGi7ph3aJ${(@qV&*m
z2JYnZ(cg->4MoKbb+&vpe0N@m&(y2pQNZS>MaA6R%oRp)%TJ4b^<KJsn!v*~%=~%v
zH)r3iXOo(&y)$!efL5l1cTkBN_X0EB4^iC(KdzZIu6q9Kq*47mE2k{Bh1W6~>{`^a
zu2?^OrSdkuWV7<@8(%t7-dUM`m>cPN#M|Y6Q_(7xk~dcT@(j#>V$?eK95}EuTK=AU
za)YOe>Va!Q(r<2BTxXy9npeK;)8$jQ{}rZ4{hzrzOpl?8wdqkN`{Zp;OPP*(3Tha-
zcNoUqXgv4#v0G}D?4*X3hOV!YESGok`*loARXw&{<3d)_YTjS!o1^&t=^T=_49aId
zz_MfNx8Ce44%0V?c~5fw`|;!rmQAsV+P$y(roFiF>CB4vJ%_%sH+<W2<wl6yN$0|Y
zN~_CvzLWYq-|4%T<Btv7g#{A|CgwI|`sUx)TU5*TxXxildDYa*l8%*njq=wP$Sj@m
zYiAR;!`1x$xSLAVe!RQ-0(UR^;d?N8f}Y3hgKcNxq;4s_@Ryo+f}5%FLjS54t%e6)
z$@`xD!6W$7-eQB;<rzyDS37H-n_#|KZ^8QsVaIzXX3V<rlc&h%*!t7W3lf&bIR-p%
zJo&8ohm=doQ;xmUb|joy6ISv0!{pA`vKfoHk1sx?sP@lgKI`qJFZ*6qr0o`L+H&&H
zY>taD0*x~i7E9lIS$-?CHhdZb@0_kLeJQ6JjW*Y93s^n-eU8;K?I|W+Pes@4_E{3`
zc=6p5CKbWJyTu%F{V(2}TdUbPF>4}|v6}Mz#*@q5CM5Fz*7(;b?!Y{e;fEAY$<HUA
zzYQ16lbP{-VOHDA=p~;YnY{YYZ+K{HUR2B@XZ78)KRM2`e7f~a`^m@ee0Ar)tds4t
z-J*46!ZwRlt#_tRUGlHz!~~7Ea_^ttG(UB=P`IAqSc9%JQ^J+qGnWfo2yok5<yXNX
z$gU}VOtEX$Vf7xK1&8+Eec-k{=i1BJ?!V7%Jr{N=M9x9j|Ez%F_Qt61*}1cX^-rj`
zS-%gx^vynWYLxcx+?bhmTaL`>^GYsg($WvI^Zp=kR%f^C%%>eu8Qr?;cv~WcE>HNV
zs2Z|5bwd4&+4*}^UN%nH5iDUX<8Q{y$}6NKb*7e4;Z@%f(~Ejy3%Ob{Zziz6-pL)-
z>-~07)Hd$s2N`PFy3?NezIvxKZGOA3^xdk?D%kK119+xk{hjw~!Z;WhKv)7-(<(J3
z4c@lW*sA|G;e$@96T>NA34Wae9p`LjJbA+w_oX=Gyx@CZ|D)4+k2ChBw)5TI-lA`9
zbCEl0g5vFE8Un8DOb-|yGQ4J}c>K5T&RyZh<?r@Ayt-)b{bTmKF3+e|716Wid=#r=
zcgE?~N87U}A2aP&V`SD76Pjswck2R;TSwf_p1hiLXT3sp?#AQyXYGlsnPJX-!t}>0
zTQ|K(@wpW)+wFVoJ`@=Uvj02iz+M_AW5iiuz+$q*`p(hkb2hJJ@7tXJp-5#y{-4Ad
zwyY+qCs;I!H0ooY$0_hzuRR%+Vo~Gx%h@WWNOP~D=j86h?K}D3AKU-^>bw)e%IW3R
zJwjXoZw~pVpMS1+;QgPa6JkD{EZn#FUthIT)JeS^a@>qnVNq*#KCYj)^S(Rdv~K}x
z8+|4{Ovqtl`Xa(*@cEbRowtQ|ewd$&YkB`&Adc;O|N2<7Wyh0?{z_#he%Zvf;dDd&
zwmQS?!eXPAbMt!Q3{K_p6&GL7VYgCT9(Fj?x0Rjg#CFS-ryr^HB$Y(*hL+i$$<>m(
zBpSfv+2E{nLhZ@TFZ|YjtUmcKFBP|x>hbitI>|I9bZg2a&%>dyYN_h4cWvo(bu#oa
zK6OOt;;L6cx=~wG?!Er)>i2Q&W{I8qucv;=uTlPf>f-9C8*=(P<aa;MU%qH|Yotj1
z$Ia?)g#tDDpK3pz{d1+C)6(Kozgn}UMC+%31|1L0r7G%fhgX(po$S0=u%lkW_x|JA
zqAu$iICwl77>cyt3Z|cDIv~K7QdA&rx^ha<hFMGVLY}H=s(Q>0)z(c=zZSOhY|#wn
z)p^%g7k}Giar$E0>RlHqQ+e1o^n`UC)>%8rQl`&s@xcUxWto$LPGy~x3I7^!vpp*@
zVshMzEtAi@NXrcKdZ59UJL&7DkT+ZSE^w`lIu@W_IzuAWIzD|lyW>SgO`o8HjD-ej
zZehAt=3F`L<h#bwJS!>WsnN-zK=I!WvDdaphu-W;-F#?;m$uhpE#J20oneo-8LI@^
z4>51j+j5EP?iNm0Cq-rx1zz5?JCjUGx#Esoo9nS$cS7#1rn@?xN1F;~mu|cn>gh7!
z{YUdzrytB*^XY!b`LAEo>%29Vu>FtCJ=oX0TcGiflJwFfZI;)I5~g(5=yz2n`~O>0
z=P#ySqa45Q*HMoX2RW`Ubrr~IPVrL`JM`M%em$FG*PV`Yb{#K2WaqJ^zb{qK-&1R=
zywAGWT=`$kb+;1b8>vcBjc3K~8#I}Uzj@Pt*KLb^ky6>?<B>d@k25%Knv`o}C8jt#
z;Yw8Y=~d5P$XdRWJ0mH))45DlVZyPd6pu+Nsv;)0=k%ORxpZmOu8g2_jdxZoG&E9H
zR#sB-<eF4+kcCC^xI#*pxlqDEhn~Y0B8L@P1e|&lj|il2D7FYRb@a?wTe3_%(NAX4
zicJy48$JeYeB`y`*yNWU+2KyU-Cm)rQLQnHyx20f3aTt%aB30%vpd~$nGp9BArA(T
z>i4Q0Pu`eGXlH6L3bZQ<FxQ?dJb2K;^XKVlSC?Na^*gUFX(7;+EV2B|%0mn~b}KUv
z&&-H_@9EL5ptH*`WQTDGi+`QUidTEW4tGCjbmU(<`(NsDUY<u6oQeWMCvxvS!J#|l
z+0!=t<qB@?Ui@<pKW%Mq<!|33I@eu!q3G1w6MosL54ENiC%Q*ER~?j@njFZkanL>X
zX-0vD=Na1<Hzyu9y&k>Aee#?Gj<#u?4TW9@KOb-u-|N}3fAfn*mYX?~H~n0)+xX$E
z$gS<S&$2E#uOr3nvrc1M$okq%Wk;g8GnuPIrMpX8ejE*`yx?m6y-?d<Ro1qtRpi>P
z#gXfIT%u1$GqJSKc_f(psfuSIOK`X%U*KV%%j(>U6333LKKrsv>EfF9%bPacpVrY5
z^<#s*>+++M=c<0w`jh7{tIbs8Rk=pN6j{~s^45Qvf89#*3^X>ct7nxt<zNvUlKd~k
zrE}hgN9wc1w8OUOul)4-b)&K=*NOEjxXorgs`@=oZ!$+>=4Rf?*bPrVD$eT9HxhmQ
zOFQiLnYc$=Y&E<J_`BzN&&XVSw!Gp|#Eyg&?8=%|BF<)AF)NB=+cT>7mET}_U?JkN
z(AlITgNgO=`9kTv8zs#zTKjN0DE@Ky@Iz`@z=>k5G=&K^3Fo?VPoCOyLoeLLK<ekc
z1l!xEd;d=S&irXZjBmBd57F+tH(zp`wrR`F?ekl3ZCa1#pLOSNx4-8QciQmzTY~<A
zj~njH`o#HR>(V>7TV6e!k?8PI{6*9*z4>0<d^z9xX5IbiRvc-u`i0Ks&Hc|d6@*=k
ze0F2`6eE7AmIF$15swVLCaQjVvN~BNbkF3%y63;`raxBZv}CzAao*ldi+c3CO3ZhL
ze~9u+Qq#TuTlbtC%gZ0p>c1z%_pwQ^G2Z(8?CgQe#jBQ-e>)t?|48)8<KOR|{C?zH
zx35W}p=idfv#%FNd2{+2EzIUgdA9v@jzy)GxP1cu&BQ-F9X*Gi27M5VH-8@E*x9?p
zFGl9T(<=v^Vg+-QLoQTEe2vWdb7IxAFTDrElK%Ml`=-}Czoxco^Q$fXQC6MYrxaEQ
zKQvs$^^mo14Wo5{Q|!}!548ijHy(NNi1oI3$a~=#KE;fiXI;E?r>b-NahHtDa*K0r
zlKU6jS!l+?v$icQkllK}m87ubXRBhtNU7qA(5$D8ITL1_T&O7D_rGPwBBr_P9JW@f
zZG5It)bqW{O~vNy!|0%-Gc7{NJv^T-TAYsQ^A4Vwq5ZCRT|*Uv(|!KmQx}`*9emKp
zDI&wsu}FH8_MdPD;lo#~mk2ccxmf)z%+7K07NrFqvv{TEuRrul@W8_LE9YdWn6s^F
zca{oSBdXi-Ut1?+!}%Sn63ss;tqD9?k-M6$c=A(;gRECmw#@H3YEybPu=|nIyk99Z
zgEh`~iFD+Iz#1ix<qv_!O&13<FfjBnFfd5sYLsN=B^SY4CEO>^oIT^+U+$^n*;9U6
zzw7%MZ#}QGzGt;P&lH|Msju&?Yjnlf+$c!HPxqA1nUib0bWWZ*zj>3Fj@P*pI^LlM
zCgBDF2FytchuC@pD<m#uOyFjKISJBEa(Z=hdM_&j0|?`6Cl#e6!d(PzC%qAt)nT~h
zmc!?ykb8%Xp~p$Ufs28|p+lg7i%)n%+{UAJT%pBI5}SNy%`}u+bznc!e-le(xr@tJ
zuFNtQJUMs9gU0H@C)(nV{C{$A-(a}PaE(FCopH;`7c!4}mX=>_FwXdYRBZ3zVExGt
zt)zILf0US7U*rDl@W}#;Np5v!GSb5P%)%!gKe6caa=BNZI8%4@-FXo3p`atBojWta
zqWtsbgpAV2=;>7&m*OM7OmvTRlb+OO`hCf@bJOmr&$iA@oUXgui2HKqCi!XmB=WUc
zZ)H2LS^L^7@%$?JZ)-U719v_v{kv>=-0qKNCmh8;u&Zh8T2_$hY`(rNjXA@TGlr3M
z^7J<e-cQ<Yd-^n~t=3-qfkQ=O`=VEC=X{WRaQ&!KcnIe{X+PmflKD^W1Pd#h_;a1>
zy=ca><lv?kN=tnn>u-4>y5a81U7zElzg$V)^g_%<LWiZf*J4TE*43KlV>d6gUv1jo
z;qgU0qGV$3=PeeV!Ws6vH=a*Vx#-!y>%yc~&)D5ENx6+zLt20McE@%85Ky-@)7x~p
z-TG%_?!ki3QBP&(yY4rT+S}&3eZfOJ8Od7`l^*lY98Zt<!rgyKeD&hFfBZCGr&z~b
zUQ|70iQ8NK`DW*n6E3><Z#=61#pjRXT>odzaUp!47M?$_s6B$WP3Xyjtvx(v4lUVY
zBJs@oWmdut6HCVUz_N1FXsZL7`<$Gbw5LAuzqj+?ud8<&uLT{{kxnz7`eom`oTQSK
zUa8M3H-%_Tueua;&|$Ue##r0TorV@lj)(R=R9U$t$k97!<x-`Ox2B{stoPb8r=mev
z#D}S9$p*K~N30r3{Q<|MqrRWnVe-E1UUBywb&*%80s2pLH@uMayXCfh+T62Wxerb{
ze_>Je4Lt*&pdRgRKBG$}9!kA!%(lyZeGpTSE4}#rn%_OnXS*DC2j;gF+Jx<V?pSwv
zQF6trL^qjBOI_6iUog~${8;npTy)AscLUw3s`~-gCx}$Ga9FUmUYqjv&8w9Kr_wK<
zyjsOnv#9)-LR9hHTeGs*JmX)iEMS{!ns{#AuC}~u@42mFPNaA<%~|wrL(1oSG40$_
zA5<@2E?UtXyjJ$!HU%k_OFn-Z*s9<0noC!&nity|T-I@Lr{`He&V9o5`N7<iKF-@Y
z&u(dei>CYP>u-N%{<bXO=#PB#dbaELbN>7V%<HXZm;X&^JuWC>z|$zaCFD<O>^g?~
zPq|jjY*7BOwkoPL;$q3QH@#|g3+l?+m-jHt{}XE4zD?vGze2;l6@iLIZklRF%AP?J
zyx0?%1ZOH~-4=SV(UYe|flE1|MZsxKgM^aGp)LhQZG}nfi`Z*s@a_^@cyo*Dw`mfO
zzpz~`7hs<xamc-Q+0iQ-1AIHKW@XO&^+o+L=fX!v9$Sbq{#s=FLM>Hl`jMV5ZX2b~
zosp1heYCNVuTACC505BT&v-VKjyF7(m%I1nA93ufeHl?!%rGOY%<sE<&5GN5q^I!D
zF!DNoYUNgYQK86gL%CLM(PNT_PJ43LsXTCG7Fwaju<%e|9QXINGp;kueV%*hZM|dv
zUY@WUJl0{4b`>+Wy>boHIN0kkeVyd{z521Mw))8%U+v-7Yid$8*t+vbR?A`K5B7^r
zSAIC(r>B#+dENatTU?}CKVMEwx>z_#>N7jn>7Q}7`8;Qu&oHq}S@^(RyC(S8QN4hT
zY$dbL&G>NU3~Q2>$F`FB3C-5ab<d@yd3-N0&zfoQ+P3z8`N9=vlnf0{TovoAf2nw+
zNp?r&L*^aQB|l2?TevHpot(4!_cG=Ti^=?=mFh?K{+N12=-c1ev%W7(LgwY%*YrwV
zcTuCiqN1;T!EqBy-FwHLKJ*lvedoLCkvWm)xVJY5&T0;x9%Wf9xm;d-rN@*0TU{E*
zxAg=pFp`j6l6ieGr~QJD^%uiVJ<9q~v4RO!mP4u+nTj>b3YZue*03@#$l|D8;)_xe
z^|Ffd5t9nNQ}X>^8HgOa|69|~BX3dXZ3(`0pZK1}?d@E|baA%C<|$tftcpFIyIWCe
z-|t*=o{str`P;t!nfH9oyt3MxjMiDTbG%X?^h<b86+FCT#ny*Qd!~LAww$YN=Gi+p
zuGXQV<i;nduWro$jLsdoysy-w=0yQtEqlg`#crVrUlW%WF)i;};LNQgw4~smkm|y2
zR_}oNhe5aFubNDmbeLBwHZ*#7BI`mSuKSU{7v67(jSkkn)%<u)A=lXrqO#j&8Tb@S
zJP=vHnRvx6W2<y~!A03!n--P+*E4)kBYS@`YlKeD*29a-K0lDHRx7<ZE5wf{i&;y&
zsgrYyQvMO1CyxS8%!~-1b3#YC$!en3Z290TIZ8=N9P_i69bD`)S@8G_A?IT;=aNFa
zv_Aav6n%Z?)YTeZt!nY}9a_TG{83yLoIx`aH>}ax5%h_%m(MR{oynhlR&QCJr>Go$
zyx>*rfxFi;etvkXRI*`>R*&#MiSsv#W46Dq(({pOZ_M9byYlOyV}TNt>sMwNt*u`Z
zI_1Z@9Y<%!O<$6I%zf7OCDF^3=TDlyByj!g?{)?Tr}s+laC&>k_)?UouGSCHJ=1C>
zLe_5iarf1c=@%xvaDOpz&6ZWyVy14Nwuwc5`Mc>C`95p(&2-qnKdJa~-hIx@B-^7c
zy^@!zKXgs`RH1#;Ws!k%B1gZmr{I%{Ju|nR7Ex;xjYzxK^Q|~BqHVXNaMgLnufC7A
zncs*#X6AhOyz}<-`E70U+kai3+rP|zr;K2pK6`cA`Hc>Iw(qB#f5_W@(D~TM<KZ86
zF5dTix6}4rd_m>@b?3`^@4mWydb6O`?vn{Ytu526r9#uM^&b6u?vXuen)P2GA797B
zz~G_8z<{&;QI0fDb2sv0-faV(n)}ypEOL$dHT&4KhP`VJu)X<R?q2;eV95=s6weG5
zMx9QZPbpoCrp$l)yMO)q`>%euUfpC?vq|r&e0Qf<Z1MJ!llpF52zy%*SGt8WIW{^j
z$HBktiv8;lUxBuRS}XaO_kG`*Cop$aPydEkvv>U)^P2U3q<HRh&e-JsbD@@&`Nm$m
zjW2_*<xACGzp+2|Pi*qvX@V84fB%a#U$6M2$7MK2RzuK3eG5nP_NVjA{)iQ4O#UVL
zaL<8&_P1BvHTFAfE4<2(_~Wf$!ey?62?p$Ij<h~kj?gtb*}dn9@Rwc2qBqWat?r6v
zd-p5Er)E>S_{kaB`!2tz>1vi~?VrFrW%2Qu>m|B&b~v`!8uPNGyKNW9Js25K%6mS^
zg+2DkJ=s~E%>Fl$g%<9}eRMM1FDGvHijDhDMEqsl<5l3crhUS~*cHqh94b?!pK*2X
zJn`m10$Y#ZhnOix(@cb}$ex|e<uhfLzyEv7hG%;tz8OW`-29Yv<AdERp69NUFTC;S
zqMIq_e)YgDvRSMfAC{K=xVz$U$A$k-9!JhTe%|s|SI~K}s)nczC!57`PtQ7}u72Bg
z!=@yR&%J+L@zrNZzt=X-);M$H*k9A1x#t;+zTdwgmp*l6y4~~3nomA#x++n{b^hI>
z>Yj6_&V66?@7a|Tdhbhx%NgZ6&ra-HRNa=n`0%nDH8MZmE_*a%>lL5v4E>vxuH3kF
z{zqQRq2io2x9uklf4oYPW3l+`@niC0!%Mq9u9Fdv%MGb3pT;8ij+tTco1;^YiOsWA
zJbxtrw6Offs@qrf3?^9{820s*aCaHp{dQ;CYMzxR6(+HrTN%Ia&c)fehs@evv2Txj
z{@?p{_WD`vOTs?sqbA<;ANh^t3=9l&85nRbe$*>UEzU13N=_|?H=$!s8S)=e5NLb&
zx9;r0i_SM0yxIG=EL1Igogno%LFUi@P66}n-+zAcyenhn+9v4u>Y4qgI-B?P(ROjU
zhHGvI99y~dS+V=3o%f_}23>!tt|TYm^l@i1`;Il<+SvkXGn=Q|o|0K#y+X4$we;4+
zwmHfBwOd?|tdxrn@MdHZVaBx>o0kCu7#SECmNbG`@TJ+Dkfqt6<=N;apsuq;HX)sf
zfguIos#`p!qAn>#HuVxSUQ@vfOz{|;jk1UmVlc?7OByX%aTtuWq!N$8sA~lw27^NK
zZR2V-90sGW9K>Tf>S{ZP=@4fhXUA?jZ0#K$Ls6F=AREfhkHb*VLIkWPLVA+xQAXU6
zP0W(OVIp|^9jlR$1`TR|8Db<TT$eQdSHNK;r1y-~Oo%g4JG>AxA)z@*5r>&YpzbeL
zBO%U2ZFfP8gg7%!9lMc8tuQ?1qSlSb<_c+HH&+i_Q{piawZuX;^1KcXBazB46oXmW
zK*7e#z{}vv!obk2%fO(=;A&DCQdZ_}>Fb#po}cDt>}Xo)V_s2^YUyH><mOgVVC?MT
P>XPkcp6cpvWMB&bB7V5`

literal 0
HcmV?d00001

diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v
new file mode 100755
index 0000000..cc6f796
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v
@@ -0,0 +1,220 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:19:53 2024
+// Host        : hogtest running 64-bit unknown
+// Command     : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.v
+// Design      : design_1_clk_wiz_0_1
+// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
+//               or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device      : xc7a200tsbg484-1
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* NotValidForBitStream *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
+   (clk_out1,
+    reset,
+    locked,
+    clk_in1);
+  output clk_out1;
+  input reset;
+  output locked;
+  input clk_in1;
+
+  (* IBUF_LOW_PWR *) wire clk_in1;
+  wire clk_out1;
+  wire locked;
+  wire reset;
+
+  decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz inst
+       (.clk_in1(clk_in1),
+        .clk_out1(clk_out1),
+        .locked(locked),
+        .reset(reset));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz
+   (clk_out1,
+    reset,
+    locked,
+    clk_in1);
+  output clk_out1;
+  input reset;
+  output locked;
+  input clk_in1;
+
+  wire clk_in1;
+  wire clk_in1_design_1_clk_wiz_0_1;
+  wire clk_out1;
+  wire clk_out1_design_1_clk_wiz_0_1;
+  wire clkfbout_buf_design_1_clk_wiz_0_1;
+  wire clkfbout_design_1_clk_wiz_0_1;
+  wire locked;
+  wire reset;
+  wire NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED;
+  wire NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED;
+  wire NLW_plle2_adv_inst_DRDY_UNCONNECTED;
+  wire [15:0]NLW_plle2_adv_inst_DO_UNCONNECTED;
+
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkf_buf
+       (.I(clkfbout_design_1_clk_wiz_0_1),
+        .O(clkfbout_buf_design_1_clk_wiz_0_1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  (* CAPACITANCE = "DONT_CARE" *) 
+  (* IBUF_DELAY_VALUE = "0" *) 
+  (* IFD_DELAY_VALUE = "AUTO" *) 
+  IBUF #(
+    .IOSTANDARD("DEFAULT")) 
+    clkin1_ibufg
+       (.I(clk_in1),
+        .O(clk_in1_design_1_clk_wiz_0_1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkout1_buf
+       (.I(clk_out1_design_1_clk_wiz_0_1),
+        .O(clk_out1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  PLLE2_ADV #(
+    .BANDWIDTH("OPTIMIZED"),
+    .CLKFBOUT_MULT(12),
+    .CLKFBOUT_PHASE(0.000000),
+    .CLKIN1_PERIOD(10.000000),
+    .CLKIN2_PERIOD(0.000000),
+    .CLKOUT0_DIVIDE(3),
+    .CLKOUT0_DUTY_CYCLE(0.500000),
+    .CLKOUT0_PHASE(0.000000),
+    .CLKOUT1_DIVIDE(1),
+    .CLKOUT1_DUTY_CYCLE(0.500000),
+    .CLKOUT1_PHASE(0.000000),
+    .CLKOUT2_DIVIDE(1),
+    .CLKOUT2_DUTY_CYCLE(0.500000),
+    .CLKOUT2_PHASE(0.000000),
+    .CLKOUT3_DIVIDE(1),
+    .CLKOUT3_DUTY_CYCLE(0.500000),
+    .CLKOUT3_PHASE(0.000000),
+    .CLKOUT4_DIVIDE(1),
+    .CLKOUT4_DUTY_CYCLE(0.500000),
+    .CLKOUT4_PHASE(0.000000),
+    .CLKOUT5_DIVIDE(1),
+    .CLKOUT5_DUTY_CYCLE(0.500000),
+    .CLKOUT5_PHASE(0.000000),
+    .COMPENSATION("ZHOLD"),
+    .DIVCLK_DIVIDE(1),
+    .IS_CLKINSEL_INVERTED(1'b0),
+    .IS_PWRDWN_INVERTED(1'b0),
+    .IS_RST_INVERTED(1'b0),
+    .REF_JITTER1(0.010000),
+    .REF_JITTER2(0.010000),
+    .STARTUP_WAIT("FALSE")) 
+    plle2_adv_inst
+       (.CLKFBIN(clkfbout_buf_design_1_clk_wiz_0_1),
+        .CLKFBOUT(clkfbout_design_1_clk_wiz_0_1),
+        .CLKIN1(clk_in1_design_1_clk_wiz_0_1),
+        .CLKIN2(1'b0),
+        .CLKINSEL(1'b1),
+        .CLKOUT0(clk_out1_design_1_clk_wiz_0_1),
+        .CLKOUT1(NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED),
+        .CLKOUT2(NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED),
+        .CLKOUT3(NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED),
+        .CLKOUT4(NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED),
+        .CLKOUT5(NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED),
+        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DCLK(1'b0),
+        .DEN(1'b0),
+        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DO(NLW_plle2_adv_inst_DO_UNCONNECTED[15:0]),
+        .DRDY(NLW_plle2_adv_inst_DRDY_UNCONNECTED),
+        .DWE(1'b0),
+        .LOCKED(locked),
+        .PWRDWN(1'b0),
+        .RST(reset));
+endmodule
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl
new file mode 100755
index 0000000..66ea0eb
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl
@@ -0,0 +1,151 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:19:53 2024
+-- Host        : hogtest running 64-bit unknown
+-- Command     : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.vhdl
+-- Design      : design_1_clk_wiz_0_1
+-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
+--               synthesized. This netlist cannot be used for SDF annotated simulation.
+-- Device      : xc7a200tsbg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is
+  port (
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is
+  signal clk_in1_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clk_out1_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clkfbout_buf_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal clkfbout_design_1_clk_wiz_0_1 : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
+  signal NLW_plle2_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
+  attribute BOX_TYPE : string;
+  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
+  attribute CAPACITANCE : string;
+  attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
+  attribute IBUF_DELAY_VALUE : string;
+  attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
+  attribute IFD_DELAY_VALUE : string;
+  attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
+  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of plle2_adv_inst : label is "PRIMITIVE";
+begin
+clkf_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clkfbout_design_1_clk_wiz_0_1,
+      O => clkfbout_buf_design_1_clk_wiz_0_1
+    );
+clkin1_ibufg: unisim.vcomponents.IBUF
+    generic map(
+      IOSTANDARD => "DEFAULT"
+    )
+        port map (
+      I => clk_in1,
+      O => clk_in1_design_1_clk_wiz_0_1
+    );
+clkout1_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clk_out1_design_1_clk_wiz_0_1,
+      O => clk_out1
+    );
+plle2_adv_inst: unisim.vcomponents.PLLE2_ADV
+    generic map(
+      BANDWIDTH => "OPTIMIZED",
+      CLKFBOUT_MULT => 12,
+      CLKFBOUT_PHASE => 0.000000,
+      CLKIN1_PERIOD => 10.000000,
+      CLKIN2_PERIOD => 0.000000,
+      CLKOUT0_DIVIDE => 3,
+      CLKOUT0_DUTY_CYCLE => 0.500000,
+      CLKOUT0_PHASE => 0.000000,
+      CLKOUT1_DIVIDE => 1,
+      CLKOUT1_DUTY_CYCLE => 0.500000,
+      CLKOUT1_PHASE => 0.000000,
+      CLKOUT2_DIVIDE => 1,
+      CLKOUT2_DUTY_CYCLE => 0.500000,
+      CLKOUT2_PHASE => 0.000000,
+      CLKOUT3_DIVIDE => 1,
+      CLKOUT3_DUTY_CYCLE => 0.500000,
+      CLKOUT3_PHASE => 0.000000,
+      CLKOUT4_DIVIDE => 1,
+      CLKOUT4_DUTY_CYCLE => 0.500000,
+      CLKOUT4_PHASE => 0.000000,
+      CLKOUT5_DIVIDE => 1,
+      CLKOUT5_DUTY_CYCLE => 0.500000,
+      CLKOUT5_PHASE => 0.000000,
+      COMPENSATION => "ZHOLD",
+      DIVCLK_DIVIDE => 1,
+      IS_CLKINSEL_INVERTED => '0',
+      IS_PWRDWN_INVERTED => '0',
+      IS_RST_INVERTED => '0',
+      REF_JITTER1 => 0.010000,
+      REF_JITTER2 => 0.010000,
+      STARTUP_WAIT => "FALSE"
+    )
+        port map (
+      CLKFBIN => clkfbout_buf_design_1_clk_wiz_0_1,
+      CLKFBOUT => clkfbout_design_1_clk_wiz_0_1,
+      CLKIN1 => clk_in1_design_1_clk_wiz_0_1,
+      CLKIN2 => '0',
+      CLKINSEL => '1',
+      CLKOUT0 => clk_out1_design_1_clk_wiz_0_1,
+      CLKOUT1 => NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED,
+      CLKOUT2 => NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED,
+      CLKOUT3 => NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED,
+      CLKOUT4 => NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED,
+      CLKOUT5 => NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED,
+      DADDR(6 downto 0) => B"0000000",
+      DCLK => '0',
+      DEN => '0',
+      DI(15 downto 0) => B"0000000000000000",
+      DO(15 downto 0) => NLW_plle2_adv_inst_DO_UNCONNECTED(15 downto 0),
+      DRDY => NLW_plle2_adv_inst_DRDY_UNCONNECTED,
+      DWE => '0',
+      LOCKED => locked,
+      PWRDWN => '0',
+      RST => reset
+    );
+end STRUCTURE;
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  port (
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute NotValidForBitStream : boolean;
+  attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+begin
+inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz
+     port map (
+      clk_in1 => clk_in1,
+      clk_out1 => clk_out1,
+      locked => locked,
+      reset => reset
+    );
+end STRUCTURE;
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v
new file mode 100755
index 0000000..c49e511
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v
@@ -0,0 +1,24 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:19:53 2024
+// Host        : hogtest running 64-bit unknown
+// Command     : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.v
+// Design      : design_1_clk_wiz_0_1
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7a200tsbg484-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, reset, locked, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */
+/* synthesis syn_force_seq_prim="clk_out1" */;
+  output clk_out1 /* synthesis syn_isclock = 1 */;
+  input reset;
+  output locked;
+  input clk_in1;
+endmodule
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl
new file mode 100755
index 0000000..9f25c22
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl
@@ -0,0 +1,32 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:19:53 2024
+-- Host        : hogtest running 64-bit unknown
+-- Command     : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.vhdl
+-- Design      : design_1_clk_wiz_0_1
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7a200tsbg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    reset : in STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,reset,locked,clk_in1";
+begin
+end;
diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt
new file mode 100644
index 0000000..de897a5
--- /dev/null
+++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt
@@ -0,0 +1,2 @@
+NumberHits:1
+Timestamp: Thu Nov 28 15:23:35 UTC 2024
diff --git a/Vivado/labo1b/labo1b.cache/wt/project.wpc b/Vivado/labo1b/labo1b.cache/wt/project.wpc
index 314c461..27e386d 100644
--- a/Vivado/labo1b/labo1b.cache/wt/project.wpc
+++ b/Vivado/labo1b/labo1b.cache/wt/project.wpc
@@ -1,4 +1,4 @@
 version:1
-57656254616c6b5472616e736d697373696f6e417474656d70746564:4
-6d6f64655f636f756e7465727c4755494d6f6465:4
+57656254616c6b5472616e736d697373696f6e417474656d70746564:5
+6d6f64655f636f756e7465727c4755494d6f6465:7
 eof:
diff --git a/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf b/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf
index 6f52bc4..ebd368f 100644
--- a/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf
+++ b/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf
@@ -1,5 +1,5 @@
 version:1
-73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a30313069636c673232352d314c:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00
 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:64657369676e5f315f77726170706572:00:00
 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
@@ -47,6 +47,6 @@ version:1
 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
 73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323073:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323531342e3733344d42:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3931352e3735304d42:00:00
-eof:1124083508
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323631312e3834304d42:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3937382e3136344d42:00:00
+eof:3999145180
diff --git a/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml b/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml
index 24fc086..90cffe9 100644
--- a/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml
+++ b/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml
@@ -3,10 +3,10 @@
 <!--The data in this file is primarily intended for consumption by Xilinx tools.
 The structure and the elements are likely to change over the next few releases.
 This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pa" timeStamp="Thu Nov 14 13:37:09 2024">
+<application name="pa" timeStamp="Thu Nov 28 16:35:16 2024">
 <section name="Project Information" visible="false">
 <property name="ProjectID" value="dcc085f9009542c0a2e6a53a6f205a35" type="ProjectID"/>
-<property name="ProjectIteration" value="15" type="ProjectIteration"/>
+<property name="ProjectIteration" value="22" type="ProjectIteration"/>
 </section>
 <section name="PlanAhead Usage" visible="true">
 <item name="Project Data">
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml
index a10347b..6168ff4 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml
@@ -2,10 +2,10 @@
 <Root MajorVersion="0" MinorVersion="43">
   <CompositeFile CompositeFileTopName="design_1" CanBeSetAsTop="false" CanDisplayChildGraph="true">
     <Description>Composite Fileset</Description>
-    <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1731557632"/>
-    <Generation Name="SIMULATION" State="GENERATED" Timestamp="1731557632"/>
-    <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1731557632"/>
-    <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1731557632"/>
+    <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1732807583"/>
+    <Generation Name="SIMULATION" State="GENERATED" Timestamp="1732807583"/>
+    <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1732807583"/>
+    <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1732807583"/>
     <FileCollection Name="SOURCES" Type="SOURCES">
       <File Name="synth/design_1.v" Type="Verilog">
         <Properties IsEditable="false" IsVisible="true" IsNetlistSimulation="false" Timestamp="0" IsTrackable="false" IsStatusTracked="false"/>
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
index 370371c..7960561 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
@@ -1,8 +1,8 @@
 //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 //--------------------------------------------------------------------------------
-//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-//Date        : Thu Nov 14 05:13:51 2024
+//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+//Date        : Thu Nov 28 16:26:23 2024
 //Host        : hogtest running 64-bit unknown
 //Command     : generate_target design_1_wrapper.bd
 //Design      : design_1_wrapper
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
index 239a836..8010f5e 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
@@ -1,7 +1,7 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Nov 14 05:13:52 2024" VIVADOVERSION="2024.1">
+<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Nov 28 16:26:23 2024" VIVADOVERSION="2024.1.2">
 
-  <SYSTEMINFO ARCH="zynq" DEVICE="7z010i" NAME="design_1" PACKAGE="clg225" SPEEDGRADE="-1L"/>
+  <SYSTEMINFO ARCH="artix7" BOARD="digilentinc.com:nexys_video:part0:1.2" DEVICE="7a200t" NAME="design_1" PACKAGE="sbg484" SPEEDGRADE="-1"/>
 
   <EXTERNALPORTS>
     <PORT DIR="I" NAME="btnC" SIGIS="data" SIGNAME="External_Ports_btnC">
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp
index d5f03d18e5bc4ef47e0f3fc1b4ee30427e23978f..6ece7ce8c3412b63b16c092ee644b417aba27c3e 100644
GIT binary patch
delta 11285
zcmcbYGAp${z?+#xgn@y9gCU{0CUTDWHc4$J28MVx1_pKpPKK1^0=<gdoX`+f2IiyP
z9_dG?o-SJCAkudIad64TS&=Oa2fZV+x}v9uh;v`CSiMdx>Mdtk@SUV*FWHl$JG&Ea
zoc;Fa|4)nUdTRa4lex0yh__r^sn9*?gT-s#9_GGyW4DZY&THYmfh-S%PJLRbz`6O}
z_Rf8qWQD7=!?!a%Sg1UYtG04MA6xCie{1a5%COhX*deu#VXsmq(+*w(u0_tQPIDM9
zuy3-eljxZ$Sa6m@W748HgVP7h!`+TZW(O^9Y5cXOd((=B_sn1OyidvB>G?Ft+W(_~
z=A;m@+k35o-lxQgxYegleJl{4JD)LQ$qEgR8pEn<YO|u{Vxk>aSl-czHJQ86=I5uC
zEpI%#GCebNj=iy1_Tx+3fmtdudN&_a>z(bTzf$n@I?o*n)m*6(%h!MC{nIA&#B|mY
zhub3Ap(k_V*O%>1W3}nD{<G>!Ioo1YyV&dpUEPo7SnfFXGWX888Zma!`nkzn#UYQL
zgvHG`Ei%=5ntZ<XU7gtD*O+yGoP6xdAhqmZ`^^&GMc?1-5!c=zThDhmV=1?;?4d<#
zwk6%2Bjm00De&M2M@i4ajYW4ah`%^gvP+2H^A_)}D@<qa?(oyzd{fG*>+J0x^=EoB
z9d0+by>~sUxor|>>&K@OM}mdQ54UsI=VopVJo>1?=nn6;k5QiLw|J9hehb#-P~V-W
za@)8mEa-E{=e!jMu0(lOHtlMh=X}5U|AK>UYX7t%jyLX|@%D4oYpeAKxc-I;L?vqL
z9cNwrNkY87)$g5sz3k?=_xz}d>&HHqeoaOOh98U!4AP*)m0FxRIg&{Rl(IHgFqtqi
zCp6bgUe9a-7I?<2%g6yrlVVm?rIV#u_3J?ikkNwi_`ispiZDY9S0@D!FfvR7Q3{4e
z2B+gTW<I#2IZghFZR7Kmi#%Oag~ZH#>V$tfwUufz9Eknw`1i8F`nP}gC#|b0*yALc
zx9!IwcBvvu)$NmNo@_knzwCF|Lgt08N2<Qg<((0={d3-))60XO>i8wD2@&&CuGfls
zY{<LA=-$W9cxw(PbLRU>mla%3N3N;}pSLMITrSK=A-&XBblT%NWg;>ep)8Xk_MM-*
z_2RWTV*P(N8Ep8r{z>13wx#>Zf4WYISoCtnmVe)q)`?4AD0f`F;haorkf1(?p|M8U
za~>;+$J>|lKDayMH~%HRXPz^YOX8<rl$|RQ;1*(7_|H{h{o4=&r;iK%N5t1&@$j|R
z{raJXb&<x^ODDDoTj@+WGI`yVM4J`+ubF7Lg<gLuwd=b}p_b{&jh)4N*0nXEM9AbB
zY?h#)o_vMvE+;6Yf1OSWW1YN<T?-u4x#4#wOS=nR_fTJ{x^_w6``Q;iGAt?y2MYZ@
z{j6b=*%Y<buS<39rrn#~^Q25Xzsdi}4vX^X{GUaibMrhrUBkj-^R%=75hvG*rX>{x
zq9KBEKgBB^DB3Lj<0Sg!%p0#;6INU0{;#`x`SRV%#@4@6?8JV5m;Q0#Sw;Dt?>Y5L
z&8wC@ddIWASF88o!w;7}B$Vt)vMYT1>)(%8=MtB03yNJUv3s{DC%@M3#myK0U0!@z
zy-zJ^<Bh*x<f|1t?XPa0H6eMU@$be2ne*BUj|LTdUwi%ivv-aE+v<6`c@G=^X}fHf
z|MkzVtrOcN(qtCD&VSEyyjn&gi<z^X{r2J0i*4$U7+x;;?G@X?^6XaG-Td3UtCMp?
z6Zkd@c}KLQEGW}mp19N1Bk^3A5%0>^6OKJ%S-qUE;i$vBqM0jWKU`7tF3Y-gflslW
z)%5F>OC4Nit-iV4)^U{kyl`qtiH1)t>p#J+h>jqu4>Jp<@-1{pOUz(wb@4pDFqE_8
z4EvO%z5_OT^|RWtrFd5hPRU*rH6yTf;puk1Zjp@p3k1J9Zhn|ovDTM&nt;mohk_c)
z7cOt_e6XP>YC)#0mB10fD@>75Qy$ocbSYGQy0PtZ0e{+&NuD81i<j^_P2g#-KAGN9
zp`W!`jCDmq*`&I?9Pfo~1yT~PbAPA{I)8wlMON8e;g0&W)$i)#b8gOU+oE;&uf_T;
zZ_|q179M6_KG!L@T=ufoq4tpDtP&4zEKz3iYUgJ+z4JjY%JlY^o<2VLgO5Z`-FotH
z@gku^8{W^G*Z%m#@<Ow$vf9=AS@;*oy;M1>e~9x)N8x7AFGe;U-0w}M&r*$WterJe
z{u6h=(V!{Q-(^3sdvsv=C58GqOJb_0EPKOux!9_EL)Q(3*eQC4G$WU;TVLWDG5H3s
zLHp$`Cl=n5tB|>-x{#;i!~sbkA;S#4gU18Jo1T~RUf(?Dz;UG-nZS>E$1U<%OE~Qu
zWkpTicV(OrnlslZ^r%fsW!0~w9b2>ysxS5lZEru?wY6q*>X)jp<I77g9h~v*e7!<I
z%dZq;ze$FvMs<7N?wq?VvoK?U7}LcmpA!`43mn|QWU{jG_TJA%9P3%6FS=w*-oB_)
z;Idd^{#mt89#a;&oa=PimL?q8#;13tNI07>_DWRm%Wql^{F~oRyS=qKDTOiT%(j@t
zj$WL<nap|w?4+D7UbnJHdbRF-gzx5JzgaKpAGleR_EkK(u^>-E!pM%7t>j6^zhyg2
zEY>%0Y~m1KF}W#YC5!8gBQl<eOqMqemE8Vj{#&;yGUmvd%X5zX(qvq|vCgVRsZFPb
zE%=FtTvWfS_I;g3`L#BN^F>SZ&V|)Uq^|fheNn#s>gy*Cn5kJaufKWbK&s}~T{3Pf
zJWrO}F0aoG3JNz!m+Dg%Uvc;Jfl!~kTYWpHHp=>xvClsHm8WNFi52tw;7c=2Z2CB_
zzkHG)emN~&p^Urzy!Z1*qS5bfv`ufb^|`<u)~qhjv36Z<SS;^4Uxv{CCk3u}Y?f~d
zWnx#1P%qFpcyd<Bhbt@-nwL8($k+%oJ$!IeV9oBx9etYhoV%oI6d#=2&K5r<Ave_O
z-zAm_kM-LZxE<cF)V_XgS@8#%Wm9*=HAwr!8LZk`Diz=GJY8Y!FK(`Dew}-`KRn`5
zdF#zJZ&lZlPaljH|I9Hp<Bt#ZxK;E+>hPRf2VxJGyf|7mxzjE*LvEj6xcpVFRck%Z
z95k0$b|uZJqSd#0m1=$8Qsoc6ZqLg%e3!8j(z%~~v3PN4TfU(c>*4ai<7VeOx9^IL
zZ^<{-S(%ykqWYmV&-9afe2xGAU%^u|{ox#E7tXwktZV!<e>)ydd05RexpbH8my#cL
zhnpVeef2qhE5Ljc&!2|2Z)dlKHps6^x|p?QxA?S&p>3bb?ti{*JL}@&ne{8)uBzL7
z@}YZ(*49^7x3+EG$6%j!qIcWV@78tK&CPD>g;p@;`A3?kSpI2Yo2+iXW24Ri|CtrR
zZrn=_o|jn0zPH%+x08NcTH%7zFV<fFS7PpdiSx(j&-<&iPyRnvF?s)~9p~g9>+U=C
z-ryhmvG5=FCCe+i{x^NpzN2?MsNnN8>H4GuCWT|kPa{;txC$AT%}AN{?x@c{u9=3a
z>^?F{A}(D2KAygw%A&dB<Ei-nll%_8y+7f(&Yg;%t?}w71(iSA|Nm9lwYkW~b=~8q
zE`KBzFZ8}yp5J1T{y8R1xyeE-KJoR&_Qz`_K5ACH?K@*WG3ej&&t7ZiXdDvkNOC-R
zqp|Bl{dx1yH-$4Mi(H;^`;(0C8Lw0F%eI%xe?MM*m1}WCP{DIGUZIJ9zP<f(GspDD
z7lZcO*6)AZTCsM|>+8py=Bz3YsqtO%;Oy=F_15~%KOf({&)K;uNb0?;jGagEr78b@
zTnY|f_i1O5>**$IJDJMs>w7Db^rRmbCS74Yw(6scn)W&&O?CgGurtrfj!!>x@!h&D
zsT1Z3M^D)NpAn_{jJ$QP>ht73d>Y`|l2L;5`8VW-iJ^J25x8MuX<)$5{3uAQ*t2_|
zqP~MOr^nH(DKD0EuKTp}hD5%{6UOht)3<yPnws)YK+ybFY;A&?*2W$8eP2%U=i71k
zuU5zpTeAn-1omoiFMfD-<yuFbtn2Dwk@*ekp%PEe|7UGJwWU5NGH$=f#de;eX158+
zVc*+$X4olf=`|K|uSmG8#^Ajvt=G)i!}3ak^;_;jzWjq+w<90;w}$+R-5S|w>~ecj
zkN8|^{>0za5_JLZ?rb@`u$EI=Z=>f8MKyT`+XuOq&MBzG9-a7TpXB#Nk9wEIF2C1P
zB>ZJv#pTZ%?_{j_<rulK{?L&+F2zUB!XBn5u3UV5=enpZ8C4R(Ti3fOOgXOIrpmG8
z+hk#DQHHkP@ALDT)gHb+uG43xE$DaD%-@e;b*zH^)1*k>2(gDL$N8W4?GEExW&QNk
z)~QjJ1x$`#OU~Ng|Gyxk*W}l?S|86l`ySYw6nkb|e6x1`G@sBdAqAYa0!H<A?>Y4X
z%%`4j_<b-SfO*}~nw^IZ9b<T^et<K9!(-bX|3j8-ZT!1egxh^Fxy&T|@`*dsvFEKj
z7tQ&rtzoI)94EGHN72(WE3z-mRSmnyze2W7ZvF$t-q)w+rx%|!=DW@MzN+eSUeMYJ
z#?=o~9hJAQchtY$xj9>US?uSH7RGnaY_Gp_q3&68YFCzZ>04d4glx9#8-H5<eTm$?
zad%+Gb-_rRrI*6yEm&|^VEY#4CHLKJJF>g{Z%=<E{GRvF9xhe&4*8Ev6|ytJBwLL?
zt&m|$YGw1_oiBHJbM+>s!hZ~9{6YUN&+LEkyDq_TW&F3k<C__y{KY>m(2y#aaH+f3
z#g?_RUf5<=U-UWeSKFrbyv^IAsTwEKrm%Re@h7RIUp*_gzK&OH+@Ez^f|I|l^qWlh
z%*|V~cQlwE%s3<WKA%T~rRtZ*))f+GOw?mONX<^zbU^LPufD5AVew+@HCfreigp?*
zxf)DZ$gm;nmw(ya-1KN^j%CNzZEfwj``Xgjq58Vk*_Qgb2hA@<{`T*_&03RuJLJlu
z`L(St+MByylx_%oX|e3=$z>6Lg6>(lF+}|MS~z=~A1Bk>SMR*G9QqwnpKdIoC^dgk
zHs1mH{PHq|dCO<~kbh;ve{f0quOH!+PpuqZsU5J{KIP!C?G_d3ZmtQI8v4~czj1!D
z{-^KA&3ymczt0Y{H`X7hx$af0C$h?O;*a^le4SP&_D(UrD)ElN$mMF~R^RsgcVAC`
zoVe-Hg!!4gE4s9%Ri|jR9$Rmz{$bA1y?Z_;g%(V0x&GAW*n<yQ5^=k|XIB50*cx3G
zW}i3BPG~W=L1zD{>yvCA|5V!J|KEpu?_uUB&Rk7X%RNWs!mM^KiYeClQ{R}S9yfX8
zTI;~%+6g|t_`NPj$8yiU8PgPh)wt+F^_%!mofTH!mIV7TDrnzPW-+|r$Q%<beq~Oi
z_hrSlPYxk{!9E^KR#bi!xutJy=yRnbXk)EF_Qe}{Qn%~>O*Q70_Wij$>%8>8Ma6zc
zo$9@puH<<7YTv%CZ2BFCXE>Z$b9PJppSfLiFC18YPm$J@@~xh6SZKn;%d+l|(@#W7
z=Lc_%HH`g|o&P$asPf9W`_JZ2xy|)TuT_2?*SlwZhXgk@HakwfReSle`rOP*Cd+**
z`V(3vWzBkKzlBFRR<hxi{>ck(L<?*RZi}?}?L9kHLB={&@j=@(n?SCb*%sHHs=WTP
z{#?B;U(0kBW_`Q;<#SFgu#@<8*kPGVi*fcD-AHSbuS)W@kAE&*`hU-<^i?*Q?<<9}
zrC8Y<cd8WKy0frk+Xmim|I?;=h%b2mfa}wrtoX|czxgMv_&f3B<6{ZkvP;$#sJVO$
zzxktwX|LMOmLu8QGbGJa)=x=Lx7Azpyn^Yxw|Wo5jCwA2wrSQY^6p=A{a(T*^IN)R
z#mc3bQ`W9)SYTB$M{~-e*}gjSm89RES*)eT>2X43`hy8m7T&D)D(<M)WzpDwCcSs&
z^c@FsC2rhFyJ6w7HZ4~8nr*{wqq0AVhre2Gy|O>8%ja~$<Jf@8#oPZbK6r_f?c(F7
zt0X1$b#!v<nVv^S)c<GRe?GG*_5Y0xb2wO>PXzSoWNbTI8C#s~o+9nAt;W7c@xiyO
z$G`1d)=#~4EXZ-vZ1V$4&7PLYOnvCr!?5Du%I7nDGS3$=){Cw;u@Z_YR6iN<bJmM0
z4h|Plf45C+8U13*V(0dp65Vn^Z`LekQJMMA%6~IO?0X|MZx)+Ol2vTI;Vqd16Zmpe
zzRP=Z@QOH0tZwD_<0mci?XT^GvizU^T?=RPadrn=R;;#sy7l7D{&Oyij_ZYQapO6#
z^3wdittH8S6WR{np1S-)>(oUFhD`>YDhH%^W#2@;F`Ke1?0$6Nu4~4R)+^k3@V7}m
zZ-4UawkwlUP5!ZO;8*Co&g)<E?oYkMk<g%TXKo4ndO62!zNf5!s$zoPV^LjkPidA%
zChb;>+`3k;{^oq?^q#3Uvx^v1I2y7)^4WYo)3(kapznG^)y9<HlglQW-<l~PQfj^Y
zsJdY0RAW8!iYs%no-F&FX_EBtR1`z=i32ZpWlh}^-2AVGO=}Cg@A;_PQl4M)LnPO?
z)&K7aTbK8)b6x-Y?~2{u`6~SvpL)D9<>aoHp;{|`1-zdj<WqJa=7suy*>gE+x=t(V
z<TB<wH7t;PQF!`w!BvCU_e<8tEKjqInjy9SaC2J6g`L+pqFYy}9NAv?J~#8@(dP$#
zM7)VvIa}uPs#b?}ovHd7$<L=5ofYEBI~MZzxYl8T`oakQiW!S#^5@Nqv2a#wz1DE@
z)y`uPlA0@he`HOys1tw1`}&lqtydF6X7T-hXX0*zpD38q_*477isYe92BNxxg)JI(
zsm}xQ<+2!7U#UtuyD6yr>gn|r-gSwt<}rnOCvr}gq!vuf_}y?N;=&5CiZ-(~7Jt{w
zt*&p=m6w>KR9b&$VpZd_gNmu`K7HW}zvpiJ;GH;KM<b~D=;b>)jrZm!v>tjebI#<8
zzRq)l`Gr;m1qH1T$vZYLuH;Kg1Jk~k8irf;%E>oxoagOuI&bj!?7i;{j#a7OEAC!N
zU3#3W-O|G~zx=kL;wv|`8y(MP8Py+>et)!`M|E|vrDOtM=LUs0-rKe0V{7BCp0Zu?
zsxq7D_(g#q$1DG{@A-WE_n&W#@nZ4QRkW*i*Ql?k6OXeyvBI$=^qUi`CB*<9b`-j{
z+ck`Xfgy}za)Flg<ah(tdgy?o##a5m2_JM)ofuB}O7QC(=sIIFf#K8CeJ?g&F=jBR
z{mf$N$gFgH&kBYqt6C;CADFY=?zsCl-$0GqdMx)D9x}XPh<N<B?ap1{$HnjVJ-oVT
z@BCxRCd+qctBUAZay^RG*_WsI_Q$+?PYN6D7hh=XZgqLqw^>YBb@q??73MRedVWi$
z%+>w&!+Nr5H~;3ej}>h3d)-egKD9`={lT~P3+(rN*&81S-fa#@KdN}Cfj!y5dDr3C
zKWEKnbv>~7&Gz2y(~o2FZ8w8$CN+grDAa#CUij|m#|f8bu5p$8+4O|}rNGIhU)n@G
z_1f;-v)d#6|6X{xf`8BKvu_2xG#u(Da@)_f7W~g(_gvTY$wcqI&$3^O?+9OV+jj8n
z4fYG0FFiUV`@i_iUpcPOX92oQK20}E#6B}raj|w({*`<7%_8rQbUOc`@4wmgn|~j_
zt|xuzvEt5uJ!|!{B3Y)l9#Gy^XP8}>|Ec+$Y)_otu1wzG>=UcltmK!AHoKl}ZErfh
zwcb*F^{pk{aV23qB59UBxmt3UM9(*6G&pM=c=q_l7k<k>QlB{dviXWkBqsH&Volz#
zBI}@vFkAPoGbg>Krltyax5Q0Jn$qbyDe%hDs7+Z1_gw$pJm*n#x?oX#|KS(+e>lA>
zT^zP{oo!r!{kL=Re6Cwxt<w0HbY!0RQO6IqC%zx87ylewFP>-cL~th0Gx63>5e*y@
zf=+pO^|S@QG?^r{_+i0+fl2$0n;SR8d=ydG;BfG<*)8RB^H>`cc{-llSd$s_@=AiS
z_tj;)JdJ`nwyX-PI^d_ZHgDEltCm$+SD!Arw#saGAY1pY3z>;*LNO9+FPDWzvKCv&
z^tv@4Oz@bbvb286)h0`wQ;nPWt{z&Uw)aA&YG>fuQ#ngE__7&!hMf-DxY^4=drR7k
zMyt%jd(=+<w9vNY=<3UGoW#x7(X42=A#3KdB~jBdgU+t>{XFYco2yv%u88)NtCn3=
zJ9UkF_7&4mFW;LksRpy(MRmSse&Hy?-M@U-1uyN8(@dPMPKwMX^$NW{=I=Bn?O^3k
z%Jy4wS?<6VpN6Yio=1yvqe?f<2=#R6fB(^RX7&L?iKpvV&U^j(_?~G2F8u#?-)g$U
zR-AaK#MQ*>Xb|UA*PSoKe#VJ>J~sDH<lnhEUO(LS)qL%qSkNr2qus3%!FF<v%bVuo
zrT6XC6uV+L&e`24{9!EKy!m_mtjVVL_RST%-@9{`pnJ`Arvl{@iAu8?&x+kQXfhUm
z@}_@|+ZOvgrLxDzBY8GIS5VwEG1tbzjB$3%m0MniSKNQWYxzv>%+BULjGKi7Je(U+
zTmnT@MMQ4TzLRK>sp%SPq?z7$XT?H8BV}b}B_&U;NhJqaSR{`tq=cCZB^-3<sXuHX
za#*27z^O;^h(HR5Vv9giN6(D4CCkJU{bUxc*c4H`;bYLoM_xOQO@8T-9q#1Y?G?%z
z)f%(Ni!EcTpvnRUrxx))yVE_F32{#m@?a3Dey`f`<c*nxcBTfSK)a#<bM3jpg9j}<
zf1aLpb@{bYzw_#n76M(#63fr5Jj9@5x3WI-@XU<(_nsc@3Oc(CLv|R4u=v-hta!C2
z>~QykMo0d&v;U<Y=jC~H!Ko-9bRzfO6CAozo;_{TU#{TR?!`a%@YB}zR{r)qqI2Dq
z7m7};J>i#~`cP|XaiV*qbJanasmX!t8VB8DpJo(jc%HF+adYBv)9cY&+$YaD;AorH
z*-+?JfAI4GNAbO$E&DgWXk@vWGkMd`CA*Cu&WhaHe)}xzlJh!J+&=3xwuP*(-BflY
ziaV3JN>sYLwB^UqfXWN5*53=Y{Z(acn_5M#?OGhUp2sEnbTku7`<zFD$)Bot7P16~
zEAj;%_PMOittfHq$m+8%%akszX}`Q_)BR~3Em1!<*t^y*KRS7?>Nl-Fc@DGMOhsOm
zYZOe8RV^=X{ipfYtt8JtWAnOtR+&={7QrFO|3X|k=Y4pjK3hyXY>WQNPp@A$Dw}eh
zSigeXY}TWy-}Ce)b0lVN=B<p~@bshNtp0o>(bvDU!)~96d$h$?!>fS5d#?A4%*AKR
zD;`DcNLazHtXU=ETyNGDv!Xb*J)?SG`3;r_79uVSolQD2m{=d5FO=T9QPTXPwGWqr
z;va_(KctogoG8{xQ<z|raIQP|<f%P3^uk>Xq<-E@u)Te{_wU5-%%3*I_*Sd@5be%;
z^Cib=o3`BCKEDOmruBIKS$F<+`+E*?rwyOKCFn2sxZ%#MPn;jNF1>TRzU9@!8Ho-b
z#a~42(wpzq&6o47Z`R$PZpD!nt6%7B-rWCeQ$g6p$Y(c}Pch<`YB``J7xBo@Yoh9>
zC##cXLibEAtb6|3Zu(<oPD_@16X)&Sw5UhFtHgX~_=hOJBsJaZzje>avAp~dt^RvL
zd>@+x8{@6d&(0pmT)b*Y`M1NN{EtNIuRQ+!?#b^*zIFSWBpQll+&cSuag;ZwuhGJ6
zo|I?XPv=-vYKhw?@ZU`Q)6>y&_-W7wv3T?6F^-+ROZ;MF4m`bb&?#0hM>*s|g~Zp$
ztUo7KJ^RvoKrHExpTBQ<&GTz&t2V#d;vZ$z$$d&;h44eeRa_5Q`_?d82ROw({r6Bi
zpu2wKktdH>Z;OY#7oOo$%(!{h#anl(I=3Hp$;d3XIOit0f5DxFW;{G=+tLErt@m3=
z3QK;rDi(~CDy|64dfJ#XVaCaYit>H`TXrmBn!C<nYo*%8XBtI4->ckIY|cK64oW)H
zB9z?2^Xa0+>6kw6;F%fP?|RoYR53W+=l?x*v6<e%`Uj1iA~GBui=;Pc{|RRhK77S`
zi9o}ji`C!4>>MX=QCi?Ji&tv?`a{124=h~2a!!VdIoqmsXQ_}iqPi{rwRJ)^oZqo3
z(fpIrn!uA4xvSZVCqI=q$a*zp%lxjRHl=3+yB|5t`;{^?SmS(`NJmZxtTn;`ZjA&U
zH(fmWm4X&{ZbV!$jt?|9B0ulnY<@Nd27Weh6J?$v2YA>A+&<wI)iq%F<+Fs}MInC<
zvx9&D7lR{LpD4?LNFEKAMeci>b2KG=pOm<*SSS*tW&2d{;y?G__C0<d?WbueDQ%lR
zO}BxIY4ZL>lf!TA$WHTr!SI3M3B%6IO6x+CI-YsH|MH-~Y=K|8^CQ`*5swnxTpr~(
z7`1Gfs8X+}nUno*a&b=BkpqPpvzA2XzrPS6c!Wdc(G-t4yMJEgj(XL4<Id!ysJ7di
ze4~5Jx9z%mO?Xmq$fC|*{WBNVriOp6e{giy8pE^4+uJgOl72N=HgA$xX1qpKqN6SF
zb91gnkInRR3eOU%?AhnI-?+9v_{QOXhvlX{l9$r%D)@V!r+)8Rx%~V5vm9zJJX%!W
z8YsIf)6q&c@2^4!m)@*1Djl<wtZ(>beOk7vZk3?&h8;Kf@5gEFVLhU=d7{0~!E2je
zPDnW>XZigNi~KvAbt{hu-oIgV*3H&$^CQ;DZi@|!Ry~MTZJJXh&!srghr5*Rb%whA
zRj%Nxg4Z+Fcx=j^Cp~9b{lg1Z7O$C|r@ipoJFz<C(aDw96E6j<-z-?GqIpEbs?%bY
zsByy_ues;cZm-FBlz8lkWuwoBUavP5C!9_`k(({5Z}HWy#(DE2wkyjH|4p6Rwpoc|
zs?XhDSJzIBxxFUipzFVlksm|8vOfKL;9%<==go^wx?3#bf4<qWbjb<jM;jl=*3TAA
zJ?U)y@q*8MVUFALPZif6`x0K-nJ=ZCCQ<4ov_-M8=E1J;{hQP0h=%`L82RzZ>RoXK
z=|1_PyoQHM!$1G?&vM~D`qats;Pv1?C%bl<@H{`bG@vT|rkVAwtEF#kLT9|*bY=1k
zZ|1*ULA%2<cYZvo;CN`;1DDFGziT!84Mj!k*9BLybxajq&{h2WXvUxL@2s8@7av7V
zJIPcrz5Yw3gUthmKZkeiK0lSKLvmi|opZJSf8U7}j5S_l9bWiLYLCg>`L>f)r3x}T
z8DnA$PKib)3QSQI+A+n$L$dnC`<aGWw*>Y+*E^cAbB4wC$iU`ce{N&7Io1yo-J*Zb
z-SYX_{q6NdiEWDvd|$mc>M$*{4^@09Eu|gi)nL(ntV`79%nGKe=m2}qX&xTTNyl7W
z(k|&3hq@a|M;^<Ri1s&9T-R;NerD>fug_*G?O*+{{>10s4!XwQ8g?3;`<cqMP2-bp
z)+;Z=@TaZ+*G{XGb=%^$W8JUjx#g$kZhTeTl(BTjh4|15_5UugeVjY*D$CM@?9RO6
zled0mGHz_RC)xkv`TbqX?CxJ?Z!=M1&cB>B>Epbgp-LhVi%OjDzy9{8<gbhiN38F|
z^L2k!W^X;ty5BM6Y<0eabP(6JvZ^S@9EDE}pThZ-qeA{G-&?0v74j-#Q6nE;BzKh=
z-`;t1-S+Hg+ZfYqwj`w9HIb#^(uzb`r(+7H#!5<_lboyqm=ujdQ|<~SFnRJURhXc(
zpd+!xz^S9+WQ)p5UpWQF8IdVrYlO-VP1*BZq-XW4V@HgGMSjm;v7_1O<=hRz>V*^A
zxj*dI-+SSXbxn;+ruT*W2N$dkko#o!<muMJTiW}ldcW+>WV*s@#<^Z(M}5SN*FWxT
zU-g3X-rlDXi#x@`6*KyKMH4-Cb@oqLtDw11FNlNf<Z%I~h>O{lO)vE14}RU<G(l_=
zgL##BLG~lpj#q2LngY3nF1Sv3!d}SKcwL`OcKOdtA!GN{lu5a{jz@0DOyHd3UcB5=
zx&5+kAfI4D+N`s06W_GodOXiVGQX()g`42he;o%7o|!2=wKXpMnD&f$E{D{5KR&!t
z(6;)A&x@7M7@J$S?2lS_e%(z*|DKwp;BfA`T@j6nPK%grnb)k+o17k+c%bJ-X~Vts
zw=VbZS{3L$mv`gEM=NYEH>xqdP~4R8?Ldr-pWUOG5p1CsTSb@ZJ&lpwSGBMB!PVy$
z@oDw`oi`-(vro_6d}+h`>Dh66Gj~kC-@N;Nu*|m~3|{Q=!C5K~xHImb_0J17ST(`g
z!mEEp$<^0Qnn5N{-YWLJf1#X{HY?rb`OZ}>PhbBy`$s>Y^WgH-eRKbYpHhqIjk+Sq
z5E(Gz<~lEC&nCa%`#mkQ@@u9Y2y+ef-MQ<m7hCE6V5@xX`t;*-GJ6j*Cw(!o4`NX9
z(Ulem`5Y(8wdx`7DlXJYh3B-w=R76`hBd4V46>6Ab;T!NSLXv)A-z*#{gn(w+TQ;a
zRacpv@u+4a^R7D!-@H;gr6q9p$PCY!ax=1)r`|rNAoTC|HfFXX{BO>l`C{|F+Rxv5
z?)ygBt#W=#j6Ov7EIHMg7<47dV0}{PpAf&au3qEh<=5o}{#xW!ecce(zQ4!#V@ZZ=
zko>JV&gU7Ws#XL}^{B0nSUu;!%A}0TlR88+V>X3&zDPJ3bY=dID}2{Yd6zsXX!g=y
zz4q>gNdcTo->><5V*TNLYgbCjAMiYA(du@kr++rDL<;MdLZ%B18hN$(+g=?nxGA|~
z(<9&ie1<P-WbaQ^jnLWjAyB*L-iGHv;cHJmxv{`(0hi(o31{PPZD$-5<y!0Kbom}#
zd8Sxth49K%KRI2`9#c|m`Y<z1%I1`bWRZ`CVak!GCXP#&Fuk82(y6y5=B(L*RplqA
ztkBx{eZkU($_XcBnnObmPMyS`QBt7Az4YPrtC7|_6r3gJvYfuhdZ(5*od3R_6Z`5_
zi&UQWpPXW=zOeSXapEQwuA1F%wbzOtO{~B1Aui}*iO}Blp;LaW+i`StT=<ggW7V^+
zFNt2RJpYn%5Wn;1YwW+$wwBk1ub5ly7PWfCQK34<^{M>7PKQPP$*X;&o#F6Bxx_Or
zQuq2EoqXj~)_*g1#JgFaS!|KSbkNS@pzrEZ^(CHPSc1)xJl-GFHa<0Zu88o;Lo%(3
zHYZhG>nAL}Saypqc!pG1($q@pTiPv&mhYbXykKT;xqT#e`~Ll1x$SK7?Rn?zd3f#k
zzOK9d-gkbXrOKT+zHevZ5;|pe-Sc1n{_eXb_MXSv&p+UHuRUAd`>y0|`n!35X1!(G
zeR+HI6X%e!$p=%oIM<e$#LbH|7yDk_{U4q(`M~X+)=3i*YM2-pTqfshsR)8r+1!o1
zn0MQNr{@0kh)JH4muTtuyM))cJb%`IwJR)k$?c5D$?Gy!m)Ypg$~h8oJv2@Fg7So4
z>2sG!9x}M$m3O$|eeGIlm*ZiVlyk24{!U(Vdx5cC(54cO-ftTAA>Gqv-*AhcEUztF
zufsQmbBS_P%fZ`4{^5VpiZis|8Xqz`9MJyusJn)}bJ^2XjE6qlRzC2I^?*Vm-;ECL
z^TH8l&8AKNq@@0$G(9RIeoC0AJ>$DiAwD&m)WrqQnBLDUvAgQncWC(p<|&Jh&s;Cj
zwX?&q#a5V?CEabiK<>fFfKtx$N(-Cyir({`JyPH3{>E@-z#X%XPqfv|*85(Gi025a
zXI(EfNAR^`N{09=hBX0xIfr9X4aH~5%7`40U_9>{nd8>E;N6NGErY<>bLQPEX7H`v
z_$GDBru5U?N1NU+nzwytUDx5TezR3mKU533UUy!rV7BKR-+t**8NpxkYizPV|FCq8
z4}5jgi9dk5KCmPG%HlneD{{8p6`0-bck1Dbi>2#s#pLWaEfAh6d3(qHwEb@_9p3$u
zzWsQO(bqH2Yphyj_T`wi%{#Pt_Yb+nn>T&lyK29s>88nh^B(CvP~K>HDX_%9K-V&-
z@9go$`*~V@k>0)~+#f8ooqE^)?RoE}VRJMsqhz*B^Lo8!jt$23{Vn#EQp#(k-=AW9
zc+u@?dAg^-Lpuh8Q*kkwIS*$FfAaaW&E})v>g};_nHCqZs~x-OvV8%^<=69WFPW;y
zKgV}}+0MoCw?lH*ZQ*~n@!bFE>(}pn8rZf{?a7*Wcme~buk;`JjpYms409P6804Vq
zzS8sJC+o5DPWI5{2N!~|rwsWIDG0PZ{9AYS;6>+~4BqVhTNbJozD|&OoFMb(f2V-?
z_U}JGdES+=a%~fIeD%!!Q=QHG`e?hjT*EcD1CFiS`mES})6RQRH-oOfR9BJ{aQe8j
znSI9^Z|!UWwVBP+ZBNOpuU?_qn_7BnV%wbL{n{<AM^?(k2Y53wiHa~|SpYftnXaNZ
zC@+98NEwo<$#QzqOp#2JC+f*sgobcJmqvDbq@$^eToUrOTZxf@L4gV6b6y4zU}Rum
zSkic8@&r9K6Oh>;jIIx~<jt0mfx&_ip%0{YNuxIF<cWIXlWkbJ&GFbft?!`CB?bnD
z4U7y7$_xm5-!`sg1MA<%CSZm~KX@S+I|G9bF9U-VR6oT2lam+dE6IS|48rK{z6F{Q
zzo#$8#Lqu@p}zQJUITV4encPhWnhp%u_H?YtU2C*17`%(8AvnzR{$xq&CE+K!Vw7&
zix53Gbc-e_f=sY3N=d|F0Hi;NQguUJ3W>!BlN}9JvG@?84_>Y+AuNXIo1_J@*rq%s
z4X?$h83z%KOB#K3K?Y3r)aCX^iAGj7P&Dx}@G|(aFfefHF)-*cxS9myg{D{L7)QGK
nrFc0Shx!(}d*!$|Iu|<|1eh6nXa}d{76qgQ78htIC))x5ZRT~?

delta 11229
zcmbQ0dM~9uz?+#xgn@y9gW;@ZUgScBIcGST7#Nh<7#P?YI2lrs3-l^-b3#K{8JLwS
zP1BD~@h@IvAaU&c>*Xg3ZrM&@TDzm{3LjHw*^kF80pF)|3S@8dn89%E+hzT07P0)N
zi*CREZ1eN%Z1wjkUn=gTKX9n<jI^5;5;;*$eecAb$|t=K)@Q^uK0SKbgtNjVy+%j#
z(vt6~CcU!Po$B8B=5o~RQBPjEp6AQMfa!Vu|AOD_dZDaxr+{^t>DeHKq=u&nu0anT
znlH$7`1`M5xxI$-gGj*(B5(ioL>@TMb=JdR+Lwl4Ha_+Eu!G@$|D`#v&R6QHR4qE5
z@?qTyF5gY*zOV1ESU01-^TDcTDk{_OEN?i&GhNm9oa6mCcjMdc-qLMt+J{cv?2b@u
znKI>zrMs5bCh;#`_a`=8DL%GH^<K)6723~OE?4c&%@S#MQChJ&A$!vvrQ@d!4t*>*
zG}D_;W%sqYN(r26K1-hDJRW-a&Q#m&Vv_5&^}JtyJXYW4`b;P0e<D@N3YY7RT$N3f
z6{@uT?%ujk*euDlxI$~|9f7H#dnfXrwc22^NM4xd-Icof1+S+s|Ici-{m!~0v9n5>
z^>{KWvd`zHip_Yu_s*N||C8703R~J4G23T-TRGLIc*>mVj&{pD-S^yYo6=ut?qgvt
zR;Io3&cmjX=9|+#Upb}pa+~zYkG?m&7I{=2+P!c=>Xu0rUAr6WS{nQRIXd(D{tMmI
z_jdi7Z~NkQe`9@X(2<zYzShFeE9`U2l={}bJp29sJ=cGiMoBu8)0hlFNo4aZCKE>H
zvzmF6FEX2e1vprA896|S%cL_(VX`f&K1g(OKC5VbssacY8X1IM(b-jC(rv!v#j9r>
zhgfQur>_v5?s11JPUw*RoE`5^G(ByXkyp49|JCWJ9jnyK%}M`F{@q#>bd}NKhJaj`
zz1)H+^%LEuPr0G=I<cw5y6B3h+KVug4+2kg>%K@B`Is+|3z}dS>@Ksx@$}SVGs7o+
z&bq8A;c%{={n<>V9o;={e~qsnlL(967qjJmUsqg1Gk2Yv%^N}SMH$g?OBdVzI?5>>
zI%Q|o<vgJ@Wt~f!84~V2mWSmvE@T+S{Wy2+VAtet+YNV}|8cnY-ppxcwlAV2&mL=9
zc1I}Qt6b#J-<73x6%R8a>+RFN&iBebWMOxBDO>2_`UAW6msl`2JdAm}a$lJ~Z^6Xu
zKVJ9lAHK{o=?_z=%=0&=t8eF;wOqK8$((iWH?sjZYFO`Lvjhj?H@3T+ppe}V`m~j4
z@*Q@qdQc|K4Zk~C+FkJeM893rwoG!{`+cEtp@2Lib6@eK^XZZWC$hF$aeCi6{qEF0
z;|Wh|rqwDPKe}^w&5ZNRlM?nB${VWLEbU^~xVNA|Ytn~D=7+dL|8UzlJym?_{$l3M
zsaq7oOK<)AyKDLK-OJO<zP;SD?C*2lnv&!#*0-wnU6QZ23;$TQEssb0?ApU?wWHY&
zPZoW>Qri5x`}J}@?X6E=c^$oTcdbW7z)g8Mp6~Nz<7`W7+%9ive-U48;c9>N^R5Y|
zZ|~G;%uxUAm9g36&j0VPzZdf#s9$W~c68ax*^Zar#%%fRcsTBKbDQM#H>|g<qZixq
z@qBG;iDthY9o}B)kx;+v<y!4h!AUb-Tl6k?Z1Q&9&d3JIGhRB0f<X&!ZN9tgt*BzJ
zrTa;fC5Z=g#EwVU@-pbOeQG)F^XAZU#>h><m)*)ZEOl0_RG;D(l5(x|npGI*ry2cg
zRFWMMA8CK-4>;m9$Ed--b#)1cs!_KSx2B4hRo@z~gao@KBE>w<3Jx08+n&z3b#_Bc
z^3^|6w76pJcp?@!T=$i_D6wVMy;=9~T}TvkEXuZPG-9%4Zs*|hUeP}5+hb-&8E4xm
zOI<ndIWOf{tllrH&d;CVrZdw;)xz(W0n5pPiU~ExI=(Nm%MEPcN<042yz7JAMdm~?
zzr_si)xV1Takybv#BzxJXpw2t>H6H|x2J@qR&YF@vh8w~uO`or3rm7CUd_wC>H1^C
zs~rN$b0o@LJS0C%+|ZW&FLn2`h<!IrA1scT;}AL9rp714Nn%}f`tt`WpFHQBopt#}
zOngiG#g5WRkHjB{B%RrDRjG<o?%>bzS>9)rbQT+))am$9xgtsPocDLrBKcy0fJ_0u
z`rvi7UcqJUPj}yCjd0AFq~|C8uxCw>e!woJHC}I8XB>`<dgAe(r-rZ8Q-fK_sDLln
zg(s@}L!n8_19scXpL5N>Y-E`45VGgGss1g+*e3rV_tvw!b)+`4JXiM#HSABCH?L7b
z@2%H|l@`IK4-7XZy*-dM`|rNHycu(O4Ag%c^VEwhG`!dII7Mfs&xIF1;<hK>l$o`{
z-NEFk%#9PrT`blx=w{vV`@e|ikp96a8^K#o-c~3bDRH?m`*LTE)wuvAaTlf8mpgSF
z#h)+VxzV&;?$z68Db=D2`Xlo%zqYJ3+{D0_c6;7Um8C7Onas{|*vUCwyw0{G=~di=
zTe_>O9cM{As6T2^+V|tpjRkoUGmPwH*-D;t{9Cr8WXFmIj!hiXS4=*Xv6990#sL}6
zKqku@M{f2B=d9WOGPO{0b6&R4>vWbmM}FPp=#tp<!O~~4a%G0C;QE@?ERK6V9jS@C
zvePv7=UNNrsr!ZMf8YF@#<tDys_c)oVQlNXR^ESX;1cWA_<Xl)efOkYZI-7?Vs|>s
ztz%o4oOQTx?OC2T39HO+WLz~i2)cBWr^eCqdD=rypQ=1__T3iQrR+)D3w|sr(Tm&I
zyDs_H<_+zhRR>>v5MkPQ^=j{`<%fF>7re5UZIsIVro6%FfdW%0Q@hZdb)M|!dl)S%
z%$N=xEEW{to7eAf_w*rOAMg4Lmmhj7`tK|Kv5951_N9;E#~NhnO_@xk{+U|VlrEj#
zy#DbTq1UVyNuQbm*Iv!6VcciPnt65q%7nK)Cw4R3pVhRa*72Zm=)@4YxD=E5i;q2f
zsM@+jw>{tZ%u3!n#=6tva<<QS5#0Lhfpy8(z_kl*%{n4eGd(dXSFPp!Mo<5(N9#A1
z@a#MP;t${VdEV|#vOjXG)@?ogf!S?&!WX?)R@YcRhLuZke{gP7H9afxSK&{`L!p`F
z8PER9Pi>Spo>SPVaWHpRgQ><-g`62We_9e(Ic{&>$7r7#VX*h?zNfdcU%5?doPY5`
z>FQ+h2hUeUY>_H`e@ST1nSyhX-<$pBr+vv(tIyh<bs?*8hx5{))p4=8yS`TbV0F$b
zdy}wN`U_L>;>Url&mX>P`KmPSuzsdNCD$S8(>8k!9hq$uryyH*w4m(5{tM-LUxibr
zG3@HOSNC=6Z_7!32QS*k?U?+iK6%g4__R9@{6Cn*Km0E7-}v$AKks{X+nlXG{KNF!
zl&vOrcKvOWsGq@dVMo|Cttb^0h8amgH<v6k{HdLCY>JE#=LL-plRuxguRqOkso=}&
z?eCUcD)|2Wi6ystzU*I9&pwIH{nbyms-!=4nH8gc>f{klH)rw9@9%MNo_n_Aj2la#
z(#A8dZY6habE}bx+pGTBciGhcC-($tt9KQ$Jc<Y~DqEoR=e4hBeKk{>%2BUVtmpFH
z+e!9(TP3<|b>}H#5w67%mkQpC@d{1+^YHDTpE;&~zHHdGt^D4{t%1>X*Vp&{WC?x0
z<HszI!?Vl(e<_P=`!xM`y@*igQj=TO7BwwQ3w}0VoSMEa_PO!Xu6eBaB^F=u?@4@m
z;uH3mGwBNJv2`C))U?+LE%z^03p?|y%sqU~!*}bpq)wPC7(HS0e@2wLGqUfeWXfbk
zehqL{$tc13{98m$MVO(5s}r~}VxE)+q7^I+41RqTvJE-iEq|(p|KBIciN_Cfma??(
z(lma?6=oj0{La&tJDt4m+O(>l5!-p~T$I_~X*O3URF}`0SO4|g-bo&1lO#@Q{a^Mq
z>(JlZJB=QBwJ$C||K@eL>T{!}{+(wR&#9lhHN#r0_~8@F=x9OfIX2J2{j*)t&g;Hj
zbXJ~ms>)kkwt0J=Pq|kyd9}%JUl+;ik6%tsc(8Yxdex`8i^YYuR_AvfeSCe_`lUA;
z@0n*wE}JB5qx5Z7^UhOl=bIF(#JMHwvgJ7$^c;g6h1*;bii{Xv^X>Zon(v4U&l<;G
z6W_Zv^`943xhJeo?rLz@&y=cTk;s~-U?BO)>*##H%2r*Qtf!4FcV}ubPq-Vfaoq{c
zw;V@je4TEVAr_UMv|cyQ^MHELG$-XUX0vZf851rv95A)Ms%^)xEFf#8|ErsdZL7L}
z-&wt+`Myu~Kb|M&+6@>V?4LGmy~Mme;q~q93f+x1-S+i!wl<yH_VWF-ZNd9Y6L&|i
zTipC`kLcW&r+Fqb7}RY2<9eqgsB7m(QI#*QhfDVf9TBMc-B}Ymfq_pe>6=>E)ZiI`
zdYeB>=5(`bOSzVYhffuV)zuJO9<9DsMDnk0<br(moW*j>{bhD;UY&6v<kzat3Uj+%
zH{bbKHUG*v-Y)(17wb1Z+;Md66O~2ZuAfQW*PApU-C~m1(fS+P|I3Se9mt%y^w1f_
zUXJ#J>A`=0nJR~z$PfATGfu*$|I~#W&m0|E=dSVIet}6P^8fQ^`8v)`FRmCE39s=M
zciiw}h1TNr@j|bbKNE?+tL4+Fn$@!B`-3CC4GtI5&f7FxRL@tNy!RQ$t9pJG4eR-*
zZS>E1Wb&-(j<s0WoVy^Ed8N`E|J8p^t>iW<$?~a`R#cn4!rT0IwRUn+xZw&zMeWJ6
zq(yfp`?9dUm3{ttQ9=CSuG!Z03AP^YhgL-&s7w2*D0@}9#l-fU!-prwjn=U~dyuJ_
zdNcAU_hv@f*JuAn>|9dX9l<FO^S7hkbA$YxEvK$+t3I@0$|~zdt8cB(r$)}^Te|*6
z{`J>dehWjt&(}3^n4;gW;UHnE=(qHNvEHdey}`4$Y}qP0={LvYtJ0n?mUPu8ySZh~
zyUKCYZ1n=asd0rT?yc|Zl#Unk`@a2+yHbk)oBF5cPx&Y8NzK}0rtvv^j#K950M-8<
zY*zI@yqDN1c`sHfy>?xGVM^kX*#Ebui?f`$bDekkEt^u=`|}lUvi!Bq_R(FmroN74
z=jLDEZl!IW6FRe5>au>F&X11Nw7s40ABl6GpT)jACuFN|nD(*ihIN@M!-Wc;A9?k8
zp8Z+Jn@c8@ay`7{S;f_{qf*bgT`%9-i<=?jazopSOZC#-x`Ni#(sSRMPGWkpbdkfY
zgo5`selB8+{P*_To;ly|Yd!h*W)bUEIZ1~LcVgrV9&;qvHm%WivEo>}HGj_o^Xc2C
zO9>Z8oZEThsC8)0&GL6S8=fut-N(82_1jfOt(j%!j;E%}HGJf)4v~2N{7>XzqlwpF
zFt7ilD)6xR%7<R%n)>aZ46E->{#-E8&h2R3m#1bgkJYHD-CxD|Y}Xr>*Kbqnwc_?z
z9K6-va8CIDo%_ElSeV+p4)lMOoBMG8(%9!|+g?WR+01QJeC^S>i(%0W;vH_K;ufx2
zkyShTRK;Yk{Z<!aXj_-0np$v8bXK%3V|$U3?Sv~&;u@a$t<!g7aEa)xzjNx7)Pc^*
zzkQc0UM?tSTBs(q#mzfJMfS?-qX|!s7G&4n+%W58!1AJujQML$Ca%#hDPq3BQ<$;u
zUF>7q`e#<(7k6YIn|4?~Lo`78n&|5z_x!Y!JjEAkmWB$a`z2pq{O?!evv<AKOAi$_
z7ymAKc*pI<;$9mE>Fc*DbQ`AdSG=w-&iXQAUeVX&gb-hw_&;Lzcb`}>v$o78`q?sl
z&6nma|5;@k1V07H)Z||Jy?4Lh%gcKm?A@o_e(=7Iaqf?9)n^N$9zWfF!(`%>1JCc|
zy2$Bu9<bgl!r41FZi-#(E$=z?re+qNk+<9amoRJls9WXjW0m~d_&enhlitZ?IyyVJ
zkJM*n<jj;eIX%^-#<=$Q;*N@!+JXLhA<7e;xJD*T51--oPU&7)l7M}QOd#vTLlJwQ
ze9O7Gty4_-vcbv6*V#Cpde<!ZmHX-Ut!r@#&kY#vnkF;On<rGe;C0c@<BU#5A>!e$
zi`w5z{%*Rbs6zK&tBF`d&~b*2M?I&sUoFtylxiMY&z{;@EEqAdyTI^g8iU)lbEiA%
z*9Ih%TTYU_vf#!l$vT%0h90_SpM;cD{g}LWa^4Q_|NVEnTBhW*{pR2DaC^{nS<fBX
zt=Ykc0>3X1$zz<kPUhB^LaF=@Z<799`(`0H)xiJf2BqanTnnwNr+3*}PdoX0_tHPR
zZZJ-Nob*a+;s^Emzah;zwfqJNQnNNJU-~}etpDouvzKkGXz0A4XnW?>j+|-F*K}On
zweg6o*z{e0N^=4e%9ohtI_u4{)LvetZ`@IAexB?5r3nWX&s<-gap>-$-ohvrQ$CB^
zQ-U^aNmx`_>G=14$h5Os(M3M<7a!m9{G@5Z^If|C`~%*_%W&@VnVDVxc<v9EyT<3I
zr#v}%yKsh1!JSsY{z;dYI!v6pUHE$X=07?&EuzvLMEL@?hHdJ6)917FM%ijfH}69G
zmmQbxnsNjtoZ)j>lD}%fey?Id$9s$PE2jR{*efgKo^bC~6t~pp5{(aA8>Yr4@w9er
ziCHtzhF$-N(pTS*8NQVvrBzAy)#|O=nfE!C)x<{dG5q3TRY_L+e<$;jt5NBnn!bta
z`_;BTFViu9_;K5`C%X^b$~fBBUCW)l=xpf&=FtD^!X(Thrl~}4|CM$<;qslcp6A{*
z8~)upVSb>F$^q>|Oh!Et2lhF8-qQ-3I-_yn5#P7{%KMC$&F(Z^6nnntSGm8~%5ZV*
z`t^U9xVvSveqYUUtzmn!Zj*tW;)^f$J{8`&#6I!8K}PMZ=ey$KJoX>a$P96qJ@;`~
zBy)M-qPG2udyEcdcKqM9P1;^eDd*#%+2P^W^bfT-#{O>P4R3L|5!7UnFiD~I^O{Gg
zPQq5YLC=?%wKe@%Bf4l)Szqw2pUW<YcV~B7|MT>En6$@K+Jdjgy;H5a(x-Plqv>0p
zz`aaD9>s$8hizW{h*9Hrl9`rxmMi&?yX1mtUOxRAu$B}9c(CxXyy~YA4hDvh$&C^!
z;O3OZR{g&TZ*@YQ7^-}E__Z%|tdlD^dFGVE=KZH4R_whRyl>jWu>0OO7UT(i6u(ip
zkMH4$&QGo4b6NxUK45sj@R~u<@0-!Pg8j<n-(7yp-~GPaG$a4|#|ihgJiBz{&|`JR
zJv?s96J4b3mfKpUJb12>VZ)Xzv^i}t&#Fm*HuYEL#TXv?%eCp8g!P}ZCuNV-?>rn}
z!5+W&@Cns!zb^Nj-}_g5Ugs`c_&~Au(E_h-eGeuDUdD+pE<ag#_R(Ey8H;oK_P(B}
zQS<o!zB9TahK_<emCA2FPZRl<J8QBj$4t3N){Bn6=<}Q<^JiP_&-ZzMs^fXPYy_*m
zZ9A;7@xy_)uj@<LTICM?K7YCO$t3^2&%9sj?+9P?Dm%y;$<e?0V$wO@{h!aiwd0z$
zGBxa5z*@$fO&>GfupN3V#-0E9m*t+f26uj#pNnb9|1J>o?OXeLTPrn=l%l^f*|C$O
zn8i&WEL<ypM(WNyo`uF7vu9?<nXs?4Oqq6g3fKHF=Cw<hSQ-zR)@y2J#V*T^3y$Iy
zb(3GBCO+W>w=0w90cE8FOAZ;n{Ga=y^vOcs*Sb{}Qo&QS*s>Rd9!(JTVqR-BY13ra
zOt*tR&rH?B7AB}ghNfz%t(NGiuHAh?dtWJ=^7rT4djIw2KZ(<y9QOLw!>`|x+5i3x
z3Er%?`VoKIwmn+!D$l#_)8AJ=d-^Za`Rwj;hNo5sHEOtsCG`Y$1s{HB{A<diD+M-p
z{&z2X_wg*3QoIHSk4J;U!?0V5=jO0BDEFTDvLm1?Nd0MovCq{NRWlb&QOFEiySZU$
zMAY7lZ;LE9tV&B=m$|ZZ<Mf*jtItdkNwz3R+#EFh^eLSik3SYz$n?4;&srvtyry2_
zjpOR}Q+6RfhbI{uFP*DoW~#k8=um6YiDeO*$C8$oCPby3HE7dX-n>WS_e(SDz0Vu@
zJrldQ`cEu2G~Lj(P-STlkL$)IS2QXEzczVFc%{B!cGb-^UA5`!p_J0V(y$P>&^f*C
z#kohs4?R}mXYDw2>Wme0r)!d9a>H?xLYsH>UV%{y4!Gv*Z4AD}G-H}>#MxO92G?CT
z8;LER=Cb62Y+ZY?WKDDEzqzk=?)!H(yR-GmgWapwZm<0CO)+4)%j$@n)`W|vO!X(d
zb2P~3IW_kx|EUKp>zAAkx7YY-Bhh%trzt^NZIaSPfji4y{xj#8^u#Uao9)@Q`NifI
z#p~^N9)26g&Gqr_?Ax4$D|VYDaqrDlzQ~oc?MI!^nVt92?C)4D_<KQlqqP0G2h%Ot
zI3`V9!~AI@hs4ua*P^7t_PW16@$p;SxmhP<4Q6$?$Z&5|^qe#$!Y8MCQ(CjD^=hrj
ztc`ak*UO04Hym`}Icy<vSfNG0sYmgMKnjOqi$GIH&y2MtD>)PWWEQPh7g4<6qtM1j
zRy%qoXHK}r=j6NGE0i^=HD-|)TgFyFl?4n=Es}q3r+F+B;+`Vq!Ej~&ccH+dGU=YF
zCQ}&BBzE*R+7-qW6wOijpPKj8|MjKdr;}CqRE`QBTUolQrk<hO|JSX8Wp7@43Na~i
zxR~pSzFX7vKvSM`)uiij6}%M=FBaBZ-v4GtqoYhz8&8O6(Ry*og{_ws-TClT<jINv
zPKAOUdp>OV@NmNRMV~WNwk+Dje=~EfPR!CGy*C=Cz4T`+F4B3$p!#FQY8hTW)y|#l
zw$?&Bo>g^!%h)e$vEsN+y`aH4%?dV)jP>TBKdd=y1twlLTWOYFQmz(by!zXQyK}sM
zomsT;z>}^d@2|Jiv)$KhonW>geB;Il_l|g;sqC>^isiR|3b}MqIl?1xt@W(0ryRCy
z-J|MIa6(hgP;LEj0WX8n*uoR$XO7ft5@-_iN!-eM_ngYCn*~u}-);*{4Ct;`|F-<a
z7KcrnkHod!;H}xbA<g+OA8X&H#ZTU?yI{Zczw2|W=CrUc{s-2cX)$if>Y37|aZxy~
zCh>C8(XMZ*p>?&k1xwr*e~OkKbPJBjzkm6urjy><jf(XmZ;EQJJbUc7>}*zD%hh9>
zrd#ClcM07&ob+5M?N-KT*?AUXdJ?Y=zNqh)J366PN&HpJb;Vor-piUXTJW(3z7XJ5
zn&oitNAVrE{G4OHU-%|71#s94*z9Z56!|o#OOiu{W5(yC=uJlY+fIuL_P8xFk2wGB
zQ~LZTwhnT3;rab8b*>kCEp4Mul%=|>U*z0#Ym#s0{jZh3Kgd3G;wZZ(_lDI(Zd!D(
z9pk?GZBweJ1lY<L^Jx5IiuJz!G&u8O<Jo(Go2~C>=y9iu&P^@*b}>fmoR-yTncq`_
zo;fxPL>yuEwsG6J(PdsuY0u&_);Z71_q{K+w42oA{6O~S4Q=)*dtDEfPhQOxciQvJ
zlBZSskKSxnkgb26BLC^>i#>?~3vBiCr_DdNiYq_eKBoSwLY$-RzW09n^zW%W)9zr@
zXkU4EkKL-RQ!c0o?>gp?R=4|1+vMd%ADO>RkUe((#4(E)J=Xk>rN!r`PQT&t>c~;X
z2tQk~SF#7C7q%Wdy#D;+kIJE@?0GEP1Rj@HWm!G8K5h~?H*|UJrAwYM0bGu<y!}gG
zap)JM^F}yuZk_V_eEs?Z%EyAl#F=yA%l7&w7@cL+$#jb^e*N{HoaMr$cMon<JoSTh
z6JKdrLWZeHx7CfGS5MA3G2{Bo?h}`099|uy&7abI#D|afXTcx!7hNeOyO^#%n|Wl`
z;uD7VUQ15=VA7uN*=Z>3)njOM!u_#Mv8A4G>Y}wf%y%)pV&tf`e-qwUe`^OzGrQWE
z0tJPxyc6p_#54Nv1iu$>X6SN%e=GXukr_`!7?od|W|-{bdhO28dTvS5qKS7TR_O6%
z25b!5BJj_6L%;#M$6CC*61yFGr5<l~HSL(GbLzy}E0gBiYKi^csdmTt2lw>WIbS<x
z2m8$v)fBk70@mOF&rVL}QH0DL*(t^ef~GxKHLpedW@TUiVTsB2nZ@h%ic%8cla=7s
z2(M_bK0}sI55J2-{v2izfh8df2Un;GupDqu<aIH4op^EElpft8E9ENzT$)qoed@^g
zzxc1b+wvds-lBqncU@HM4JABZ@632JuWX&n1gYl??-`yj{Ms#(H21E5r1B!SXGPsE
zOXj^SW{P~(nsmIrY^P?Bf9t~N)9ar7KQ#N}hZ~!GPx;(8NDlaxe0asrlV&1raz4)m
zijDTpXFjJq<;BFEHY^;O#!Q_SMJ!K5%y*nNbP;uPd6eT&bVbwiLfe;#5AU2^f6w6c
zrgK3zuKih+^UiZK@8X9hdWTC^X?ZTwJ!Tz!H*2-$wy*C+zHR!yVfxhiN5^9G6kq*M
zdy)IK;`g!)rhf^ouK&3fTa{d{E3vxs%kcr1&g?5s+PjpjZ}{bXTDGcg)<eONh&QY6
zB`MrviaDI|Bz$4Q>zgGWM#=qis>_&G{Y^EPd~?O}+(j!=_3T|=6-M|ueO^*tmf>^l
zqF>zuE#}o8XHxX!JGFc|R~QPe++M%2H>v&U@(HbzG|LL6$Nvc6Xf2qYZjkCV^R#h>
z**4!xYb}kY*Z;7XYBl$)$CVx2e+r&=N}hJr=;+aMIad_$<U&lm#HxxrmY1d1?7Xa4
zxY=e)_B^T3`vLbv_Btw=>*&9{;bXP!?@F8R&wc7c^Ce$RbjjOo)9mToqWr7CZg#MH
zeV2`*9QVZL-7!r@rLV)k_sxFxiGObI3uRA*Wfwe;Xm(1yE8?Aa_-2FE+}`%g+j{1m
zSCn1(PkS%oV!qb1Xp=A7e6a_ti{543`+t&Wzh8t&*pl_mK9_&qG!DJvu;+7}QJ%*k
zKR<(SBK4c%Hk>cJ_+ZDBx2v{g%3JIbZF_5Tkh`ru^3_T1WsP;VEAKW(<<%H^OxTcX
z;Xf~_{`JYr-K|QmLgq2v@e(xUUCi1M`|sj&#ySP2j`gc{|BhM7aC}4Y{r`65<}Tqp
z{ehbw)t9y_JU^rz7-qx3&26_+QTtEhDho#M%$6w|+yBjzT)d3+V)eU8)khZ{nqjlN
zpEL0AC%$#nU+XQiW}Oa~X=h)4C?k9S<g$BzeuwpXUb&%n|AU~p(e!YKOq1JB#Y?Jg
z2iSW~bMs)9IV{9voH<SE#zpSq*A5nLyvoANv^%1BN5abJ{COImcCY$1#b&?$6{BQ+
zah=C=;uj@UE8SnTY~7cpNZm*GvtC}0{h_M#YE|%?J;CpK4xZ;Usn>4$ue3O5`6ISn
zvkuMw`^(ep^$YGjzS*9~s-LmDuGrx6vTfh>w?AM0vMk_Oz^fS=DBkB-AL>|DuW?wn
zD&1z${QB3ke%1KLOPnfT+wUB5wmN@Ltha--5ZAV{s;EYDfl7y;tIHow6}bO0(pP|M
zn`pnR%qFuhIWkqYyLq-Bd0SNPnp=GGmSn?;6@rRJT$-s%TwEL-C#lFLFbPgvq*W%w
z;1t=n*r3Zv!AU~Uz^P*bhk9F!vet@8?DC9n?k)-2cq+xt>-zQL)*{I_$|h2iPm~JW
zdLUSHWU+N|66>qXj+VJz|4yfzao_RdG2ddLs|Hot`ajq=&8-QHk<IPD%dVAOU%x}&
zaQ!Z`Cq6T`@Nxb>^ufG^@0TS1U-8ER6EkvBS`?YO`Dca+6jnQ)`_Lt}(n#|CD}|)j
z9F=CyFD;h%dX!#e=;7bDv+Gmh3cm=$gZ@TkmS=9PQms#2kbeBk!3E;Qf@_{_JF;E4
zw7XqQ@qw&eyZd^n)o&WbI`}tLZ(v}*=2~w)QCp_r_?E20HkTP=^gnR1A6_58<Dc#G
z$LiWo?i_}s`|Zm%9enX=s+tFb@BA-Tr+0@sIOjj;cL`D4^x<R6@s=M!Z?qQNna5-8
zP@>{c>c}PccM<dFM^E=0R`59OxWq)}fYSU6hiY65W4AOaRh?0;TDXmU)s^^g<?lDw
zCmk!~tnbXZx$S8DiU7?Ei~j6RIKnKN+H5T5Wv0pFv~EI(9nU3y^%OhnFK4H4?o{><
z)q0`v{OkO+t?tYk;ud$~^ndb2oiost_#>NL;<DRH{^7Qz0ehCJ8t|`a+x70of@_g0
z<&Je7f7NsGvV?q$UZS7)+9@|=4qN>+cktXNb@_LIz@ioU_dL%ER<^|Obu_yPFxYIq
z`eEJzHk3LfvT8Y_RX!5~!%9{L2HDB?nI-CrQWEvDiu3c}^+xZMeE(MlBFFCk*7Wnp
zThy5>E$&#+UbOz6OJL&(-=4@*RS8$uJ>7O!fcO62ZPIK<>~EOguBv-(>F>XL-<w9+
zsdnd<7=3W}S>n~17!-2rL(q|~A1mkRip*9!=C|HnAxGAHPgKC_gYm~^R#<J}Dy$c^
zn&W(*QR>%<z^5LoH=HZt)LYFbJ4;wlxz$@tn=|%IWX~_|siz*^x*Xuew|zy)%WXxc
zi=0%SgsR8#Cf>Koy2AfJC3()FzzaEBqIe~CW~DG*E3UZn?`8(O?v6Knb65UaRyAFs
zWYuAn=6Pon*Eknk;d}iy>alFKUg^adE`GdO^~_qrO`V!1ukOu|JaJ_4gyM}e3$-p!
z;dnJE<l?W6MQOo;f{s6&jCti!XZ2XRO_4BqSR}VFD5&wf|0<7kvshiT1&fL=&RMZ&
z!}kSC8^rA=YW~s=|F?A#f5w)2E#svRzkiK9zF#3(a^8>B=QZ#49b4^wRnO_Sc6g%5
z=i?dY<}E%@zwdRcfv3o?(A_Ur$E>fEG246H%Vb`w{BkX?Rp)Mh@?Fh+>FcA#bG9#u
zUZy<%(X(O!wl{nEc+O<KW;?fhZnayK=89C#{Y~bZ_?tIP7TX^!|D8!n=r4!uB(b2U
zlf0{?Rk=T8J^LsA)}a4U3n$BO1xfR_-{wwGyJXyRvqf<)yS{(DMt@n5&k?R*k+u&S
zQ$-y8)NZHl_DRf%=yQGgHp5=HZRw8rMhp361agEI-%F?$z0JpK&)<8_o|o62|7*UL
zyzl%%OSL=qc)p#8OX!oSx~HH2pttOx^RbV|!#}hx-nV?WTlp$Jp>oeU|FY)0D{r5^
zWT>_KWP(s@i<otk{`oc13a5YXeTudWtG>{Mubzp4!ApsO0o<^W(ko9%gD23tkr(rB
z8}QWJzaFv3b@Dwe9sjO%KSbuvwht3tyK73`;#A*Vi`L%yxF=(iU~=qrquUMhm{!(b
z%bcai)9hO$%~tb1`>ukOnP>loSo3%N8}pja{SZ01)H!2|`_F}1TIL&j?IuTR%ht!p
zXb5|_Z{cX({&e2DA7`IkP<v~9D79@N-|g=HfV#Ffr$U)q9&UGUILqDOct~c0p!huX
z4YP8mh*xT=zbH-D+7Le_Omsifou?~j{7CWF3o^J~v;5-E5Ozy0c|~>&_kQDeLy=;^
zW{zq#DUNeJd5X81HZOQ3G|$zEJ@&~x*;$?S%>6f#jTY|6eRMM1FDGvHijDg;BL0f*
z$trML(>`Hg><Z=$PL>;ai>DrqNhvGXz;KMCLhn?Pu@_6J`{`v&!ds_azFf_>AX9(Z
z-kz{;IhBq&KlENz&VKDKv#n}Npzopgo+7dQTbpitu(JM>ccswfMg6CbYtBA?E>i2d
zGM(GjLATyTab95mr?dr|u9i9H$XRK&FLuAaGpl&x-)jeaL(*~{|C{x9+jEAUzw>kY
zH=nv<Jpc1ck)n#5Sv<C_>E$17-O^K^SBKUYXQhOH+ts<dq5sG;5BH0<2e&@iU}+}L
zUblOtMVhYZMco6B*Kn9_`)0gPdf^9=*$Xe`7V+%cHG`e;T)mCZzb6?zTk`g3v$wcQ
zPrW}^)v@I_!vT%z!lfHq)BR2uf4u$ai2Is*Wm~y>p78nfEbh#66kK-QcKcK{=eX1f
zQ`pa~jNf<X;_Tc*X6?7ww?{s&KYRW9J(a6-x)0vdM=caj{}Vb?#K6EXnSp^p4z%nq
zwK#L~GiHIw^}77vfxXY1yc>-+7@3$G8$9^L$y=!N?WF&CA2-oYzGqpv7<RJ7IIer=
zux#T>!3l5E-bqU_K8cKIun-gI>*Sp9SmenI?rR613dt}8B_4SC?(zF4FNEK}dHnv3
z?0b<{&z?z%<fNvg<{WwY`ZZ5b&JocEkCRh5mM{i*Gct)Vi!d;FU|pKX%K!q53=9lQ
z8bK`hLPbu<LPgLzD7X<26DCLLNi&->F-(5QEF%*df=A&7J!$4e%piq|^0<{ng4eV0
z>5DOQvVzo#O^##bw!ou)THisNOAHJQ8yFcFlo=2{c-z>`1~K3Pn*croz{|YY85neU
z85pFX27o-jq;WYr$N*6Xz2c0-f>eB-1J8fUaxySTa56B6qZsmv4{V67UPT@b6VS$e
zIT#ouP)u-@0GptomkKJaa0kWYT?W$3Hx$5%C%-k|G6h8!2qU5lViigc55=l%MX+u=
zNRJP<Rj3s{)CfqrS*i{)Ld*%#0Kj7mN|B6WOoSH57}3dZ3^}p*1Ke|sM9pA`s9(}3
zrVG(+Z^Z8d3IPxf@MdKL#VaoZFM}@&1H)Ne1_nI_R}(L1^TaAoZ{JM!%>3kR<Iswz
eykPxGqo`~rlN1Z5<dh0ygNmXMFKy!tUt0h!XE{m$

diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml
index 76e66e9..dee0f0b 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml
@@ -1224,11 +1224,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:b371cbca</spirit:value>
+            <spirit:value>9:e6611a58</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1243,11 +1243,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:b371cbca</spirit:value>
+            <spirit:value>9:e6611a58</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1262,11 +1262,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:9213207a</spirit:value>
+            <spirit:value>9:9bcb93bd</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1281,11 +1281,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:9213207a</spirit:value>
+            <spirit:value>9:9bcb93bd</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1296,7 +1296,7 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:f5d765c9</spirit:value>
+            <spirit:value>9:c737c113</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1310,11 +1310,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:14:26 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:27:01 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:9213207a</spirit:value>
+            <spirit:value>9:9bcb93bd</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1328,11 +1328,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:9213207a</spirit:value>
+            <spirit:value>9:9bcb93bd</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -1343,7 +1343,7 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:9213207a</spirit:value>
+            <spirit:value>9:9bcb93bd</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -3560,15 +3560,16 @@
       <spirit:enumeration>HIGH</spirit:enumeration>
       <spirit:enumeration>OPTIMIZED</spirit:enumeration>
     </spirit:choice>
-    <spirit:choice>
-      <spirit:name>choice_list_ac75ef1e</spirit:name>
-      <spirit:enumeration>Custom</spirit:enumeration>
-    </spirit:choice>
     <spirit:choice>
       <spirit:name>choice_list_b9d38208</spirit:name>
       <spirit:enumeration>CLKFBOUT</spirit:enumeration>
       <spirit:enumeration>CLKOUT0</spirit:enumeration>
     </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_ce26ebdb</spirit:name>
+      <spirit:enumeration>Custom</spirit:enumeration>
+      <spirit:enumeration>reset</spirit:enumeration>
+    </spirit:choice>
     <spirit:choice>
       <spirit:name>choice_list_e099fe6c</spirit:name>
       <spirit:enumeration>MMCM</spirit:enumeration>
@@ -3600,12 +3601,6 @@
       <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration>
       <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration>
     </spirit:choice>
-    <spirit:choice>
-      <spirit:name>choice_pairs_340369e0</spirit:name>
-      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
-      <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration>
-      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
-    </spirit:choice>
     <spirit:choice>
       <spirit:name>choice_pairs_3c2d3ec7</spirit:name>
       <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration>
@@ -3658,6 +3653,11 @@
       <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration>
       <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration>
     </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_c6542ce1</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration>
+    </spirit:choice>
     <spirit:choice>
       <spirit:name>choice_pairs_e1c87518</spirit:name>
       <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration>
@@ -4776,11 +4776,11 @@
     </spirit:parameter>
     <spirit:parameter>
       <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name>
-      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.8">Custom</spirit:value>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.8">Custom</spirit:value>
     </spirit:parameter>
     <spirit:parameter>
       <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name>
-      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.9">Custom</spirit:value>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.9">Custom</spirit:value>
     </spirit:parameter>
     <spirit:parameter>
       <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name>
@@ -4796,7 +4796,7 @@
     </spirit:parameter>
     <spirit:parameter>
       <spirit:name>RESET_BOARD_INTERFACE</spirit:name>
-      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ce26ebdb" spirit:order="21.4">Custom</spirit:value>
     </spirit:parameter>
     <spirit:parameter>
       <spirit:name>ENABLE_CDDC</spirit:name>
@@ -4952,7 +4952,7 @@
       </xilinx:configElementInfos>
     </xilinx:coreExtensions>
     <xilinx:packagingInfo>
-      <xilinx:xilinxVersion>2024.1</xilinx:xilinxVersion>
+      <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion>
       <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="52494094"/>
       <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="f72112df"/>
       <xilinx:checksum xilinx:scope="ports" xilinx:value="4f3d3737"/>
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v
index 210dadf..b18775e 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v
@@ -1,15 +1,15 @@
 // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 // --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-// Date        : Thu Nov 14 05:14:26 2024
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
 // Host        : hogtest running 64-bit unknown
 // Command     : write_verilog -force -mode funcsim {/home/hogtest/Projets/Cours
 //               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v}
 // Design      : design_1_clk_wiz_0_1
 // Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
 //               or synthesized. This netlist cannot be used for SDF annotated simulation.
-// Device      : xc7z010iclg225-1L
+// Device      : xc7a200tsbg484-1
 // --------------------------------------------------------------------------------
 `timescale 1 ps / 1 ps
 
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl
index 4354b7b..e9bdf97 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl
@@ -1,15 +1,15 @@
 -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 -- --------------------------------------------------------------------------------
--- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
--- Date        : Thu Nov 14 05:14:26 2024
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
 -- Host        : hogtest running 64-bit unknown
 -- Command     : write_vhdl -force -mode funcsim {/home/hogtest/Projets/Cours
 --               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl}
 -- Design      : design_1_clk_wiz_0_1
 -- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
 --               synthesized. This netlist cannot be used for SDF annotated simulation.
--- Device      : xc7z010iclg225-1L
+-- Device      : xc7a200tsbg484-1
 -- --------------------------------------------------------------------------------
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v
index 71e8b7a..2b93794 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v
@@ -1,14 +1,14 @@
 // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 // --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-// Date        : Thu Nov 14 05:14:26 2024
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
 // Host        : hogtest running 64-bit unknown
 // Command     : write_verilog -force -mode synth_stub {/home/hogtest/Projets/Cours
 //               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v}
 // Design      : design_1_clk_wiz_0_1
 // Purpose     : Stub declaration of top-level module interface
-// Device      : xc7z010iclg225-1L
+// Device      : xc7a200tsbg484-1
 // --------------------------------------------------------------------------------
 
 // This empty module with port declaration file causes synthesis tools to infer a black box for IP.
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl
index 8a75873..3ed893e 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl
@@ -1,14 +1,14 @@
 -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 -- --------------------------------------------------------------------------------
--- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
--- Date        : Thu Nov 14 05:14:26 2024
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
 -- Host        : hogtest running 64-bit unknown
 -- Command     : write_vhdl -force -mode synth_stub {/home/hogtest/Projets/Cours
 --               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl}
 -- Design      : design_1_clk_wiz_0_1
 -- Purpose     : Stub declaration of top-level module interface
--- Device      : xc7z010iclg225-1L
+-- Device      : xc7a200tsbg484-1
 -- --------------------------------------------------------------------------------
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp
index 9ede92cb91547980481333a5c7d31c42e7524a6e..6ec581282fc1093a7f0d442c7e5cb0dbfadc8585 100644
GIT binary patch
delta 12697
zcmbQ2{~^CVz?+#xgn@y9gCU{0CUR?V|0X#m1_p071_pKpPKK1^0=<gdoX`+f2IiyP
z9_dG?p3Zw@AkudIaBxKAS)EyGnOq!<p?R$#I~S+~q@~V0(y;tsU(xI@Z`DN>Pdlr!
zdDh#X|3CkX$*KHxWlm7Q<2?#J=U5`Av@HLbkR?<2XPTi?z4wNlSzSsD(*vfRXYriD
zzt8vU*0(b*MDN}u%D_MOgHOej_E!}@H15p*`h4r-1N_3_hr<_ytN1c(XLNHcS>w=Q
z%plje#!s$IIF%>Hn5k>Y3h^^qAI@$SEIihBMI&*6-Rng<S`U5)?7cnl6TA81Jx|W5
z$tk){I+au&aQxNWGu?;kSF9<Ld9?biF+;D2C~K#_+xc5=+^3tRwK+`NXD{tuW;{hb
zzR&AK<B}QET8<^1@e}Tv{hjHW%E6pTTg@^rg;ja>MwIy;Vzms=I4mQd(3Cu75p$c+
zlk^!&9CnLjhn~!dU;piQ8Nbcs?T^Bj?l+gvEiBe;(bnrKe)d3W`SwEF)cTLn0T)@9
zS-7kE<vm@x(<^Xi`p=i!?!G-$nqzyRX}--pwgrh&HH&gzD^#67cX}h!?T_LHJ=b<!
zxT>aeDog#^+oegKE_SCRY&DWIO+wb~{^b-m>zsXJzvr#~J(rly-rePAzT>WnRp;C4
zpXVQ}&2+fk-1gq}t?b)l!d#C}>k7_RNq<-Fw&O}zn0k~>pT^<89ntHhuV1LsIlX26
z?o5lD-w)rMCs^|M*73RDAG0pI)_Yp`O8+;ZUvh7nTXci|gl?GUTw_{&=EL{+G>g6e
z|EN7{J}8fxo-Xj0tqf#jU@(~M$fQ#bN<p;~{Ii)11&+S|ttmGz<juOSFY_w|at}I3
zPYi1bnl_^++d$2G($=rH%_Cc1EuF5YU%B6W@<}5fpUN$pZd84ddzYX8>u#OA_R1yy
zUM4K7?AjO<;nIA#N#-VVr^!jZgC|@H8a7%Oob`O*T9j?DwE4-Cf81T3@1tKj{Jtb#
zztMbAP)q*H?Havb1&)YrKI6T3<HU!Zn@>$_w$9qGD(;Zq(<K^|f1)XIt;GGr+`0{h
zVw)>%-^K_Qw@kA1=Xesj;R<7V#H6XGL<*K|n&bD@iAi{oTGm;a8GYeKlYHi$&AgP8
zJk|f6nE%f=Uw`r{o9KvEzBnYJy<+neb~T@vs|xjRm;PnDwf4!MNWp!9FZN82XR0)R
zZ?^MR>4tB=7O$JU%g;JMCF(Fs;+svMIMh<!cDJ?!>&b?z<s`Pq-MTs7vMqMy$*Hs4
zQnlotKEIp%U3-g^+LEK0SDuKY2Kfu2Fu7nx28M%73=GPkESy@LnVuJK7+*A5$BcjS
zcV>Q3a6C*pnBQa|arFHk(RiD)E}a6CrCF5fTV9F?)#mkR^ocb+Uw+hNPOG9!i^waZ
zMju1@X+ej!iB8#YRLHmY&i7@t$*(heiz7bG(rS~r$6_oM7Qx;2wB9l3N!u*8O(wp{
z>$Nso|D3;DG%`PKa|_GCq<8w)U*Gr~5Sb|bAfn4@VuOSZhr64q?u0WZcwAmG)?_3<
zWW2-KP=8X`ML{Iy^P_im+h1jKs5mE-ihFD~V~V|L@9-^i)9swtPWK1D+#`97C9J>h
zkUrQhZsEsk&DDJ-jBVkTP`+Q)H!k=syCyX;{Mt!r*CNlGolkx}ih3I5y+rGs=eikl
zG}Y6$s~4@8SlG4imDvf=7hNs|!G2Q`d|PYpH>%9e_1a|eefrtEckJZ-WWR>{eOt6F
zX1o2(JN$nNM5-;toVLmveXC<c4eb2(#`}|VSxvx6o3Vqj{9i;)MVO(5tCIo<7$qiw
zC<Q}vgN03T$?Gn-Fxc<iB6wrl)r@HSQq`|3!!OLNdia%*nd5m&$g8S4_dtb4r>T;s
zoVmJ%Cb9NNl?a+|-m*S&)iP#-WxN~-h7vWqGtVb{{<5pdJfTlc>G9{%SGm6qB;FUR
zuM*T~;o`MU`OL=L;JHVOf3MNyKj%f?PSkj;8zIbkRC2+&Ek?1jWxH~`XIxR?-~Uu8
z+cv2A$?B(ZzH%NbCq}L}-76l>>gg+UXYXa%UcGk4Fdw5SB4*Mp#}=1a<Ys+NZ=HOw
zqSt!wpRfSYi*xdGrcRb(51y+dwZ69{<eFqyPyMg68`iJ*zvdKMQnJvS3s&=6?E~-3
z$(WnEG4bw;Acoeh8<+jIG2!T~d=eqLv7_!&=4*q#&H36lFA5m!dg7IukP_zf{p{}p
zw^}!yynM;Ke6`MJtFjQY`*EKqf4Xbk{FY7n&yU;XJetDK56LYJk<-{6vcYzZ%ceyg
zc3Ny7-EZ=>D(c2ta2(^ic<AX(+v%CcU(6ZVkHn%x)nt2iJ5Uuixq&@a7*y3sUd#<h
zXJ=rD;$vWtXW*QyXeK?Gn}Z7+lda*t6Qtcm=UvNMy8NO?mhIbhTh;hZ^>;S@S@Yy;
z6>sOs43)Jls%NXxQr=s&B<6OnNhp+gKj}!zd{(9z^*v|%8?`#RwN?ck?F?y9D*QPi
znJ;F=BZtBz7L4MLwTc?9-!+!%^=!<xy?p<B-LJjZ*Y9>e|HS0&d-D%3Ze`SGm%RJw
zRsSRA=-oRO_G?Vc%FO)obNJ=gMEK9&Uy=Cb$}_*E+X7<O%!rN_<=n0<-gf&i_j$ha
zc6;)@E^qn!CB8aimfY2PiKI1|?tRa%9I%-h-fZT5@a_J+b=KA5f2{u;-*Cae_Hw?(
zT;a3(;`7%%aX8$Q{OynNZ0W_tG7?hEob~40&gaaLeWuVjvu*dPBdjwH<s4u4TXy%w
zH?v+>dMwi0*upx4??~R|Oq07zOANAC8_vAqJ>l3PiPhzt4BQ7T9vMxH`=Hn8SCw@u
zfM2n@(zMh?(xo*kwt8u@sABuh0B<AJ6Yl$%{&TRNIlfZpLubKMo`oJ+i5ZNoE}q91
zh6#Gr&*0WL`leNK&SszMM$%{90@iKJD032N(~DP~vDhKpchd^~DBkj;+3O`YusarM
z%QYG??X8{7!S^|XWzx5gjSAlu3HB^?6TIiRlw*;4zpOQTd7_)nOc&Q1?w=G`P8RH#
zU309Xe39YGiS1mk9)Eewy2Jg8!-Uq@^N07U%xn10DqF9tEAUSIbm({gt#9+3v!*86
zpVP=(esz|>ln1ea%P(B9J)R}@fbnV}qnm}nyN(8}gS(rh)>SN@WqSM5nLN&W&8-}#
zZasOhcae}&;Z<83W`3pqM>$vC{QC7uu7bmRqhHV;8Jix7;JmFJ^V;1DzWJ4E-el&E
z=ra23Tqm|FqUZCa`r6(*$7OzmNVPOi$)0~{%H70Dzj8h?L1~rl%d8eEtFL^Xx`x49
zXgA}tf*E3#Q*JZ&AKs)gg-KA-qUo{=PgM1T+6W=$^Y3S_yI#U1U;LrY<>>C%&o0Ib
zx<6=?hFsXgy~wcpL&T8~(PEL}FW*{sUeP|p{>W!5OMka$_V2LUZ@$;hj-7vPB}>{C
z`(_8hSC7wH_#K;c^2gV{=VjJmlbxA+1v-|^X;k}UC^+NkLf78gFXvBitZ<F!RCk)a
zN7lb(MjuCYt<gmOW0GD^W_YC38cnQx@#u|;`L$;koEP8i*cLALYsK!@dFS78pSHQN
zVtednM<>p3)|?rNHgZlEud5auxl(U?KXcofcZMs2n9Pr@EIuN9oUQcmfhiv)4HhW7
ze=$FrdVrJFWdf^(=UfpNZ&i&0QfZkYY7<&Zeads{bGQ0VKdO0UZkWhxVJ5eYbs8;7
zY_ju?h*(Z)zt;YEYQE^f{_uG-`a7()t7-2SOkeS7`Qz>Jo9~}EAUWq9-<~jS=Jcm4
z>hC``aB=Rdxn67~rusGTOw_W+Cs<#7G5#RpxBh0|)_IM-bKdb@i#jbSvGU?Wo*JLt
zz9kPITRgS8tCN#>>lNRTyoVn+U&h32{JJi;D$}Apxa6SJ2NR}^SC?*Gm3`o+;euE8
z!c9`1dMybP50r6C<9y>$ut80>rikan!Oe;SeDk>L8w)<va7>Gp)l2efn#VYwtKw7c
z0d653U9aQw<(P~9%oMnC;?L$wHJKZyx1LXo;+_6^!|5mNZd<qce5?HNhh<6V|E8v`
z&PwlXY6_VqeQ{;w4|NT){2{dXXHM$uW_@jyQp=jw#IW24^D-?<HpV6`@)w=exc<`e
z{w&t3*G$eFx?V4_>`I!`53BB~Rl0pk_kQ4YdtScbyNs34tbNy)efQeUb3dhotF1in
z`nJB#=)3FAAKWe>cGX1q%iRyTZJ(FiTR8LoZ7t^ei8D$%G!CWyVptt~s_ue;Rn7E=
zC3E-j{Zid=$RK~$>)O+q>sIJ*;)zkc9J>1QnZw^hHfESkyF2;whuZo!<8P~;m;W+Z
z>~0)*JM?c@_hJ4OAz4>fd-JAOiq)N%FnjK@{kOhW@7sB;aOI)R1-eOhCp`V2Y^3I2
z_u;4!w|&~9Wj*328s;1L@c%mg>)Xk?MQ4NzrZ%0v9>068FT?7C@80RxPk!?GkL$7c
zv`0V19*0ZTH|{_5Lto<l@%ZFF8t3ajYWM6v^<#0WF6(r?`vS)}8G5r**DhMgxH`kr
zcH!Q)%8XLJbDQk<{Mlu&l%uTv+x7X&g9_e;Kk;s#UBB<wdd-K<?RTT?|6UeJ7kR<x
zW|kQ%%gT8!Z3oY^v=sImOwoRJ^D=@hcI*3A-P-%__<i-M%V+Dy?wt6?e%_WD%U^Gt
zaj*W@^Q8*QX73mE`@em;%ahI@A~E&O(=1f)OfnU<<_Psl%_%Qh#L*>ubmE*f$7JX5
z_!KH79PQ*tp7Vn_vSPuNf1j4=*fg5h@;+TWN93n;)}&8cn2frLv>24m6j=obS58r!
z(7}0Hl2M4;<gN7d45o=+wYL}bzr6Zj`qZt3X`lP#>w~wpZvSg&>K(i2t+4&HpbyWs
z#@|16azlOj?@&XpsbB8Axby9t^6~i=KbF{kT5S`#PydmasCn!@`$t(_-c#TA?l|1E
z`eVt@#*Womwi@?^3)@AeYrZ!xDR8%Te*fsFV*0Lmla@#IZ*H1*S8DFIw&-Xtma0is
zj}+4XLt9onu&$_EcFf($_58ZvN_#!OXniucDV3CD@cZQ^7du{m+ror*Vz&Es<#`)?
z+!nQyJ;?Wj1D}8Ksiz+%tZx-Ex~#T2?flt*2jTnVS9LJuKE9Q_VNZ%?xF6rv-|V8D
z*F0iE9TnMg8t&SjzAKv5eEify)%xHqwjKRe`I|r3zZP70=1CUYv+BS7ewLps&OMGP
zs~3FCtMQQKQFEGl@~fxpa{cVG`3;AshrDRJJpI?Rt*>72{Q7$I?bBVVFGalVJC7x0
z7Hq1w`#eGHrK;nG`plIZLe5OGk7K(NCa~1gdHGE4Hx^Ew6=E0P*7i0(3bWXyBh71j
z&+vgqVp03=&udP)hnL^?3;ue*N7vGB;;N}1!wg>3AFr+X7CJfp-a-DCzyH2?=X$6l
zJI=hOGeBNr`{tcb+k1Fo@4N}~c6fWybbHyQhuPQNFKlC%wqH2$lb`+*wU=H`e<*M_
zn-p00B_Dh3#j-%>$lTzG4d<+vhqKLNS8JZvdW^yBps;$}_9Ab2x1L{fjO>=~zGU{U
zEiWgKTSof)XX$#Am^jrett7ktx``_P84OK#&ioa<Kv`SuTXuv-X}fCtJ+BC*tZ(}n
z&a>_0K4sAua8$ilcb3`i{%t=(-kqQ5a{ArGTE7W1YdvQdI0siRe{pZ(9(xV{Ny&_d
z*gcv0PNlBV5%SRbQGG&D$ac=rb0?Oa_e;MPpX8kGUNMEO{Mn!8ddpAlcg4>ffBqtG
z-T8~w+?Nj~FAh2^zK1`qEI9k1nbF4sf2LXorak<YKjrYbx<lVS&hI)<XJ0p?;pIxZ
z)%&WG6=H5!Up)1K|I&-+m!3!#|N9YepX2q>+0$89T<kje;?8V$?LEi0_ck31cRRi@
z*o|$AUiX_k{v$$n`W){qy8Xs`e!Z}a=X#bs8}oOGb(LI-lE30t)2rObwOeG?;!gs<
zwrj3>bY=Txrb`@bH~IN8qklYoajfjjUd=x)AAYFzm@YWK;m?Vyf%~@JO_9CHb)9p2
z!mG|H{Bf1H-LCxmk}~DXj5u>=8PAQIBkeBEw0ZyL(t^G!lXFesfy<5=MalYv8m%$$
zsqf>Oo&7YLmx;M*`BH&nmV)OLRipOoj&fIuVbV+Wt#Q;%&0HyMdZRqqeYea?-3PrV
zoR<9&+u9=1byOw!j)=dBtJH-C!FsJN>h0M_tIL;1OT7H4mdRgqs`Ensit9Ib9m`ww
z+VGmm`fQu7ofQc&2Ere=m<YUE$sT9wcl}=L(_8iaElXA@J1HrwKT@cm<b8fhjPspm
z0UT~ITD2PbXJ&q?tCm?B5Y3it+w*pcwH!n16%D3O3)=34{C*_eG$ZmrP?RD|k$=Cc
z-uJNQa-WK8bI)FJ%>O>CVdbAvgChI3xCd|Re|^j~><MWwzj4R)Lw2P0bL~!jzTbCB
z{8$Q~+HhT~pOj(uDDcLcn~CTDFL_>mV@vXZ2pv87V?TupoD41=TI~5Dw8Tbs;yU*`
zj6VZED9&olNYV;v$=x|~W80_Vz?E({`kwsTRmlHs#j}r&A?uc>r5$wBd|79zTCy%s
z>pbuAn5Ru&zaKId_pW5;@t-vD+){tNtb=y>2d5;g-6^nr(Khq?Z72STF?e$o*-x9L
zvH6mVq1P!#-XD`b_IlSnUZr&PwYBRrrl4P$Uyl0)ym+>>Y>tyTYgN{}R865BO79-`
zUEIi}d{6ZD%*V{q*2||Q?3P{oicMmIO7ha92Q|*L8?dsuo9Qp>TQ`$YbrZ8$^z)8c
z5?mYda-(YMzw5Xyd3gHyxz_rA`<@RwzD(7vd$yR#q(4x-*!VDu<|D&-Q)<_6RlN0H
zY$s#YcjCkBw;>5UQj2+KSk%m0@ptkOb)N<&bs6K#pcPFoy!qd`t<>MWjOEglZ_yle
z4&k=a>2J^8(mWvK@>4JFcDY%N7(=tFij7j`#LdFF5n)p{G|V{TTE9EoSXFjfy{__f
zccU)(uPuq2wR`zk!#&&+c(T~d|Lde?Pg$q%e#(B^#2Z>4uDhFte9-UV$lNgh8TY;a
zqT6jB&bp>HQA}ffK}f*<*?;Xa#QPZ?I<8jx-EVurswJ=aW(l9;%I$&D^_x2PT{kaD
zF}mZl;_mIUpZ>1c%OUrnF0-_v>%fPLHhJ}Rw%scWkKajpGVN%$#GHSR)^|M1{c>{m
zq?)qBd>p?O6sJ#+@td=Bm)*wC&w^AwOJ6=wZN)8<UhzNdp~J1@s!h?KGv9m_-+JQd
z)jxk;9Fp7UU}rhy`d(4b>nEh97G+oXM0hfN+{;iRIg{`9*0u7}gIdpMT*(T#*^*jn
zv{r#*R!RMyhQQEY`A6NlwI>B#2%m7F^Nzt8hN(HcS5lTtv-__3@6zY`b=HcH7*y@&
z*C?LaXH}WA#_eav!6grMOkT;|_C9rczQ5jLi&tl!YkWI5M@2HuV1csr<`eIPGg{u6
zNBJvVSGlTl^G~0yy84pWG7b613tr{Vso9vaePg=A;Y(|xUe@czZ1VSaTO1U?5xOeQ
zQExqqUiUJl64OWg7Im-YN61Jk72I;oFicUMD>XlK`_kpbCD-FZ`;Vnuo>={*=hAA)
zYPshz65m}DH#qs)zvGlwKflPdPV9Z++h1<yW=iTjn_;y|X~FF8Q$Mh2@hLD=S^N;c
zwB{J&#u?$)9`ILv*w(nDe!nAUgGRwFmJ9c?_kY+feB#5^m%Jf-@vnUMP3H`}x@zMk
zy<MRRJ;{O}pG<kyYNz>q(Z=59)QmH;A6DNCiv0TdnB#**f9KUs_tM*WRZ-Xbl#pz^
z9`^!?s>JSdTb5@mJ9{ZOC!44HhL5<&^aFpl9;&*vmeF7Iuy56)wSDW49aQ0~|GqZO
zpy2rVN8Ha^8`KsRSYKmNJ74y#<XXX@?_yyM6Lwtwe#iYw)|6VmAjQX<-YU26x@feO
zW3_nizoo0^9uTqZdJuV7wmj2u`zwy9fNcsPGXqz|sfu5^t@QZ5Ec55>#kzlviPl?B
z>*C5(E?%T`^~9_%fxovNDLOVu_O6R~@7+uF?T&BGJ-*_ce7@gbKsm$ge5vIoo9tc@
zFSc@ij<n_TAD#>?%i%a*_FP}jk3)6Bq2_p|w$IH!*0)q}&73OcdMwJVwl_HX;wq-=
z@2^ZqYWG>xFm1O)OmnhcIiK6yJDeUXCd4bgUU+9>o59`+4lc0<;mJMWdcr(~9+`Dp
zPyTjvuKy^o?80o9|K_dRe2Szyj^11Ns;s|m!LI`*`)x0NVBXLbx+eU0>?T+7pp*;F
z_vQ5uCa0TiiOsqHd4~(5;+$i?PJP<1_AtM#@Lp!C<9ngd<J_(-#;?jAd{;bdS|zQi
z8nI%PQ`XV>qGu(OruTg3I`aOl=Gxb5-p*DxEN>GsVZX7o{#@?*<;Qzht`&MP|65lW
z_wlPz9b1hjDz>cd6ZttoZDO>w+4EOg(|U85tp5A(AKZ22@Fj=E+bTRGckZzd;Zu+@
zOqUQno5EgwH*I6)#MylB7yX-cuva&s%ypyUv*RH)2UnTwWa+K1IvZ!XY@*Bcp3vx>
zD-5J2ObixQ4OS1fS;|!Jv>?~~kWFYbd-`<4Gt5~`t+$M#WqumWe=RC;^4`pLi|pNE
zu^(JmTB;RaE_k^qe1_Z1nT|(RY>w_rdHdnL-#f#HLVa=)cduE$R;%xsP-FY`$c58h
z%_Vo(r`L8rTi%?`R=Q-V-*wZ4r**tnAHS4dZR-3qWhdVk`HlYPQrGW%XIlUD{NpR@
z(ioo0nmkEV-)3rCwBk#$fApWo(>Hu{6~oJ}Ph96w9{bkn!)ysj{#k;TvLt2||N6Bq
zF~+#IrDVBBOzq80UR-s&rw?0Be7R7+lg%qjkJZqkWNDmC@!|VdMZX5NNHOlc>D?`o
zBGLK!)e6t350(9<&Fj8B?0K@y=1S)G`m76Fo6o<?&q$V-Q|Eu;W+0<opF`D#q+?t8
z(w-*eCms0WwP|`$ZOIFcVi$JP_eZKGa>#VtQWt-4I6$StN6D;<_vN|G$CYlhHYA<a
zSidj9V~3N}Mc-da4Z0t1_#RO><Xe2+>Yd2CKQU%){QXsm+r&9V^f{Evy*5@pDu}9+
zF;3F&_i>)+^KIp#iylV7A=7_euB_BbNew=9b!pWRE)j#wQu#AR`X456utvYA@-Q`M
zxcPva$+7zD6>in%(#a{_yB6Ma`Wo__U7ca0L2BoPs^_rbIR@~|)6%>9KTYnJ)&q~f
zX>8U1n=m0xHJag8YJ{-PhE?n2HkcYJ@tk~;*120g@LG71vG7*^A9VtsmtJTQDQsHa
zoOfIEujbv$^DWdFRTz~S@BVwU@VLTB$tzPMXIy>oe&r3(YY$?-&wet`c2D84nd`qK
zTg}(0Y(4gR&z_rg-tXRZzjv&7%y(^G_s-t>@C@JNf|P>=CvzRRCJHu+m|2I{@bc%G
zSokR4dvE^t<36{#UM96FiM81#TrT{JU8VZlIFH!>{kY=T_W6y=)qDT`y;d>%Sot1b
z<s#o>RrQmcwTm3*INiQKQLKlf@%0CG=f(%3GdK<g+3s-G^C@n0TmNp}`XA}ZjvwCq
zeD`6wL;Vx$`sI&vdpxvUShW`RsPx<TF(-DMu%30T;+F8j=$FAI?O!D3dTHFfe6j4Q
zb%po4?myMZvXg2))^6KopLy_wO;r2kxD}5q?>5^VYT%2l`u1VD{FH-tyx(zGJgn`#
zmU+84{PVA4vrT_gpEI6Ot$CFtra@?8_0gAR)`3$OU0I@1FRJNrbLAz?WU=>KulY^&
zlUzG@S>~#(VP2YZi~TeouGQd5N(wZLtJuu-*e<!h@kvj|fd<ab6Z&`Jzis1wuzBm{
z5bdSKUXqVhWUo2#IBki!v9z*Qvggcez0bQ|*PY(OXUq0?+Sb3d*8k7lQ#-2hbk3A(
zR=$RZtP@q0+P_D2?F*^DC*ij}bZYDjl^ySup6^?IVaqj@mkR=R)$y{p3Pz|X75%k*
z;(4w^E@C2gk?xzWI~+Pf4;v11@8f@FoiF^T;^?*aAGfp%`Z{oWJrR%Vs&_xVUiIwj
z(--4BiX0Qd!y-joH*VrGTBy-sp*`0qNqmpW<flS~<=bP_rgf)O_gbEmuCK{Hue|gB
zveYl{dsNH4pLRv)<kVYC*u~WDz0s&cy<nGak!#Adqg53t{U^7sU{~3!bE8zT#n|uC
zEs51zE~}`{&6-;r=A}8c<XqI^IX#+(G?KSW;drf@yXC_n?^vZNmq0<9yeCF)dRN@G
zRa#v|PkUFc*kc&zmAQ87WfR}6*Xq4AmlmJXTsq~+?DtE%J}M=2mAdtPb6WrS@43sB
zUF(Amc~6;awQ7$cQ_7s2J(s+8J5IQGD|6CTH?OC20=+U6r$}Yb`}^|Uxr+PDX**qH
z*0CzBwBX7SkTE{yz0&krhON$t#~&*q__>)H9TcQltR7l3s(#oz;godoN$FtO;x4|`
z^_97{HXFD%Zn|BNI*FC}R%Tc5+D$=LFXxnaMXI*`?)&X$zwEQDX3_n^`-S&i-`yz}
z;c9hS7*nyjOOX3mlVF3@3bxO=981&8yVjbX4~=D>l%w=OtYSxk_~e+WXPS0QI&s*k
ztEPVc#RT^A9(!KT`*c6z&gLKaKfk8iMH}o}|HGtSGJUP$1G)4M=g!AYer@TSU36mg
ziZ@CtV{Wi+TxGpeOZ!pPiw9oKDn+ScEQhnV^s8kH^89+U)!ni6#DNd8yr=i<yI*Uu
z{_ueXwtDt0?D1VJZF_4i{QYfy1SL#5{i))Cf@0#N14V1O(gMSu$Su%eKP7C$S*onC
z#q3z-q)pc>HS3p_oQqmICuz&{(@R%<n{L=zc<ANK>yp+vu9h+v+$t5E99wrBxVa}U
z`o)$t2k$!_zb&F+^v<A_r78Bhy7-Z;DPgR;Z4}@2ms{Q~dzjncJpFPm@9}lVo0sfj
zSDK>k!1^NW=|h8$EHAiZ*10D3pXu_@VQdy&;gs#EX{@BAq~yspd9#9GJ>O#sp~D(2
zjuI`7JW9s|Tv(1tBy}kI=;m5?zIIEn=H96J%TM~KTGEO&cByGfx&PNOow3N#+3a_v
z#j@OKlJEL2Gn#i9u6VjnO*!uOm$liJlNUdfZ<Cv*y7Z&y?qxk4p+U*trQ_Euw0p5I
zZ-11;!ehS4wpX_Fx9u$a%ll>fX|;Mo+W;ru-<3Tpwi~N#|4{M7(*L#Jl{J-FGbGo3
z&#Gq7)PDTMwAdnN#kTb9Snsu=mll5iA~MV0#pp`wOX<l%dL9SACcSF+<TzbyP^$Pn
zS){AWbJ~Iqsabtux63&Hd<#90XCJ#>#=^g1iq&^%_6JHSZ)9I?e|~Is=yFk&0-28D
z`eXBF<<IGR_H0j-N6-KET?OW>+pY>eJz8?cw_{OU<-Gl!7qm3B7?x@oWrPW-to82o
zFy~eHqA;f~MTx5}bwl*-P(hXRiYB(ZmcOaUxVS!JZ(7WwDO*;b++pnfz<SLz#S{i<
zyJk6G<4A!U3Q<~;$*aXo)nmN8?@!77_v~JthfaO%W;MCU7Z=awo@RMlVeI$M)HrG_
z<JBVv53GCsr#SfV1_nRY8!GD)H@vT07aut7!%D^}XS4;r{F<3*`r5(whg`OdoB8`6
zy}UQS9Xt5RWzVCwBXx!Xxj+4VrhL?R6K!#4Rx2xq%tp6~%vUUTvX>ggMn7-bvF4Z0
z(M(RCApgb*7WI*NG6${2H2J3fIWomPN$pE$#HOp?J{e7wKGnuoE`9pLV#7`S&RXr4
z=B@m0^V#P8WbVe-O0%bQTnyLjRhPMTDJpm^ulfx48isS5s#n?Qyv}|6{=&+@LNl|C
z3x4V8p4_^)vnlB^kHY>fZ#Ic76I>}PbCmna&VWsGZ4+W@DmRpi*FO}=O6*^2qT{l{
zWY_wRw{6q3ita9adMc*;${w#Ps#@XQMXl#T7nhxPytrgbt>F6w?~>-)`|zgS$amUs
zyKB{k-S=a2w!IOmW;Xhr*s2<ThDB7)s?2ZQbb(}_-D#T?)N?jpef`ls+^phOs^&78
zP<~&Lu<Y{%j~D{iWHTuUEs&^xXzj(xyhkB_>Jf>B#y8wwo|87%Z7gylqq}I48>gwP
zz~ddJyuHabO@~gM^fZ2!UiHYLobAH8#SdiJxTY+SKW(JH`v=#}WyfX|ef<B#(f9D<
z30n^Qb6a(>;*N^$i~F7>R}Xmb=v?`DX5Jq5jX6e|v)|?|4WF^~$h%|n+{7Ok?mAvC
zk}9{Dzo&T3&RmIAuRN?@srgye%WnK6UT0#qC&A!26K7Rk<?|<(i%+J+#A^5`Kk;>A
ziVdHcw}1AIx?{VJd%H1R&+GFyf6U+LUHaBP%dzX&i|zfFf)2A^b!UC-drc$XVCn7C
zO`m5f_%As8<O!G11ex~=2hTj^67tgvS9l<DzFubcGauP?FL$1JUc&h7#MP5e<KNv3
zxbAJXVd~7IQd`m+iz_3R_HHz4uvt-Z`Qy9o|NmZpe4$aKrP(>xJpJMmy)D@cTjwu!
zFpA%I&1i9L^x=+gTcxs7?7R56M4tPbFe};^#QFd8I{QT4^H9p4AEpW`k`(S*#vNE>
z)HYFU!fnr_dbu}CYTgATscN>>P4*2FiN5Bn(NS~z={tGREt~)CSa|2JJL}W;@}eU9
zU;a>?*-^Ngf%9SQzPaKF9G$a5-iPOkOfc?D^g8(ehgMqB*@UYP_MOOlv}eMNp#OZY
z^onNm-#Pmx?&hl7`-Nho{LLz)ltW}EEWauLT})@6{C&T#&l8{2uX<+LV`&rayE1L@
z9cIn>uf42#i{#goY}{7*-Fs0h@9F3=UC#$qs}?0kS){c;mEV6>?R~p<?3L6B^Je@N
zi=VpkC{yO*YsxDY8y%XXb?8^9u=@eMe`=SyWLDlTY`nk`V8Q2}G~sb$A;-l75yIJj
z92%8m%O@LG{7G=+e%3Aa)UW;~`vbqf+ta_XM_n&e%)9hE`SpcJtL08StO~|X&ht(<
zR8NUr`S6ONwN%1lZ;c4A+-8AOI+HA>F^7Mez<u@0B$-J8%8#OD>+ANNakt&4w?kv$
zWuL@zE{0<FC&-Jv6<g!%SsVD|qVkSYGZI<9R;;?!tM*gC#*N!@>cqQF7Dh35>sOqS
zVfl9Ge%a-l0aY(9q<=MDaY2x2_sLR4f6J)6P@9!!Gfr)0_{Fg+rp3+T!jjBL=f`VL
zKEG1iQlppQ8CYE8nU?S?aMhDN&i{Cg;&quH@L3vs|9A5-pRT`XaChwKpT!63`E{4x
zbyA$QE{V%{?t80q2a~6sSZo#mT?@tvUJN$bM%@HF_+G3Y#|xS<Xa3lIZyzfI!@kM)
z)HM<9bV-q=x(vVE7w|b5<j!F}Ai&Pt+*4>^pwOku%Ch@ivGSxfVp3OAqkR;Y8j0I*
z{3-u<zmNU-#r0N6H7;-7z4K#!_rYg&z~#`jC#P<SyvcBr;TnSkkLnM`Y-#Bkt)7{Q
z279JWI^KBm(vyf8Tnl_z?_WsMnKQq6Z~X%PbsLY0J-n#5I7@JU&PQ(B$z{uBPcgl!
zF;e_wy>w0R(*hpdZLIE*b_;oyDH}`rxGz2!@vZ3d>V%9!@o2TzSAT0{Kl9W*vne$^
zEz&B`^vI!kTW@+bUR|vmc5J@yw$1-G&lUZ|tMjen@&9Gd_wIUW^n+14gF{*4NAR{Q
zjkD$2>z6S{SaMY{KAkkZ=JFS{?;*4PdHFOg>zZ%&f<sH=-r{?!=j6y;n9lmx?o{(v
zGYMahxZM+t{e7kT&vqmyY&1_uIA85oCA??;!Cj$m1#>UtJ&_iZZeFYtyG)gP@{gU;
zQ8|tItGs?JJG#!N!-V(QVZo2O-D?kKvR~@i!1HAKDo^$L&cL6$m*?)@(I!^ybZpY<
z@B0N<9$pvQt#okZgO36y`P7aGK8h5xcJ`cg;MdhPxiTt(9r2Mlg6@%S(n9R4$5%u>
z*2vjtajJI5vZHK;w<`ZM9hFOUGrD5<X@Z?(PmS&z|F1<i4sTp#E1vVDsn=pj-_-jh
z>Ho4Hu21sm_Pr!{$fJG&-=y@Ejh-*&ip;5W?$%wzHkCd5<mGOz*5u1`8FzU-yq>!A
zpU?{yz60{1#^1MJVvId7Tk_9)efFvcQ48*FKlSqQhNhQ)ZuhG79$0_s|7uAdXQrx0
z4_Ne>EXw6m9u{3<3=7ENd@*s8%u-M31sx7f*YjRHuguM?lC?Om^J-pzUVUT^Pc&Pb
z=d6#emot_JmU^`E2XwHWU-i!7t)7lq=kq2FX6H!1`A^N(h5l7MRJ7Ol@uj56KJ~ii
zFC-;fyftxIm%35ErbfBj_Y?QJiASgTS?iRsMHj!?%zk{^4aGTS@-z9>4<DRh@=4gD
zTJhfmk;WE|62?=ZmglZFy|{mV$E<(#O-uB@M3gjN{?G2QWTr`z-es+#+<D*q=Lcyl
z5^>)D_|u<)zj7umd}=AHR2k>{KCgSr@};G2RoSO%omowLwLk6o`H-<zVatO%OVW-v
ze^q-s|8US=%Vet=6WCu@Za&O?i!t1H+GP3q6{ka4s>Q2~3*IR0I#y+)e<$U2rHy6x
zGK>0Wug?DW?Cw+7c&Hhyskw3L@^6#BE^3O+nSM6p(9d0)RvuicHMQpX%SAySGt~99
zq+VQ~bm}kX=^RHPPN7ZW=O!&@Y&f~1P}Ye<QA*R3i)+%Mf&?bPnF}{n2^|Q`<ZDrw
zpeSJ2CE&s#DB#SZB*N)ADd1)I!9{;NzWjSo9oJ$1qW<1;=hGc^LMcv1*`@OL&J8#w
zep&2;?zJa&QG3mnZeDm#<?<!(hFF2ZhErcT^AD%D?o`rv+x+CMc&7WMKBu6v?j>6l
zR8&*0YAy(2`CWR6r&YG|x{j!YgOy#kn^oL|LMDSwdm)jPj0b(UsCR1}^n9)NOxw*>
zK(s}Hng5K^#Q6GNfg^j@bepY`^nLJI?${cwB~eMCUzjFkGPs}E&FJLobena@>6EtM
zR{|x%m(CZf--t8Xnayz1%lTUO2Zv*i7<FtaSdadS3KrlycSR*u;!!AXjY}U>GoN#F
z(TaCWUlLxVnD=lbOm|jmU9~5x&!g|gF>fW^jt=J6HWx11>q&g7k8up<J@)I8_WjRM
zo1JzfpKH?VIQIB`-W)DBv)|8!`7Q|0zWU_iXHL`W%hM12p8Q9U<@461Z=D&6r9Wlx
zW&bK*>1j$+xxQ!DrQ<Slel262c4&%4%)Bp)W^P_+d+AV(@%PtuA!f5;HRDcLd22Q6
zmlkqVYA#zpwfXUe>8y+6&VKRBnsne(UdLyJgowr4W*ob2Ra^7XYC?kIM8%tH4JNiO
zDLM2|+EL`=QuQOe#h(NDHB38O!cQociXAj-m|US8^=iqf?N>j$eE7d^uTuwmICSn6
zHuZYwhJ8vQ69dC;Rt5$o@X{!$$#2ZK>!FK)d#A+u-!>3wd;e3^eX3fc%f4L#5%0O~
zCJ2>W2s7YZc%s)|x;eRgoA8T(|G(cXS-~3SRU~-yx#j!H+CLxnFn`PBEl``<I6p}#
z)RAZMqN|P1t-_A`Kgn5l!^FI-;w$sT?Te3Ox4$*}lQySwdF|>6KQBC$VdJ}C;LuyY
z%IVc%t<$PI)=2EyqNL=^C9V@JR28w2=PSF`+P_nmPn;sNF7V~C+}E>0TfA1(sK0fu
zlP+7kT;*Q#<4J{F?14h^s_X`*yd@s-JYZO~<Fo0@E&UG`nHOz)RQgxW@I{I2{pq3+
zI-5Qus`uR6m>zU}?a8NC7MLyYQk*fvNvJwGZ9%;Vzmr|p*B;?W5to4JOI|%&E@C>n
zYYNAq=d&_DXk1P?W4QFl1kUOGnp&!&74=KJN;5BCt>Il&J>5<yRQ%h!ts);}o@_dh
z@KS3>$S1j8KEITeCVzI=yk&cyqO<t%f|s!e?%uEX`SGnv$%YcqrCiImdAM23Op>}4
ze!lhOWsMJSZ`JFw?$O{oe587%`{u|WjSY*Al$(jK-xc?GovyTS{Nub^eD$aJJgs@o
zRvSvpdK$zxH`V%^c;@P5V!VHt;!ZO1%sv<Or>vAAE5qdr^B2!RZ_~~BHtVLYIT&wT
z-n8YgO_#8mz?=3dcS@|bJIx9?Z;&k9_Jwbc)^eM>UWOAjbpj;n4_$T&VzHdLNB4Fz
zm+@h(HQM`o`MeS%8h5h_SDj~kUAv}p_P>I1$!ck-T)w+=a(Q~q+S$VI{^y-vWT|%N
zo@g2O{Nz@dU-!!MU);@UcJFySz5hY6d#zu2YhI}Avv;@t%y}cVJ9K-zSaL|&#Dh<`
zIM;@o<b7LHEmm&b4O*wp#R6ZbKDpe$7}Pe|yw;$P3EVh=uUwz(VXSBZTHy-90p5&E
zBFu1Ykr!a=k(n466c~6JK!A~ffniCb57Xp}W-^m^8gt{+jJmQGS@RQSkY=solF}r-
zvW%3R&=5}OdiidTbaXd>*Nobkh%q&?f^<0*Bo-y+LRQ)1(Z!Guq#VS+z!1y8z@UNT
z^0$o<>>y(%Uoa7t1$h~S(X9lpb(~}>%Jh?ca-pe=ZE;3oK`LHHf>vLg<z`?w!Og%R
z1GNqmSW6n0O)fB1kpbBP!U&s?hH*ETiZN}I2H9kjnv#asp1|X#i-Q>$82T6(804V#
zKq4eV9b~{{8#7KU5dyIc-XLXVV337shB%Tz1FZSKI;%OJFhworkRy~yZ}LSmO>vM9
zK^Wmn4$xrrWI=OjracBAD?-XKJjluhasv+o4}&%f14E-R1A`uetBIvYP-tYav7x_T
mUWliwS7>5xmQ#3TfOn2zSb?u!Ubd;5V|rvxRb+X7u`K{TpFQ9J

delta 12680
zcmey6KQF&Nz?+#xgn@y9gW;@ZUSuz)T-YB*1_pjM1_pKpPKK1^0=<gdoX`+f24>|-
z)AXZLy!&Sxh_qfmyM9B&*6zfHnG@2cq_E8JTv6fTAQv0SXVGbW#LecCPkrslBNsJR
zg}hjGySUFjUOu++ON~{^1COprMP}1NA}7vM-#c-u`pNA2bDFCUe0r5Ri^b+th2b=j
z%uBybjKur6C+`2?W6fe`H(}$IaJF9sE4;s_|4)6m>xHe#oeb79v(hvL3=c>~Ocbg3
z!J8o!u;0%1h5spm3In!Ti)`ZzJ{vS}Ym4=$+CG}n{P^bd&<~OIdv0HN)!p%Ek<iXy
zqaRCGaQSYUyX@=xkns95E(M|DDoWSyv^T8bnXc-q=XgKvc<^m^Z|feO@Rq6F;-6zU
zG&NuLDT<1wv<FRk!1JCXXaa{*)FWTFo?Vap60Y6Oy}Z<7Wt@;>ENgW4=B_#GggK8N
z_B<F{q;hj@UYboMZ?>6gkI0U!SGzZ+7tDK@b-MfT+cU3sKDSECXu027e~;rt)3g<>
zX)H(bf9_1MF1eG?wWuP|EBCxhYH0qG<joQ`y)S=DG_!BLFaP4#1OHDvyZ*P_T^?!v
z=?({P{@a~zAIOORP%yXtKmTBMhT?Jeum{J_F53E7)A?ko_mkjRCA*bpNfz%G)tz2F
zXL8<=t@rz^H|FU`Tj{?wnUuHtq0D_xuG@uER9(&EIC{%sCO*pBk{|eBv7W~jg{ShW
z?;{>@mA&}zJl;y?Zhg(y$#L(^QB%vem+}k!85tP#m>3uoCLiRNsgEx%DNWKVgQu6;
z3I5qkh5|?5|JIb77qVux(qDBsm*@`{v@Uf8oD!N`$a^fsb5q#<+R33S;;JM+P5NGK
zJk#Xp4H0$i&uiVkzx?=b-SwAWH%#(+mCPM{_O^s(tE-8H&WVY(6Ef6ano7*%_+}`?
zzRZ$yk7pjQTFHbt^>^wW4PPc}%Vxy?5dP*irR#(8U$NGWa!osurd4K|m>Jm=hFKLA
zsK4F*gy}_Z;6|rcypaZLL?2tPIV&igY&bXk@;OmMca0gJTO97Oc*_>FsYb5!;Y;^A
z{ql~Uih-imqDyBQUsk9ppS*JPj^8WaHJd)>e4ONaKR#VzQJUs?C-M4a5q@iz+8880
zTJ`H~9`9MVqMxfc=AS6Nc6Qy{NcGoOcHY{$;oGmxs~)fNiwaPYYGz4%vFHnj+LE)Y
zOIw1~WW&|oBy{M_dO6>+?e2<?y|Y|Wz2u)>KV1CWdW)3GlB1PZo`|DHz>>#Dt^_hN
zFzjbyU{Ge@WSD$}UvjcE3%@8RuhvdFnBQa|arFHk(RiD)E*%n+?OBxSS?;&H%$HW~
zTHN~KXXeB6%Qj2Ow1~ViYV_I2FfHiNHqj{?jtcqq+I;x4Z{yc3$1HU!eMO!5@|z@i
zwb!s7{<L2~v&h+(Idayrjp0*o%xyY<w`-00`beik1%~|7UYEY9T(M>YZ-I{MLJtof
zu@>dUlf*ohrEo3!!eF;$;|GR!EC=eJa4HFKt*b07pCA3zlx33QhF#oCqNN+xy^&Y=
zZE`br+qxsl1%DT>Y18bPTXm=R!C`Kh<!!R9u4&rM0k^c+|Jvqcs0U~Fp3r{%q*rO@
zk~c0zwS{Yo)~W`Hepd<iIX7kU=G($M!+Qc;<4dKVaFw_!?a);B+OX`<zV{B3&YG#-
zwElhiSzg|J{^fnYwAHHvgV)`jpCi|@_{Qmt0;~S^Z2ZoR8o+H$3!8cw85lYk85op7
zDSYw{R{nZW=rVRNmj8>$sR%Q)aCK4u0i(o35T#&fZqVP&q?Gxb=k8`Bfo<CpDw>{J
z{AvC2a&bP#?Wtz-@`|_0g<n(7x)tXA%y&WZ8l!C$v-s5I({pwQ=6`Zo=<=TX!>v!>
zqPA|dZc@|X$!33Xq%*qOe*XuLL;t+=4nFwwtiCZuHsk#r*<P2}s>AMITsx$Dx9F9J
zRXWdfH<=^OGVQ#n$Qy5-KQhzZDqE8`GW2%Fh6$FOs9E-^!tS##ThoR1XH1OD3Euzi
zt(?l{{U)UCeeAW>qSj6~WiD`@kNkB(^SrRvMwT3JzZY9iO!*tw?Uo;r+*ADN%;W`5
ziid5dKTfDOc5a?Er!GCDENHb+)W+HCTkY}=1td?FzS%G7=2G!T>D9uA>GBT*Ua#3D
z^kT(yhN(e+vmN;iU)+3kQR3z*b}wz8m%(4&8_d<1nY!fdL4~)*Y03g&@;%&&JQFU8
zA5QoYac0i4?UPP!I`mN}!2haFNaDw{^ENCk_g>r^_xEyM!E#0QZFA)Anjg6v{`^q$
zc2(=iYpc&LL5a7?sqA*3`fBnr_E=6(z4lr(Vt>eF9u9qQ+_i@LPLK{4oVWa{*V>C7
zQeWS!+p5NQs=u@G&zdJ+t9Uz4W|%~AdP`NNrM$OlaopxwmRKnBe$tT^d1gi)l?G2H
z!;_7tT35vsTCzWy;H)4MbhJ~Oz3UN2OpskcL*4tc8769>g=^D){oWP7|6SeRd(yUn
z0aNPtwz^;6zO8=yIosH`{qt8<&0hTZaaQpbYb)DZlJ}#x%GuX{JajF2_U@~{f^v6e
z<>iO7-dPzZ@xDjj#?r3(|Gq7YG=9I_Uvyu`HtYYj9cwh)`^1+d%+vB(c-E-k^L+EX
zXZg+l-Tq5Vo3$*T&3Ak8p?B=O)oU5Jp2chypL0_tckboJg=QiJTk4C`O3GpyM4rvM
z=djA#p!sLtWezL9%kO4MyLv3v+R&gngZJvZw`RHPStQR`2Q5?NW!@AqE8(XZ!v>L4
zhSR&>9@@^Rb@ODVvUQ7%*wZV9O9e$|md@SU7uv=orlfsPBA}<X^`D?ugijFLi{OV|
zvQ9J4EV|&t)hX1cyxK@2f<v?Z<eOH@m<>MXjb@h_>s)s+wO`uhB(Bdarg5RsQ(5Y8
z*yZTYw_i)WaTK^S%YQ+W!}|k@PVKH&oP2-(m>^so$eo%P*w!DQdFaK-<l~wLa%VjD
zRtu2)Hqnm9(ZK4CpPld#_rTfPG@L}Qdj9Gax^u8v;mn~-k%xXk{s(s->JwBJsDJnD
z^i>YOt#k8&BSI7G&w1u9zd9>O;={%r!5OdSW#3fY;a(QgA;{-rrL=_SM@7V8)BjUq
z%XRL*k@~=?b2Q+}GKTq2raow6e*Zz{PIr||`sQ~gW^C0D>`a8_s{CZ#A#a#?CrfZI
z2mgaVYo9r7W}kMU!<bvCPA)6L@6(~$xp&m-WmrO_TAHV1&%Y#cH}Pj)IpZ|J*-N}H
zsamM4y28C=9g8+owc@ph2D(K{%G>twt!|pY=JMnL$2lRxoVShs3lFl|znD2MfA_+E
z)*mK|p6p#$d5G_&k&TC}sM&j={4+*2BF3gCWsWlKWwwjCG4-Lb=d!c|hii`BYP@Rp
zyLPwq1*>|=gk!&rc|;Z(-t3v2qBGIw!iyhq+mmlvnFMw-<e#$K5IA4p;RdBGD+;au
z3M+B!=gB_lk}-Mv<W7Oh4-@l!)IZ%+aqg6P+?nH}zKJ7t&irR;*Q~w-WSc*J8`@&G
z>R#LR;$Jl>f-)hu=iO9U+Q7^=JB_8^eZu#xjC%8TiSH;oUH?i>SdFcM({G-#oxRx#
z>9%DZ{>_TAmV5SV>hbz{9B9@#==o}iL6nvw=QTtBpeYV=W(Kzlo4z{E*6R*lv1_fx
zHFt}i<i9a2l{{KDU6MsAd~46EYv0#$p0LKoF#bVlo^N>Fl#~^pmQUV3|8D-X2R!G>
z+Ur(NZQktk>U)XAVzv4u2R`5JbM>qh{5<XDuB1k*zcMy$n_u^3=RQdgPOm=v^;#C+
zwk0JN?D3N>P1LE8Ot;xSyFK?z)Lq5<x>hx=7SF?T7QdcRCwA^3PwWOMn?8q}+|<3#
zG7IXMR{ZjJS>QK|TQEXxgK%Rg({3i2HQem+a~X<0q_FI0UaoXN)<(9mKGeUpdxqeG
z<p-}j&8x}&(8Hj8`q0Pg>J2jW%1otF|9lN=Qg=>o&rb~NTJ5^y$Psq8wfnptcYk=R
z>9P8sEa&U?ihHg<-ifHZ-7F-x>f;iw54LWfEsJs(_vugEaP=WO&*viM?>?uOcE2@x
zT&s0a=FbGX+7#^*+tdvC?UQCqoXuJP@VL&qm1g$Sz8xy=IrqNc{mv4V8;$pueUIAB
zbl%vCb@A>MuOp8CSo_XI?14JZ>7rShzsi1S9(FZ)f8or3do6bR<QZk18iz%HF=Pjv
za=c-n^=Hn*oaTLezu5L1*pN{rU3+@#^(W$yd~r(_GOtb+f2bO%6V)5~{)y6`riXKk
zKQ!0-%}@K1sg|`n>O$7b58hf+W4_+<x*R*VeY)hUwBvkicNd7ycrDwnWy2qye62e|
zw$8QrWUxTp#xsYtd+tv;$&~p)>+qWm^=sbGd9z$ght*he$ERDf%|B}<lveycw*J1y
z>+WBhed_0ad|p4}pVH;|7uOs9HTSu{eE-QWi>n;#8(-F6pZPDr_2hx4+W8+3YBIQn
zYk7rEWLmA2X}YxLfif$X@7%`yd+M6`mvZR+{kYVAxpBeQ?N7X0z3cb?E9?5L@G(Co
zMdSseTk@t=He4OEF1v0pQMNuX?MBwGhas~M?fjB==(2p0|Mh#dmCu}QG&%k2y()fX
zzj^sx{+*_1wUQn4`ue@fUUN>Kn6TnT;tD72q}@{=yH1eN?|V{Vn)kFKVbWtp?>_e#
z4}QpZ9AaMjt^d2rL(Y_!D^JeQ%AB`fO*Sme`9S)b6sH5ut5Tc~B(HPe_|Y;|hJB^#
ztZ#Eqn=qdLb?bL)`<194r%y@Ey}9S<@sQe=%=JEJgQsi!{?WgbXHWUp-umy{N^kDp
z-E(ef&qA?n=ia`tEBG_<*5ZR<0hcdNwN84s;7OWx`O!O@o)}HtoxDzOXU@}eGpx_-
z+{HJwI_cenEvG}jWouPL?@s>z=;y|BA*RZHTj!ng%Brh=y~Xt2y=htjm#+x2mwvNH
zsf{BmU*F+4%gw-Wf}3ITettD@9n5%w+x!c1`^m^8%@o{zN=h=wzux(pYhrmoS!yEB
z%h^IFyv_tYe*5rylZ57Jo9OxH#p>%$-}s>a_!937)_}lW?oGce<W&^j>ICOR?a`B$
zpU<$~L}bd;++=OZ*2mxctyC>}ypAoA`?HXJ#r#`LYyQ`#mj~&sd&2$n+__i<u7VA%
zt%qiLr7zmmHmzG}%QvZ`Ik9T<TQ5J_XY%{ye5p*=i_!<Qr@a<rc<+8E`JB}~*R5aP
z+<Z34J~lvN?yhhZX~}C(>SuSlyvhH;f7LwruEjBl4bQa-*#7r#irpISxclJ5O=fB#
zZb1(n1G_ihtCUaF^R2(*5^j;@>1bHF`0|zawgQKjGxv$^Yf;dA^kg!N$&`vq(KDD9
znaat`aOGPSTy!-jwI=hH(LvjH8c#G2$nP{+aJ*60YSr~Gy1dtKC{9?kCV+8jz)3;h
z$~m*kg>T+oee=<r71Nj84+%OZ`{DHCx9kjif;0s!9ECqj66Vd~V!v$aQU8BRK;*h~
zq3B%JmfWbqZR#>hR;*)pJMmPmtAT6sBn|_~ZOLUF&r8@H=UIgG&FoU}dF%LCcDvcC
zx!+sXA6%&YRJ?0SuhYH$-mS8m<5${q-l)B%t8wX%_0w648`+n=uivugq)z7?-o|((
z!?V9*B6#CZP2rgq7^Ya{cRaJj!zsW1<@|r@YPL1TY_rvqJ3q_IZf1_SxaZ8B3wF;8
z(qGJKF`BXCWp(2XVJ`WOhef@s4y$c`@&CX*mxr!}O&5Zizpq^|>+OH3!mr#f#q!zZ
zUcQ`a`lC=#Sz-OMgKy^Mbhj+an0vJ5nZY)x?C&e~l+AU#b#?XK_oct8-%b&{Ubvt)
zt$A&IS@MeL<h@Exp{$RN{n+x;thCvAiFs4=u6gN`KN@dgXOH^Ie01VN@0+4k=J6Ax
zThxVu|6N>fcWTDT?OHh|j8~N{ZPz(Gn{(jz3+KQ4Uj0<OdSc!K#l!ZS#MpPm_eWp+
zVlJ_T)#G66)x>S)3;zp?2D!aE+$@+lQ`z>9-OQ?6nyc$u9IqdK_0_gbVe-CYwu5EY
zyL#T4xARYa@p*qj<sYFJw_cw$OV~KIBh+lS<HmBk!1xt9x$&}ZFBiQ3F>edYqZbw1
zs|8P(i%ko*+BEk<uy~tr)#X2Jxsy2mGsRuA4w<p*hg{@+rS3za8?B8LIu<vTPGb~(
zaOdp_!EKf41-p+`tg4T_+i<?Lw_>UAtj#+v*z^c?mrVXTQ$fkm?4IKbr~U7H{)(st
z7wGRWs4<t@=Xa6+gpJkODbLDtL)6)__ex*bw&H?<{g&*odkb8Xou?g@{Fe9ky0e<U
zb#7ejonlUt84Fn6#JMMLd$y_3l>35;;T>1!1Iv7k56s%)7Sy2sV+u=E{WOLQ4~xy2
zY^UXB=jsRY=A|B3^nQx|!3U;Zcl|zn|7WW-Rbu{KhgzLkU*u*7N%YK{ze{1&`kDSu
zvR;2S3aU}C%8WU+EbM@0hFDgO>OI##TKZ?Nd}-QoW&J))zv8@aof#Pg=7;*lb$_$=
z$S7nz^lz<Q@Z;2czX^|KsIIW6`>If1T@^9wC&#><)&ZXnJ&Kh(aoc8pMfgPv`F_6j
zQ#SB3Gfiu}>9wZq$9LAe<7?jg{#Vj__w5Cz$jfyt1uHwE4cCj``0zAk+2L~@_dl$7
zuO|PF?*fl<n_}HYhR;joZ6__Bd;DfZl8K&8^uJ}R9&dT>er5j2XDb!<Wh~m}cgdkC
zUF%?d`RUX0S)Y{DE;)+yJFaZIt1{<$hw!G&nkxMnvWHZ3>*OwRZ(Jj~{oPd`tuSAo
zY`d$0r#4)?le<VGK<{#;P98tM>g!+69!|EocH7wa!?eQKe{4_WWCCusa0kZZ>uLz+
ztG-U{tn}Ia)mhiSH%%xZY4Mgw=FEwQvV%pBUY@f1U%kgeHr9IOL%jS<zb@&;<<6hg
z^U?CO;-4p)t8EXur}1^X)wq8&Hh!Vd6Qe#M*^|EfQc`J-sxP<wE`Jy)^<ecZf$}py
zzW%tj_I%Q_WVu~4PA6I~J-ns=J$F!x)aPq<^IdcI+??&dEcBVH`29XchusphGtTrx
zXs9#s*a=Si^*Bzdo<H=?`8ctg2d_n5i9D_llV-Cz#oM@TqJgKyg$GZqSvK4E{jroh
z+f@B4XZC|R%7)Aj_#Q2;FVFR<&|))B`OA3sY0{GN%`dNph>2A*{@UynXFs9X-2IU3
z-o2_$7595TOq{jv3xm7sY{_qa-}dU39*|!2_s5zuuO}b-IsK~VZ0?%+ww}PY{I*X=
zU%rryUYon9qglq#`^PrZnzm&=8<uCJOm$Q>z9lq+>6&afyWG>&i!C2_A3k^9an{Ke
zk4H1PCV9-%{&v4jXZ6FJwbQ-}?X%o<%qobpex;znSDgcETnZ-5;hB?Dw9LL?M`g;C
zC4Xu(`n7YnO1u=%D^*)0)!aYNd`5l!vAC^m%jeALom~?za(IH1{m1{$?9(=J&#Kpv
zyx5?8y{6N@`ZCJ|r8nQ}r6$$*%iId-v3pS`+P(h62mV9m;WM7ScQIvT)aH`t%L;z&
znf$-5qUGAb_{-aa8P}SwQlDe}`rG+>8<xA4pOU9dD?Gx#szZAE)=AHt_zt;#%$&6F
zTm2@^T}u;ky!l^<^c!sX;9+;5bC*e+th+t4&GpyMx1L`1%!NsGVf%*2e8yRau1>$L
zP<nUe-LpSetMWP+zl>1$8hq2cCQ8LPm3el*|9!<f&F?%els=k1>rHxo<GT6<iKqGh
zUyA$w|7Vl_;fmi|Lkt?))#`(th5a*LmnlwXyHu=KFCx&<`S{EJ4NcGY{d2#d<)rF4
z;l^^VOKSB$ON%*wP5&tMWNOaoUM{}lwJ%+F7Ae1*!(}wbviU}v@Y&EJ&kYi9g7d$n
zS(Sb|JVW}{p@y`VX@@grZI{kHb@9&ZsIWA(hr#DJ^RfS}vN%{g@A#w_Mr_=ofAr>v
zoNi0}J-OtLVZ2fOhjO1uchk)rj_+Pv;q5)|mQ2$D{z;+BKZQglUCSvu9rkAaZ}yd0
z`%;><?k%1-Wu@UQHPa@}dedp`VcfjEoUT7O=lMOg@rs%8-rVt0&x!9zrCR&Wc^Q{4
zFN|mSz1L(%Ku7Q8&!M52x9T2!6N$Q%Y@rfhU&a0YX#IB89S-mAy{+$3&<wox&Ho>Z
zx^+?1=}+He6jpr>ou9htZ^WV_=})%I(>D3DneBW3?3e;`Me*ki;vw%=?mLsDcZ;u(
z&*a1duD4c!ubAgJZ2uC&!*br!aDjZj1uL`3^ru>@U-IOn{N2%^bE7jmA?viq=I+Ks
z6U}E&EowGgmicPb;nllA!eRUGdZ&EzZ-44`&9%?JGc#lL|4pjNKWvk0w=X-ObIUDQ
z;7Zx!{q6T(Y0Z|G{WfElO1W1^!=|zu8ZIm9#1_0$zsL3_$!q7_Yfs#wzItfyONf8A
zw)7{fM7(cRox+FBg1?iqL}k{@Uo4__^SHs`{Qa>hI`*G(kGeeiY1Nrqpm1Pg^Uc8e
z)4k6QRX8hufA>6LUhK;{eW`xo<u(7k61XquoxU0HBja>-{MjYne|})F5@uQ#aNOE`
z=PM5fgIVe``q~#R*=Ma-SaQPK)pc)Htedma$7x$aL~5q|5m3GxTd|bcj%|&c%AzId
zx6L;s+>N+2W1ZoGES8VQR{jwZoagDuSkt(Y?M3}Ap9I!}*KVY_e{L?A{$TGCmX7u1
zUu8`v`zDD9+b}4`8Jf-C?!ar@{YK-~mP__4tLANJv$<u;*BijJaNfGbz1*UD2L$vY
z3^rt?q-^69iOg_6rMki@NrmCE>upX?9o3}DE<V%Qy{E4inXdQQ<a@4DM{h~EeaN1#
zd%imJooQ02-~VCf@q{%`O@0_9w@OO<?|JvJ<hrWtwW7(k3a2>*E^f`#f8y%Y-s5+=
zc!p-KO>pYEdgt%_?1Fy1%8ZPmF6~c}yx3M>pWmi+WLmqijYz!R(~QP@>x=ssHg4G5
zHSOr44Z>5K%PxO+-(ObM@qg`s8{+d?-LsZA%lppkJ9^jG&b)p~>(aDOk4$&9t==$Y
zkKBng=IL#QoSP5E$*_L=pWx%hQ(E_U>0?>(g9@cf8tYpavb-C<7JS_OFFz-(*PiKz
z;Le>ak0+Td4Dl3-pVcq7OQADWwDnPW#hSA9Uv=#)=V%EmGd=P2$jioauwDQIcy8&0
z(mmrmZU%<D$!DcC!F>RYt@?ixI^$HM8E&OU2<vQEwNCEBSB|OEa*8$|x!bStTKn@^
zo!JN2x9pf*mf_T8aqzO^_P101O?mgyUq+2lg;9l3{@u59cH4g*=N9O7O^xLJH}}H2
zO>FOX-B<bk=3%e#v6`j-4}DBI=`6Ya^QN@lx;uC4@B9Ct*D|79?^(Tx+@)Fj5^@d}
zoXoW2nkZN+Vq)FC&yC$&>dsQZ_uprKtB6;wcY7$bxBHr@6zih$iyMwDc4YeKUv0DM
z<J<HHnV!k@`;F%<`zYgoxx;4i#(VRhTsWlH5uWke@=}waVu9?p7%c&tl1>4wtIgaE
z+?QhtT&7=gzSUgZ&v0<_^WBH%)iX_e|3{{8yWyf04yscoKACttQ(i{U(*3fefBBjc
zsl86iFD930E&Rggep}%D!RVs+C-<eZCp_sszj^ClPj0vC7cJ&|b69x4<bP!kqwKCP
zZyx&pXK&s){f_vDL%${0Uf%XR@7dR0^Yjnj=Oi0^4-#FxsDZmcsc5~~-8A)8K~rW;
zsb8YRs%af+mAd3e_1dk$OM~6AmuJq(T01q!>-n5uuL|w1t_cw#J>qd*Qw!&BJnm5B
z=90j0)a8lzJN@e0tRHUP%3L+|lI7B#!byDD4_Ot%*1b8kXCDvSv(om;yQTXR<C^8_
z>rUVLw{LEJdj903DMfx>*>jgkJY2d#c;eyew=VJZTJKLUyS?g^o{#XI@`=UwTTRxQ
zdRl2rS!d5|KhdSl(`B7~v&~7#i_F}+6|SGsd*hWdqlKAI|A)==_cpv4j~A}p|M(@J
z@}dUe$tU#p2-o*bi}#*+y?n84z>}^MdS0o4SB@+S5#V%nJrenHis9}DqBFI`&fMLb
zG%-YH;#<>aD*5#v*6!_l@^5zA#r?+9?q~~$hi%*>JCSS2x&sgWbhwTPo84`T6%tvU
zWNV|re{$;z_Lij3O|P9e(&sGMY8aNe+|$eIs@3x~Q-ZW!&e`f_DH+@ncq~I*@S69n
zjEAk$H@RpmovSt1=vc<a2p!SAIiaCfo}Bs}6j&L*Xv(E1a6s3?LR#{qdAzsiV%GyA
zuY085HpU(Q9ozm{G=6EzG!6CAkji+L6BaismQD?8R#?3CvPyRElv9?Ar(AH-Fui7V
z`|{o1kNeu!BpsB=V-;#F5V@dWk=9cll)dI+Rm6njk3VjZ6Jud&bTHv4IW(Qg??JWV
z)bB?peP3$zOhmTc?DMUviWKprz`Vn*ojMX1{DS9XO`Uql^ZAnCQ(EeG%I}o_(x3C(
zW8&|=-+jOJik|PA?V~pN<dZ|utlY<%8jmuBp7PnAD3Qp#J2Yo)>AF`^9RVs#d5!Ge
zWt&g1I&M_TW2uhtnE3v^spOjnGdjxe2LGGYRW15ocYocPV6mR}&h_zXkJp&8+)3uS
z_j9Lrk?r!AK5Uhut{Ytx*1c(pxH>gvs%oKafq{!N%WjP@mc!{L{%YA0|7@Oab$4t%
zao~fD?%_TA?pIp$A3m_aR<GWL{eBlq+ulkGe{ZWFVJQ<=f2w$(py)VhL6L69nMHbU
z<QC|#pAyy(eC6ekk=t`wC3S6magf)`Ia|Ffk7nqKd56B$Pv&~ua@labaoLToB8vsR
zpBUU6TX$@@xhF6B#g;h-?=v00Euv-guE3RLQtWlr@FQyjbUNOAYT03X?{3NM*6oaJ
zcYU{;+U%C&pZH4dfQEkq*9F~<_U0qP3$zP%h)uQC5EE+_Ug4DOscEdFq@?7@HTjK#
zAWPpPi%F9i6~*dbW*kkCt5g2&Cp|+gXvG@aR5hikX*O>e^uJ9xwLl<k(Hu+NmCv*-
z+ZMd#N_x58vwgX3>}!+$l>86R7dEp_yp(WsU8FM8RFNBZr-?^T;Lj4c{a(<mqQE+W
zpZ{%fLdKkbZa<@0C(g*ySa9uMh})LgQAXCQj?enM>7B{hS@YXwp0BT3KY?w+CM~~s
z{r1CctE{6}M`g)Q;@i7?`Gp##NelIS?$4M~;K;R3JlNjHDPmspO75NVivvP@HnF%~
zxlpp@#su#N+t)Ld{eD^XbV=o*#aDLTw_p$#PJZvP-`4!z8mqMmjgKAX82`Ic^SH#s
z{P|U<q(AmoT6b%1Slc&6yggaTsb1vksn36WTf#g888t#DI&Pk%kQtWcqLsz4ko{qa
zig4fu?dH3;)^;gW@-2LM(KhwCb9bHVYtzR<%a_FZ9-AA<bU(3_Pm%e?2gxrPvraZ0
zV7nM}QX+cm3d@H<QNNbud^Z1H=A86$w&}+cYW;b6(P|mTXBU22Kl5T1?+P_OwldrL
z=ksSvwXqgx9Z;*}ZLU3E{I_dUi$Cvzl(@zP*U$T`j%3d|^gZIS!LG{V`8g*xm@7^T
ze=I7ia<aQI<8*z}q8|6e`-d)H)6sEw&|%sov*6rG+ZB^v-m(%ul6o~=%g;MuQWaOr
zk&{J_Iqq%rC_M2*eWlI3nSa9*Plla6eL5shL%QDTPOi@3{$nTX_*TkzRWG~u`ON1%
z{(3Cyx^se*+~Sv-%qxiS&i37EHYY*uBcsKkxRqKP*KF_I@4VdY=*=5PnqKYRqH?`Y
zjY}yzk>Tgk)ahFdc!S<P(1=@bu65GeH;j)TF*on6=3QR4`1_4b2b@~G7XR+Lk(aSj
z>AGyxx-+HjXX{tH#4ak@suBJo#x(1@jEk?=3jIT}r(#zIx2^oj^UjweIXX~l&wF!`
z*}4%){0?dRgAzRjjU8Lt`{qu5t$IY_^17KiCpd5CeBJcr{8Zj~WeHuH?pK|KTd&?S
zo@3$AQX0za!X%=+Z?R%xgZ-0S&z@MR=GrOm_AqX?c5dw5rlDS+H6`FOqhVk4<pBF*
z${ow*%rQxRw@kgSIGy3G71zDQ1rD6QHtKxd)V+Q}ZdQ+`_<w)7D<(F4p0D5JFOlj!
z?t5w8zqu~qygf$_IJKphJ+<vPsy^B1@Vl_8)=2L+d2cdH7_Amp`vg8S{B^+M_^jJ)
z3s=Q$U!3=7$>-~W+Vv0Jlj{$2vlZ)1SnzIIxu2cwbf05aTMwBy-AhrqP&)PHx80qu
z)7R|Yo}yCl_SugT<9`A>rd<2Cgl|bkPu*_~UDKL?+7N?#DZQWAl<&^dvPkFr#A4;+
zw@!ia<VQZCGcoHF9zH2zW%B!YCeHr<tAYvhx>Nkb4f^j)j){9R&yaU-igxtOdc!r2
z!eSQxw@0nZU&V5}`IXt;H!8pH*W2>RdN^8GTq!G7n^ohyn<qf{GndD+)7PWYw&Z6^
zT-+Cy-22A;qhsTvKb>g`E$qi$pNwBQ^N+IUj2`*@Y%HNYyR46MM}!<RxWrUs?Rn<(
z+$(2g)7GdQy^z1@WLMJZDh}3(`MdA@UEEY(c1_-V%I^Ow7X10UxapC(?0ywt!#r^X
z#XaY@e`YFCT<SUZZ&fc-k(!~8<`4P!qdsdS*ZjI8Zs|KmTqye0eaAbVe8LlV&%CQ0
zUHUtGk@xG9Z2rkDtC&BT{C<3|>FD>zhga6w`2=3IX*X<tzDo0I&Xj8Pr^T_J%LCud
zpQ?9l+q?QY&n+85D}St0<&5zUE%D(#zGcIo$J=i{`CG8Edl%0o;m!9St!CI7p^$r}
zilsEfZAP$b#Qv;D95;^c?<|>^T%gY}A%chN_(Kn#A{zrfMJb8wq+WTAfF+OfirD()
zbutdtT<o}``JO>0@cB2Me-7KN^7^H#>+i@~c|Q;7Sjd-B@0M{wSTdu3leXy`t2Fn=
zIVGH|=__*uoMyTT9WQ#oB~!F;V%*HtLRzjh*^kc8pPsnlcsJ{G>ylZTXJ%>~d&K+c
z@FLl!w*i}CMSfPXES5B0vp~*2boWIS`KIF;ipz~QMRT~ft(KlTv-v>WkK1`x(q8<s
zzt+?(6SJz?FneR(Bh7mE(`&obw@Ug}iaE$TPVc^;z$cUGl)YlVX{1G|tm1sbsDP3=
zF~20e@B2@k^Zm$v$7ick8DbRKpWUnb^kdOe|D$IXT`Kw%^X~ZJQ~X;4x|gkvON@J7
z-CuklV(E(&ys%a{WQAAYanr@Y3=9l?3=9l%pcP)J#hH`4)aAj`<!jXA1VPi~3(R@f
zE@ow50Abn52l-{|i&7FpVT-`P&2}k~vpNjF+)DVI4RYr&9}r+?Ztf{GFz`tBX71ee
zY<;fxrl=Dkkr|6cg0wboPFnE4H^1KIiTi)K^BWuey-#mF`#0zRC#9-g5pp{B)-hgT
zxXo~tp=y54Hxu`~snr=CDmzoBMH~3`-b%EKwa`;OU7vQh>h_Hj>vGO{cPDR~k*9W8
z?bgm+oQiy|&jqvf_SQ3>Q{)%g9I59NCXmY5X)-rG&nh6j%fe&6kVo;uHy1B-J${;6
zdzWjG-mc8OM%>F2Z?$T>Mo#dK@A*De=<Qae>(}<%^Tf#~ePEgxm1fDd(tgSO{nME9
zE;g$@;B)i&vV22*XR~{L+F{2nvMlowntXHqx$A%3ckcb?Gs}KN{w-~^4=~)>|I6F*
zq3!|0)2jBXnD@=e11-E#yIUgs>)!WgOOyWTM(Mc}&3Y>7cWe0}j>VCAjaw3T2k*B&
z(X-j6<w!x8MV*S?>uZ-c|2!PXqG)*TQ&-EH1#V9jWQ|t;QMOlERv#(XG*N2yQ$f3n
zZDsj$o+^3F71|aV$K}8Bb&Bz)O_6?%ac)MJb=E7m-u?67#08!GA8Pld983Gjs$y<(
z+I!(yH&#n4VLREyFJHRMoOHzKw0?wcU+|X&2Q#hCr(Lf7aqExt%%@5-FJ)|g=_C|f
zdD5BrRfbh;rm^E3zP^q<Dbup+IVv5bCTLEQoZ9X&<*7(gep^^{;hmpcqF(B&V@%%e
zo_EyfO>^7yMFC~YmUFchX<KMd;raTu<LkdA&yPJ~<q-HL`|?EisqV5T*-M=izfR9&
zSRdrU@F}pYdVa3x1Mx|#Q)+F4N|w%doOFcugyu&EZM6!QHy#grJRh;BE#?nAHmCmA
z?6jOk)1C8oxxbs_$+~i3q+Ry1&?VK$%7tE%oS%YzrZu^DG+oj9euAqglGSvRZ?xX}
zD!HQDI{zgSjRbwR{Xbn&zpo_Y>$Ke2na4tX!_B@{S04E#^nbONN8aW=MvA7V4d?9W
zKaw(Satf>QrmFja`!o9NT$ukPHH8Wt`S@ge{eo6+o4GGGt8wpNF@Mv%zw$iu|H%28
zy}w&&706zr&sxfqzM%Zr!e2kPZ@ZtqQKV0vC!XP5mb1}4lmDD4Qtn&JzB=Y#4!FIc
z(fWdU|GT9N#U8NQ{C;?@?(d@6TT57%*Yg+L7Lb+7Rmn>@qV#mhisj7vB9Bgz{<E|E
zNzR{2Ut6B~`5td??y;XEkvDfn!VK2mHV627)O%aKt+wnf(A?-%m$BWVOD9IjRV$3i
zL%*KGImpe;;Hfs>^QF;$K5SOM)o^r$;anp&L$?$+m!JtMt$Ybgf)kY%R|y>m4CHFo
z5O4};(Qum5py0$Iq@1E87^uX}E;L(GJb$M0j^pe4Cj4Aq|B#cje~}0$^M*NsI+Mf=
zn}xFKJATK=3&wifTbEF;c)Q7ZD#!czi|^}BE}Bu`$#a@fQdEnxYop<#J{2i0Pkmh>
zJ=v<_XT?dCrq>o+_WOHAH`P<G@$*9FUn%oi&WY+wf8wanqw8*UBc4-sHd7BnIP0I{
zSySaU>8lh<?$MvUvEGdLTrSUr7habQe;nJ^?Ok`p&v263v=)W=cU;~zzU7y%584@*
z8OQbH*mRrkh9}!!GOVoppjO^<($n?IOSOm3=DwbJy>f-}u@fJ|o@O{$z4_Fz+*FAz
zxlGC7!4ani^7~b|GwowFvZqPi>#u)RC6#P7Uv3rehNww1ZfQsaZJFj#za%1YYB$4T
zWzYFOYgwNam}-2~_E*|+chX}e+la3jy7%kr_(JxUuT${UdH8per@Y>C{y(lV0q=zq
z@14x&%=(=bz2NQvoxP?EuJ$LJ<Id?Qg~|mg%oe#~q4b>DtGhUNpI4#1{zZ@YtJ>V*
zXQrH$U%c<rq0f&?mcH<Lyz#_=teK%K?YozS&8*JwkY?SgCsE2!q;a!8?(=~FnPUR-
zvkw;BPS4;-{4?qN%DndVYC$C~MLYBA1!k=k2s)G%fU>~%SK0Gl#Y_wgJ6IVQl)wwx
z?993Ap{sj)r{w$JHV`;=|FfpwRJBHzSxdD4PA+fFGvKt!(6-`Sc%pZG@4=1Mw>>pi
z+^;Q*y27NbTA6tC`|js*^JVwTG}LO<&hborFnxx{RKdebR=9i!I(F*E%sILuvzHz7
z%ePa=*)A2QyW;8t{>MHxveB(exMa^Qe9ypJd!>E_*OJg1DLYxFhqm+Sc6dxUB<ek-
zMNdq}@o%Ts(~8HL8mjHlAyrSe6`kgCQWXhRkL7)M!7A%Y{{xfsIfpzi<ZQXkE3q>x
zh4EVPjjny>ix%*P-(6@NdOz&FP_tj@jAs`nv$m9S_$}HXcXgKh4ephvk1Z+63Xl%i
zDd6L?F!1}a`ZErCa;<Z^-}V^KOmzvEzU0)k<07WByJm145}%d%LF01D8N+2qCU8#w
zEHQNw*Pi`BN3FKM%-YC0b#C`_m#M9L*>zd>{8)MBLj3Me>!;Q@EdFaeQ=8X*{dwzu
zs*<NaT!}9|_xxS<9=TOzg16##b0xP1N2@5#@iF9kvs(PnlEN3^^>5FuXWf&gom08~
zX@(KE-O2DNA#+bZoxN=Oj@PHo9ofDkdh6l&lb#g|u)SF<!E>fco&DU#xz%n_nk%1j
z)v@NM$g{0J7WF5u_?LHv#~0-<9${W<#rA*N5xR+`{`{Tyi)Eh$&M|0AV4qYh>{{+L
zE8@ICqHx<6xjjltZSHv+PSiM4A0Tn)u}=_-<;*?$w^O-<4|C~g@9+OsoEXv8E-C!$
z1mo>mozB4gf*pN(`FYFR-Ndb(58qw*&-Z!#<>xVdoZH1)Z4XY5P-vg`{jhY!;@S@r
z3jchQta-U&{mZ-ydsj7IxjQp{^4+BGufBcC={c2WG$TZD;nTir;px|GPycRyY9GMk
zjkE@R@<{_@P-A5Ce}g_IUQlZUz9@b2EMrAe(BexF4)A7V5@ALxT}N4r%)rY40*nj{
z3`-idm>4EAvM5@GhHyewv18MYx|9}K`vGQ<b~SlCnnBA%Cr>aDV~%D8sg<6*la*Tv
zxBAI1O{AHP*da<MunU;uRvHOjxya7I5XHy9AP@Bx$ZJa)FSAc>Fqg3_&PXgs#p^Ys
z<rfSxC`RP+gN&Gb-;~`16eb{y2v)RFTW$shSrpB+(jd*&sVQlAZAWczA_uJg<U%tw
zW00jFjBYVX8x+Oj<C6=`WNpDMQak~NQqn>7L(;=KT}a5=oAY9^9nwrbccTAqBNGFI
zkut*D5Zzgm>&;btKrRO10B=?{P;~Gx@GxkzFfiyFGcf2exSC`-7Hb;@<oe_o1iASH
jn7Ae;mRXo*`Xv^G8l;7!WcyoqdZrfymzf46dfEa2KS$+%

diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml
index a767369..003f19a 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml
@@ -106,7 +106,7 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:463e698b</spirit:value>
+            <spirit:value>9:106f1eff</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -118,7 +118,7 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:4b2d71c3</spirit:value>
+            <spirit:value>9:9f623fbb</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -132,11 +132,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:14:27 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:27:01 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:4b2d71c3</spirit:value>
+            <spirit:value>9:9f623fbb</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -147,7 +147,7 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:4b2d71c3</spirit:value>
+            <spirit:value>9:9f623fbb</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -163,11 +163,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:463e698b</spirit:value>
+            <spirit:value>9:106f1eff</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -183,11 +183,11 @@
         <spirit:parameters>
           <spirit:parameter>
             <spirit:name>GENtimestamp</spirit:name>
-            <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value>
+            <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value>
           </spirit:parameter>
           <spirit:parameter>
             <spirit:name>outputProductCRC</spirit:name>
-            <spirit:value>9:4b2d71c3</spirit:value>
+            <spirit:value>9:9f623fbb</spirit:value>
           </spirit:parameter>
         </spirit:parameters>
       </spirit:view>
@@ -341,7 +341,7 @@
       </xilinx:configElementInfos>
     </xilinx:coreExtensions>
     <xilinx:packagingInfo>
-      <xilinx:xilinxVersion>2019.2</xilinx:xilinxVersion>
+      <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion>
     </xilinx:packagingInfo>
   </spirit:vendorExtensions>
 </spirit:component>
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v
index 0d245dd..ec6eb43 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v
@@ -1,20 +1,20 @@
 // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 // --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-// Date        : Thu Nov 14 05:14:27 2024
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
 // Host        : hogtest running 64-bit unknown
 // Command     : write_verilog -force -mode funcsim {/home/hogtest/Projets/Cours
 //               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v}
 // Design      : design_1_reg_decalage_0_0
 // Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
 //               or synthesized. This netlist cannot be used for SDF annotated simulation.
-// Device      : xc7z010iclg225-1L
+// Device      : xc7a200tsbg484-1
 // --------------------------------------------------------------------------------
 `timescale 1 ps / 1 ps
 
 (* CHECK_LICENSE_TYPE = "design_1_reg_decalage_0_0,reg_decalage,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) 
-(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *) 
+(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *) 
 (* NotValidForBitStream *)
 module design_1_reg_decalage_0_0
    (clk,
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl
index edda7f8..0d22f98 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl
@@ -1,15 +1,15 @@
 -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 -- --------------------------------------------------------------------------------
--- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
--- Date        : Thu Nov 14 05:14:27 2024
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
 -- Host        : hogtest running 64-bit unknown
 -- Command     : write_vhdl -force -mode funcsim {/home/hogtest/Projets/Cours
 --               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl}
 -- Design      : design_1_reg_decalage_0_0
 -- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
 --               synthesized. This netlist cannot be used for SDF annotated simulation.
--- Device      : xc7z010iclg225-1L
+-- Device      : xc7a200tsbg484-1
 -- --------------------------------------------------------------------------------
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
@@ -294,7 +294,7 @@ entity design_1_reg_decalage_0_0 is
   attribute IP_DEFINITION_SOURCE : string;
   attribute IP_DEFINITION_SOURCE of design_1_reg_decalage_0_0 : entity is "module_ref";
   attribute X_CORE_INFO : string;
-  attribute X_CORE_INFO of design_1_reg_decalage_0_0 : entity is "reg_decalage,Vivado 2024.1";
+  attribute X_CORE_INFO of design_1_reg_decalage_0_0 : entity is "reg_decalage,Vivado 2024.1.2";
 end design_1_reg_decalage_0_0;
 
 architecture STRUCTURE of design_1_reg_decalage_0_0 is
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v
index 7719f1c..63bb8ef 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v
@@ -1,20 +1,20 @@
 // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 // --------------------------------------------------------------------------------
-// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-// Date        : Thu Nov 14 05:14:27 2024
+// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+// Date        : Thu Nov 28 16:27:01 2024
 // Host        : hogtest running 64-bit unknown
 // Command     : write_verilog -force -mode synth_stub {/home/hogtest/Projets/Cours
 //               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v}
 // Design      : design_1_reg_decalage_0_0
 // Purpose     : Stub declaration of top-level module interface
-// Device      : xc7z010iclg225-1L
+// Device      : xc7a200tsbg484-1
 // --------------------------------------------------------------------------------
 
 // This empty module with port declaration file causes synthesis tools to infer a black box for IP.
 // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
 // Please paste the declaration into a Verilog source file or add the file as an additional source.
-(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *)
+(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *)
 module design_1_reg_decalage_0_0(clk, btnU, btnC, btnD, led)
 /* synthesis syn_black_box black_box_pad_pin="btnU,btnC,btnD,led[7:0]" */
 /* synthesis syn_force_seq_prim="clk" */;
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl
index cd9d4c8..58f52d7 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl
@@ -1,14 +1,14 @@
 -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 -- --------------------------------------------------------------------------------
--- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
--- Date        : Thu Nov 14 05:14:27 2024
+-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+-- Date        : Thu Nov 28 16:27:01 2024
 -- Host        : hogtest running 64-bit unknown
 -- Command     : write_vhdl -force -mode synth_stub {/home/hogtest/Projets/Cours
 --               FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl}
 -- Design      : design_1_reg_decalage_0_0
 -- Purpose     : Stub declaration of top-level module interface
--- Device      : xc7z010iclg225-1L
+-- Device      : xc7a200tsbg484-1
 -- --------------------------------------------------------------------------------
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
@@ -30,6 +30,6 @@ attribute black_box_pad_pin : string;
 attribute syn_black_box of stub : architecture is true;
 attribute black_box_pad_pin of stub : architecture is "clk,btnU,btnC,btnD,led[7:0]";
 attribute X_CORE_INFO : string;
-attribute X_CORE_INFO of stub : architecture is "reg_decalage,Vivado 2024.1";
+attribute X_CORE_INFO of stub : architecture is "reg_decalage,Vivado 2024.1.2";
 begin
 end;
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v
index e8ddc80..2a68790 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v
@@ -50,9 +50,9 @@
 // IP VLNV: xilinx.com:module_ref:reg_decalage:1.0
 // IP Revision: 1
 
-(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *)
+(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *)
 (* CHECK_LICENSE_TYPE = "design_1_reg_decalage_0_0,reg_decalage,{}" *)
-(* CORE_GENERATION_INFO = "design_1_reg_decalage_0_0,reg_decalage,{x_ipProduct=Vivado 2024.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=reg_decalage,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *)
+(* CORE_GENERATION_INFO = "design_1_reg_decalage_0_0,reg_decalage,{x_ipProduct=Vivado 2024.1.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=reg_decalage,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *)
 (* IP_DEFINITION_SOURCE = "module_ref" *)
 (* DowngradeIPIdentifiedWarnings = "yes" *)
 module design_1_reg_decalage_0_0 (
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v
index 0f48b75..04fe639 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v
@@ -1,8 +1,8 @@
 //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 //--------------------------------------------------------------------------------
-//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-//Date        : Thu Nov 14 05:13:51 2024
+//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+//Date        : Thu Nov 28 16:26:23 2024
 //Host        : hogtest running 64-bit unknown
 //Command     : generate_target design_1.bd
 //Design      : design_1
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.hwdef b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.hwdef
index d57f5d3b38baf25264df78463f060df51bca781a..940b1b3754f59fc3b6b8fe50c5b1895d72295b0d 100644
GIT binary patch
delta 6178
zcmZ2!_ROq4z?+#xgn@y9gTcGGCNdyDn<t-<fgzHKfq|2OlOdx#B{fa2A~z>Agq4AL
zez!+@X;5r_lYvO>wD^l(VqKCRC9Pr04K!NXqSWor>$E&pL@dGY|KBJBy>o9?zTNwL
zUjMeoFQ1mMqz8s=IwAOGw%8oMp27ezd8M_EHTe!3rd8GlDp|BJRC6CvVtIJvMUS#q
zxQ&|P=N13Ex9e6XeDjt5W|HP>$XHh-#KE@o#ir~yc^B2y+fHxOQOZ7Ft~n!6{Rl@|
zk$%6@`qX)|G7F`iJ}O%KBQkA~L}rkH#llPbmmEH_ZOyJ*Z`t*m*L`}?@>#XXGxGH5
zfW^<&pKDUM!8D~%sJ^<mH1D`V0;8grpRbvl$?LPvgtxxZUVf&#;rNa?!7Z~^p1W~>
zjb*82bSux1lOMfbyw2jNo)wrsO^$Qx_P4$Ja(8Q~bgY}dYD3Lq@8id>ls@F0w37SQ
z^F8@;`}hSyQcrEZ>uf6g-b(PZnBD%*+F1sNZEmq?sj02o9O+uqHhcOj%gnv@yNuu1
zaK790B>o`#JYHoBm7csqmWf&uPu>1EyEE|*11N@c8Q7pPRBEk#dAcYA!|%!S7&Ytf
z7Tw-4Y2W$a6(L(gi}i$VZ_-IGe&cgdMf9`FqAg2O{GYWgjp%Y0jlMMT>7O&J-yXHQ
zwC_+ILp?)452MMJbt^knU%$>X+2zRack%zf@Bi=o@%Q*+=hwHBes76cuXkHLzwh?i
zqp$L8<JY~@zkS*B|JU1PuQSb~&!+RgzWQj>-l*;M(fjw;mkP4UU-o~gBD3w#gKug+
zmoh|*YnI=g<#O0yZpGn`snwsZ7i-7WXP4gF=2!LJ>c{&nS1sR_++I8T#W8L-(FuEh
zwk^EBzv^mM?2{#nci3lDcGlP4oR?dETK@UlYgbQrH=X`gQ@Ot;VollUt9NJb{`z?L
z)knwAFMo5pXkWzs`r8jSAAi3?#j!&8=fl+BHP<Zd?rn|UXnth%&qtY+`%n4ZTD$Ri
z{TI6jYnPw@es}u>E!p<O4~id|_I&@fq;`t8@IOP&$v32X+)t|1^8Zv&{JmGy<z%Y0
z*2}tkYilNS*tuxVI8$c)PwBbjzZ<&SR^N0si_0(fm;9gM$GPQCpiKL}dKM|26~%my
z?uLmt&o`7lSynjzta$n6_M3l|4#yZ8>fN3r(~@d&!CL4-&>iJ(2gP0a&$#j%c(bmy
z^^0NnxUTaB<K<$<ewANWV!pggbe(V2S@-?J)qtXJC%xRAc1IO6>iypLCpv<wI(g@#
zX}POE2JSZH_`ShwM`GR;{_e`%Q(fxi*L_sW*?99)X!lu(HY1^_KAAsyX3hK<BXf0+
zgjjjtKGCBEAND<O&wTjtaG-%~%hJzJ)<^x*;1ph?aWts?=^}eso}y40&sqD#UMKdi
zU4E!~DZlIElgkZds$|qI{;=}d!)P}nE%8k(?*}t6^@6YLaa~(o{_)&9+sLZBW=Zpp
zXZ4%@CjZ<J^Y=mb!=lgYemu55w*OL@!KdYi4fLiPyj%ISdToh`?+vEU*YmblmY00_
z`}@0j)02yL|9<-V{OPNVefz4dqVhhRe_uYGb#i^(?myQ~_x-orf9H<;_j7TN-(KIa
z(|q<%@ya|ezo}>AdiJusHZ+Ofd-U4XPiGGu`*UWtpWDrPi_W%-D!Vuh<MIt!7aU$_
zFY-ltVx7Q`gBpCs+kS)|*!}ZQUSIl5MhnjW+48$%|3tIP*1U=DT_*gkLvxAkv3^ai
z{s8X~v7?bOlfOKCJ^g&a*PPTt(*y&ih8*ZUc=kh1vKK=)`@9W-e-=vBbcr`#;5c7$
z*P`L;=W_v!Jub~`4)q5jnm+1tNN6d}?K$??AVcZXmgs!5PeIpn-Zl3J)=c`VJ?p^o
zO@&dJpPu}Xc<r#&@1NA2h0P21CudhbSBNftu5f<7&tAuC?d@TQ-)u^pWhs?0Ct!)~
zNsdeNQhYCc@-&PT*|Em4X{-O47gx9ZceGz<S!DHd%Fp>VJA!tunIk!qx!#?BZ)ZL4
zVxJFQW#{xax2wP9vifl%^Fe){u<5>UL5jBXQw|?IY_rUwJAuJ*vs90|L%^@A1#4Uv
z@oT3ZK2)jDz5MWMgOzGwx32#^byBgmJ?W=x*VFPjy89;w>dJd8*L<JyZrlBn-+GQ7
zduuIu)x~P&hVXDx4zIpu!Il??i?;r$&y;$!AUbb-Pt3O|kMx#{lv|dTO%L9*o8jdX
zz2)o$(}T^+Eme1)etGbY>#`?L^o$f&?VgjC_pWG`;GL!3h12zp#_l@z?vm<m?g@VH
zF4;EhF1dSWsdw4*cS!0>WbcBM8h7oU6L{z8nVYfaPP}7UGI{qL*#oiX>hIin+Ve&)
zoxNCm=A_BHPqeIfSM=<KUb;y6%fLHJ-3zDB+r0Y=MEw`O2YR;V<u51RU0QBXK2g(h
zXSYwsD?M9xkkY4fa+(~hW<L1I==0&uS(fuB)hg-?gr{g)&RpQrk=69PPp!hw@ZiU<
z%Xu8N3+u}t_kVewCpN+3aQ(r1sTSQCHo9^=91geDe;3?&E*&$;aQES--9n~L4@4gH
zNv6xVN*`FBmXLEs`arC)!8T3a2gb$*VsF_B&hzk;XEV%~ZYY!$zWPY+--G01_pcpt
z|93p+hvLbIkS)7zcG~jleQ07Z6pDQCGso`!s{|iC(bj_Hd?MG9f<L$FX^7Wfd0cqn
z_{GA`JcXF0jLjAv0(V^;J6^Cbtr7KmE^EmlzT4=<kF%L?-Su@M9`Ae25K_Qfz@TLz
zE8D<j*2})2=$%)qZDxq})#HZEDnCM)bD!Iney)h-UUz=~>qSnCW^DB#&#t}QFkx<=
zw^`34t|l2%T_yj;Cmsj{u+DQ3jX1ulp6OF+s)+mKi5%-CnhG<gHJEBB{5<?&)y@an
z3xZxXxLH>RXu4!_+nS13nEw8=;l#1##-j?_JwIRk@;I^EX;$1c^%WtXzBaG!-#L4a
z%=LHf%woLLC0?yve!!#ZO0vMBGZFhdRTrF#STw`d`_fB+jaQ==9?sZWd2j2V_OExt
zRbG6lpO|y$S8m1M@AeVf{QhmP*DXJxn)BDJ_us;qajmTv9H!-NJAM4-xAd@oB91FR
zss0uZye7O_kt28QwHu4>uAOMaCFX55?@eE?yY^d&(_-OX!D=p^FS667@diFE*;t!0
zFE-QA+$LeJ@5BE}FYID<C+_Mzd4Xq%#{q`hU5R_neafuA>~M2Ypg&{cs>{#n3>M6t
zSllwhBw|P2E=#8<#V;Jw79R}~{UdO@%}Y{kCo@;jjCH0VJQt+2+_HFGvl2GGi*`Q$
z;)0xP*AzeR#?9LLe0hr#ieeqzlT+&4>y-}t`ZVdxvuQso=P8@d;hP{ABxq@PQ(%S2
zoBqREa{PDK$Zs@ptbh2rHoswt@aDn|OSGpgvJ{&5RCuz=)SxbnW098``*qJ1PJ4e^
z)ped!&SWpnBCg-xZI9=l+p@aJso736hUNN9hKZA%gbyv?NjE%h^_pQ>!rZkgD?hZv
zZnTJ0KGt|eyl%_l7Yht?k6q%^sMMasXVrdz@ss2UtBf<P8?G>_N;+PuXXM|z`<A2m
z=e<|l4ZTx2%uY-3a0cdW5|UPaGR<pA%L-N1PYr8U3kmL0dA-2!P3B5wuRDR482dNv
ze8uolV-ufLa^y6t1uT<QWItT!?B=`i>{peE!1?lGdnw-IiF2yf>{$KjkiDgD^I6s{
z=gv8cJUza+bBeyq>({b7_D$LlzoenQ+jjd&&kby1yYrF_xb93kSu*Et)kKY}20@#P
z=3jX|E2nzOZ<Wcs>Ys8db+|Swe(Tt^`cjeoocpYb)mGl>Cq+76dv;jOKP6RiTyM?c
zKLIg!L@GoJzU)aZXMN-TYpdzM>~C8V^4ZSsp0E4=`CaB}(|^CJw%6IFP3NjOXt(I5
z_|^IwaiKY<cXNI%d$j%Mqq^M@HXpmY?*D84e_3n2mf4%P1-oM(-(uPyT`1Oe;#F@!
zf90gq&}H>?$p_7E|6H%L)%L&cZ~s44_nzwCo44PxGJAP?;-MYq5`I1Zt+k>3)Y>QF
z$2}ZhOzAy5ZGuGzms2d4V&M^ymU9{{M;e0#UIlV=KCWM`P?G1tp?ZWfiBmGVNlW_b
zxzf%<f0tT%L}vO~<?~LPHF49G88NY+A3ImcepS!9@#WfX&fkk&!atkIRS5sv_{qV(
z*Z$bz+Y!l|FEGDd<i^GoZo2c^go%f4=S)1bH)rCZ`8*T(!sR4ZS3M4tocm63k;2>l
zX{>Wvg|p3h*p5Xv)NlMW^*i^rq~`&*7Dheule1viuuo8+hp}|(+#-1emJN0%wFAO$
zYCf+}Z1~C<)41!DpJhEa;~M^bTvtNNPHhb5NT^K+U9fIbaNp`yhDgcRtHUJU?Eay;
z@!!L#KYiKP?&<ZIR@e3Sb&5#;vXzXgw-$EyF06Z&wf5TXqmvoE3*W4&Z_6txkm}D9
zyv6gOB%JyC?62RCn$2Fn|8<dD5tFAz*E-%q4v$s7RPwiYg}pWAIr=*;=(JwK?#iXY
zuE|Fq)!nrhS$kRI#>N~4u^+2bo>WTe%kPxbpH{w1M0EDm*HbUpd<i!+opq#1`}M5g
zdN=0vd+VRC$v*f|<ZiXVocJ}*x-0ADs?WYlla||XKSQ|ln5OkRjhD)^)oZ6+wz!+W
zbwj05#ufv=*FOVitLHXdk+{qFN8!usqD6`Vw;pHkwCt3Ye*DiRyD`(^B-`R>!^3VI
zt}|~Rz3f!p;^S;m$vNZvg*Sl*g?oYy2I~e31Rk4jy-#mqPy>JF?aWnjt7^759~7$U
zt(P;K)p=&(*H{xhr4skEOedyjtYNELd;0m2)*C6aR(+ehfB9sS&;L4%=G|X$EZx84
zb;+rTjpiSXL@QU#UaOR^S*|(p%pr0A-W^;aa}?Gte8iE-E1K@%oKZLJaH-t;8;Z+9
z?L*q0q)*b;SA1Y)(HvB;+$CmuleSR#X>N|W%^Mo()z44U-hBC~ov_-22g2X%bzaQ+
zm@TZm)kx=?@!}owp0gF2E8kSVRPk0$(O*&iTaD@QPT_x=YuVJ^1lXMawlQc|>$%x8
z<GOhan&yg~xXtm`K4P|=_S$EeKB7llf>c`50tKsAG^m|&$;vQW#PpNxMptZJhDXGI
zfz5GIqSsP-lIr)z9rSUV(Q>0SghSYE<@NP7TK%g-3WVD<xBZBluuyVQe?#lfwOX5Z
zJQ7TO^+$s(Fh8`qQdqv%yI{r?;Xl_HN~bRje!|wcLA1*0tep5%uTymsZfJ_%{WQVz
z`=!9fxvCnnCvOy6dK?o?ZmxFEfAF|Ly!&X<#~n8_H*J#LHIMOBy_i(#{<gZzTl3Q2
zEI9XV)z--xCRtZn*R-te>8+X&dSTWT)#qosgHPs5%y_WnU-BHwdC$*2cAMaSy>^Y#
z1%sjkyea8-R?a<cQGR`2GGFZRq8BCgcdx6<8*6NgjpS1;I;%e=cXy%a?0vU9b@~Hd
zJ)2Qn^1?Ob!#VjFj%l8(ylRE@7n$^CeK(2e+`MA-JB2Oo?UL(?nDiIza{DFlD59N>
z%dul^AeV_;sgiWc_S$PMmo2%b|5Vwnd3H}#p2KZ6ucEAkP>wq(Cd=*|71<flbLV8%
z`J^9(XHR@cF*50XIpw(U?RD9$TB6;C6`zVFk~CB%Ti(4bAU(4uSa`Wp!mg7ibnE+L
zeB72jPB1c(oON=-Z++2KDl>VU!c``}yySCi<wQaGbiuc$uDDOWsinOAZ=s>&%)aK6
zCsH!hoZmTyteBKy)FCm+=UGphk>A;x9~RvT$|qBvTr<~GQ@&hiAUTugY|4q+3a-#e
zK5Z9nw{3oX(dXF8g}w)8{7aa0d;g9ZJwC?|)~BQxnM_vvzDP5~(@4@uU+UbuIcY|I
zyct}_N+wUdy%nMgs;CdF=$f^gjPjJji!RzKChb{c(O;j*Q|G**zxJeaY2T;$fnI-B
zSbH&cY~cQVPf}b+M>6q!+o=_^edks8*ck1M<lMdL$lnX6zfaLQ#=AH$>s0+F{@|L#
z-pY;XeoQkHc<-179u(?Yso$-iamVv+NzpqmS<znWZ_9a;ueanEzw=@g>a|`u-~U{!
z=HG>d>ZUBGc51tto4i}n=VV!aZ`G-TuO28IndY*`t8TsG#W$7P>naznOcLH0oYQpW
z21mR}@aZ2jlN&1o?=6_OWpQ?vh|6D_`q#$_U8lXU&^>)kcN){TKcUl_cD`$ycOh7P
z!`l_XWosr@_rF*_-Akn2>NIbW&Vn^sc@fzYlX;FiC$9J8W7H9H<4Blj<iyauM3A}Q
z>JPUk$8Idhaf?*DcuDG+%SXo98=f4Q@~l2yz_aF<IL|(rLu<BW<y;SWeOKt&E*G7z
zN58JDKQUW*YXRf77Y{F8VPN~ce0tMi)=8rOAO8NcYV9;huX7Q-y;aAatQ32-E9HV=
zn|Mx8bVY$d|C~*#h3gxScfShUxGh~!R5w>g+xGR{RZASRZ!2DyvP@Q0s!H2C>&Y}(
zw^^q*PO+(NwGRC{XYcH1j)yjBxKElBF?n%7fyC~j8A=iLvd8iwuPA%+EqT1>_Vh0r
zZ{|L~yGg?%$uH;B!hnJq<xdqnXMg`&CiARY^vtnMYMxRS8%5il4my^e(wwo(>hvr%
z&!Qx5zSgG0H>Mg}<i449)?DRe=UQ_SF23aOJcc*N_gybJd?k98*1<2j=08?wZjG0T
z(Eod>X0OKaj#c^__q*5M2)<mlkxevR!Z{;(G4I|iPFVw<-|;UhH~)G3SE`;{X0@d8
z{?AX;{MR0M{@{_}+O(;!pQ>JcxA~CsE4O)xQ)_FME}g#KTy)M`uE2)E6PxtQwKvr8
zXI&L_XAJrlV8r;S<L&mnO`3(*wS^aW=k81t_38W_I+Gzb>-5@X30`+HPt~)$2#S99
zi6!`vn!lRE^jk&sYBPkkryDUYx^g6|_}tFS_Qc5Sb1wqQq<PYJ-b@hKczwmRiobP^
zS5~k1?%nqF>9*EKYbMPMQ9IZ0YWm^i6Z-d-8XSr1{PFnl-DI=b^_P_d*~5&d`+f?j
zoLg49Im4LSkM+@<w-3ECJdZv+a;d;6v3`ehq{T)J8_r0^2fl$>;lA4Uo{2hbcrJdn
z^Lp9N6!9l4Q#MT5cff{S^Ztyc^&07mFN8UD@5<>C)_4(R+EQm5bYaV?5=W;%N$=$m
z>*CI(3tTw7Q+TV~UJb2qqa>?z{czR`To*f2U;Kz;-ZyRWW5rXIt`aWs`@&e*-g=)D
zU0_ik_}Y7y=mHD>XeL*i3mg$YYU1<M7TPOr`W;@EaC^0_`LS!OHv1O7F<YiFbK>jG
zXCLfeb-~R2{nd=wj@eVM9a~VfcI&o7?Y=(68@EcHkDnGj`(QTfb+<=mVKK8eX0O?4
z*1jtAdYZ+))91gvUf0{EWwz-m^R1rMQB}D|Ubo)LHlA0%f7L!Sm(SZ2#SZf@t9bTJ
z-OI3hx@3HNr+V%p{k~&yk9eJ*1T1?W|50z#TJCQ=YvVTl^R2j>^jg^eR?lDm>A5;>
zKmB)u#+;;2wi}*^+4k98?^<TaJvYtzv-RiyOZ_`gerHV@|ILcMN2jmbkh5fx_T$>d
zKHkE45(*#J|6MA2w7#P1sNIZ}Pj2a|Wt<D*dfNOZP&u|ocE0$Z*~d;=9sDpqY0eKf
zQ$xRbD{tBaENgpzdjIC+xY~2}8WK18OLp%#e}N(Mo5Ak*6c01YBMv|Jgg(}s#C__b
z&En%%#7nzR_c+XbUE|J`$QCE$n;`lrZ}XBZwUbWGEuI=)TwQ4DdU&}~eZ9Hvvp0`8
zR;pfhobmd#QvJnG?w&JR%=b7;o>_nQl|OrPjaJ{QTRZn1JzLae!&@W3S~Th475kah
zCsLz}dc&qH>fKy!+EClbd*e5=eyi%~`3HBO*{XNj$g$$N!oHn3|0C>l!tL)Z&^@^O
z_}4#@vb(o+>%V{Ezb`py>bC;Ho<ExP*;avC4V8E11)s_nQ+ttJ#GTq_aAf-SiR|(E
zA;CZQL_f6N(q*b>uJ>(KVd#VTx?6*b|D7ti@w-djbMu`&It81)lqTleXo#4}`3IaW
z^Ud1+Q6v5&bMB2b#nq2zM)jos`fogM<(@|oeeSh(SFh*({29_a*|x4lRIcLWx)YwO
zzxu}I?SCrqb57z(xt3a+=NICvzt3l{>5tHM+-$t3^XWVBu*hgWi5DOIj;*-$d&b-3
zg*7e*s^em15?`Lm&%D3?mp#hZ?q(P9uS~os!|;>cq?C<8!|os);LXS+!YqP06g-20
zfrkMC7#SECmNd?vJV#2J*}J)B@-8W9#*)d`q~uMqq!<|V7z~Wci;WVq+}r|;BC`Em
j)18gn%nVZe%%jp$y-G4lLMrw1%+oSL19IF73ruVQ<t^qi

delta 6165
zcmaE6w$iLVz?+#xgn@y9gJG9uUgT?&T`J*>3=Eb`3=EtMoD3P|DXD3C6}dT~A*>9{
z43(zorITXwn+!zS)*oM=QMI1w<|E#W1~EluFO3ZqS2apbHSK7;eI<UsSWma{?pMbv
z&wrj*9F<&m=jwy*?Xg?)eOB~_TFlpUyYudTMIpmaQwGQS2-Dx!T7o$y>_1>)syIiJ
z-_6V8K+&9l`7ZmbVz0^{mzv|@>LI{(G3&Um#P%8&|FemwcdwFORN@&d^J}7agYOy^
zPMwamE)V*Tep!>16?#QFwq7cektOU=g__O$S^Szs^VYJOJrod6eR!w*Nacy9hGP=k
zb9~;+Rrwlp+%}5CrC#@nf204*7~d7&GWp%6wD#=iVdG@|x$57OA{pC4rHMt4e_Bl3
zs;mD;@lBX1`^%THF%|k}HY>Trh{vfcl{mO8@m9s}y{!wfxx?1w=Xw9rs$TR@DJgv4
zoW9<OT|KUgId+GMEji=%*V|{weffCl$nv(=MPJuH<W*lRp*mxdPN9LKsBC9ld%a=s
z6nRig=rXWDW8z%VJf|X228L6Uw=rtgpDucAvFYyha08J-<tnk%X#eY9Bd$75o^p1h
zOQFZfyzguaH-+T6-kjt%?e*8}%`^OW?Jp31z|3$|sG;Rpci!x!lV^IQG%<Wy`2Y9!
zdcFGp|31B`iOq?h{yKF3MH|a(i|(tBtM<j%ewDs_^1<Ksw$Hm}uYI=p`PZzA`D?E6
zKL6fd|6Y&zzgew^`oR;Ne}3;)@H|}T@^NjOF{ituRiS&~e#4)y&vssmpPP2v@^zfa
zZSlL)E?Z@nN}Y?Xc>e3Lkca#{6KVPTdyD6s?kSMqJ=Qn7!u;>C^YW|fO=awNaPM7k
z-{ODeHJfO&IVWTf#(zHg`ctOsEME1pz0u#L<ZSKiw?@_9ZJT_|@j<-$I{x$b%-ee}
z_tbniuHvCoF3~^P^Ov9mllQOPkJ~->3yQVazYUYSz_I?Y$c{5*#^2nJnf%xnofEz}
zU1<IG-QkDqW(6`u-LvRo%4gy_xzd>L&q`sp1@k)iQg>G<eLnj5P35;O3Ja>V-1Z+l
z(YQf`{fnRF7J=_m>gqo%c(HHa3%eDz>06I?avqrfs^eX-?ei}Ii~PU3&X)`SvU2K+
z{`2uBs>|o=lpfi-+C==o#Qf9q?*zSQe!8ktu=-9x+d+mkZ}%Adcz$%xLzRbLd2Lvt
z*KDu3nZ$WC!A)thCeQq!%f|CgU+xlbJ{l|5e~3e-=0TO?tm;1*Z~WUGAJ*I4jPEn|
zYhsx;rRHgqX{LcJpYeY?QIp!k)3?jT%I*BlCC~Nvq%#knEW4+~eU?t^7XD=_9d}kM
z+O%Ee+)?Fh-n!N050A~+220%tiS~=J`#;wH+!Ir4Um3GMM#o(Ir+r+&zopy$ZZwx=
z4cypb=&w7^@@2)W3#`x9-_0v7tol{|_V#vRzfWI2X8!zlxv11C-hSUaJ@XIy@5}$T
zoV>sOZT;JcoA;mpJ$w1)xbL<$b^K=Kn`YLFJzw@9<kp+iH)<OcnxCC^FNxKad$xM3
z`<<65K95vnS|@+dYxub9uqKC<RHd@tezBK7_dV}l+;HLOdKSBL&-;rjOfwrMKbZgf
z#@k2r@meoFlogoH^Lt^fHvJn<T}j{vHxrkM21<dhm!9j-w{KiMc`9GDmqS<(-*vX@
zhflgqXV8)R(8jy?b<qdSKZZP8K7?G~${ex(t%<0E=amcwjtp;s|4j|cgf5-5=wsgB
zcj9&4-kTlXv3qy&=O13-`A^XAz;cf{(`Mz&n|shIU|s#>{cPJmBwV;ZbK_p)2`hIR
zPk8OS?A-*O1J0)t%U&3KmEp}WF}!5P>2ynN<>YC;UFQ}ZNck!p@%u+loG<(JSDa@j
zTt3PCQO>4dX;S3NteMQt{dYRcncZd{h+kA(H_vvzR``X7x{DeAZ=Aj2$1&fXUvegy
zvhzPPY+cOCb6|aV^S64I0<Zm2eH%S~BrYw9D3DXQSW+UY^7IJT?_KehmLB&HMbvR8
z|J2PsoiDiNDf6YQQ_)B6eTz;xAK`OnpX&y-OG<a*a<3lHjO1$*KE!|e+Nb524D5H4
zs+T_9l-sj=i{ZOV+sdY!-HK(%e5&_Wd58DybFsHF$}{E9#=3Zgy;Bl8RKLr6_Klt1
zvlZ?vZ7!V7cP!TG+Ph1RySWwC-dS4AQvUMBPH*<NdUF@=?DjZ$D%Qm*qWopVE^qe7
zda*sbOAOy#+FCYU4`TdX<sIJF&&BS_D1RvjH-4A*^-Hn4B+6eV-dWmSIDOvM-Cr)e
zWAe~0e<^z~wyNyirF!Sx-tRNsDFtmR5}zn=Ew*afyGw_6oVL8h!kC%b^xWOxV6nBZ
zjr;5eKQH<OEJ;aiQc_&QIWKzF1IuG9k7u(pIcnF{mp|{l`aDl+f=Bbid#M(^8GH2R
znQ}C2oBg|B$NB3!R2G-9PuCB+!ojqhA@5~j+u{t~9c$U!dMkN%EM{-3&-LQnv6{Wj
zbvN6c%goKYuQr@FJy0Mk9QH`=-iK)$j(h#Mv0HWV>y`^EGAcI<@8p{E{+Hbm50}R0
zn}61<ZVKF)BGO_Vx?q9O>{<FDj+XnS`kTK<iBA8(*P?B@K#=|8;oTSb6|5K;uL&*x
z)W^djzFX<Vn<cS71G%}^Jlyx1BjiE7)B}!?2PGveS{pKLn5Gs-Ykl!mj$Uci_fq|z
zGwYVQ{{zlGu2tP(_kURz*Ut@xKNg#8_v>zZ6B2VmqsNP5$sA3=NGVpvc81Uc(kob2
zM@)6twx(xsfzh*vqP_+(vs4dAX*hgpe->JNZn|^p6~@-GZws6{E{WW_CSGCs`^z4M
zkM#u^1}B6T+wWpbc@pb5Pwu2ph}TcHe^+y#skJ}8)m-4Q_#u<?)~`<_9Q(6)S~NJ%
znrpI#NP0_LEcdjMb<FuX@3lcp?!B_U?|bV0FmYNjdzcm0N#FhRNBZowlmFhzpO$?h
zWVPS-@P5r@;YkNg9Zsa}u9=xzKjD!&zn5tJrT@<LQ?_QBu&i5qRcc#CfA$FmRxxiY
zxlbO)7f&&3OYPQNswcci;Y;g_ROcy{u_f{|Q^Tds@N!2?*8E}5zso<|+v9G_$p9V|
z{{sx$R1atPZpsXHc)2LhmvP~$;AeFK3uaC<W|?oYq2OwXamxm$6@n)2-OIEp7;~h(
zQeQmb^YF;qRevnN&LLStex>1*W!mYHS;qoSI307UuFzOgB2}asp7-Q_`@bj4TKbYb
zp78x|VR-#eb>=zUub*|?^Gsx&sysZGtlX?PL34(Dd#cTzl9LKcGMnyPXMZOU<oD&6
z<K%T2UC(B?Pn&J!snsfy$dc~MZIe@Y&SRUtxX8_GH`GLhQbg*%y{|s!x9e1><D$m-
zTxv~keHbRDt>erKn6-IE;@VP%WeIcEs;t=Hxjtge8o^_XEA`)Gv|n`0z16c&HsMnk
zi|m%Zh0G_?9bPXm6F(HdJ|l(2kzMCYUN*bzqn#_+uj(vSoS~DQ;34qTO?#u6Q{D;}
zpN^;iCGHcqv;>`|XUJY@tGDGXZRoV-vPuXvi<Wh;;ab~#D`MJ0K7)fQj_f)mNsF0x
z?c68H|MPe3{r+Q%Ejogxi<M7}`=P#g>BgN5YcGEmaQk_&Lg@*&`>QJUW$RTWo@hR(
zIls18XidY<b<xs1ta&F>q$XFJKUpdjl{M|%<SN;@x&0;cmu!C6ViPUa-E_15LAA@(
z(9DhU=iW6bRL@#6Ifbk9wI@TV-BQyPeX$$(Hai#A1wWW};N$JSd!iTQABL;{%l@`&
z0sr6aclnS1{`kY^f7kv!_t)M({w0X<-var-H{Dt}>%F!ez1vb_{qc6q$1SlsaW`F$
z=KnwZ@3~00sPr$38+q3YavAqa7d~tAc->q7KyI_A$IO@W??!AmTlP)cH}`y<@_+9A
z^U80?%b)X~C4c728DEKY#w-5a+{bmJ`Bd+d=f^$#R`?!I^y-k;vRug5CSadb<P^)J
zSoh#bOO=GvpC2bV=Vl8vbv<B7;%w1#T-vMke3!$)zf0{rqB3W#<(Kk48xfUNvTohY
zkBWOa+3STZbE@9oV{I;6w5qbZ{as7#8jB15i*IMt$ZO_^eQDUeLa^~pXz4mz7nSd3
z!7AnY!7AU?eU}`a%H9*Y@1sVq-&diD6P~%Bmhn5>IaQjC_wm_-8$OAC=U$eS9&l%2
z)RR6r3yy>u<pYw;SHjB9)G~-4_@Scjn45Zh&f}d7$J7hzIkU8_pZz(@YGC)nD<Jx&
z;qf@VhL4S*O}9?<S+0A=G(qWF_&TEv?~|q<c{N@9<14<X$asNCb}sjPjrxvV4rvy8
zcfs{|fNinm>aTepR~?)rzx&j}*D`Y+eY9;ctF735y7BkfU$>LIpS{jsHD_XvgV2XX
z*}Vn<b6DhFHwK(qRnuPY_;KItkWJh#^5R~(1V(S%5&xaPD=bsw#>E^3sUO;@DV37#
z{dcB_pS7Oc)%Eq&SFQ}PU)nRXe3Q;iH9NR+-(tq~d+O8IXdnD2GV7y5LEXk#`p+WY
zOtm>@rjxKgP)+ElrtLeAm&&ulOQ!|TdAB|4#-1#bsE*57byuE+&QuU}F0W@e&%bBY
zoYPm8w;T_Y;3ze>I`+3SjOlWMQ`_TeyTef&o-=PBeeG1*;=`Qr=~3|fgEtqqxJxW;
zIUc2^uGDK^TobCK$}WF-+vO0ukje{utkW(?zerp>;{;FSUDv~|Ew5J0WL_z>^J+u<
z>Q9yropOwPQ-7bEw^Bv*oxEb=&)%Y%`fV$tmIt?ex!dWTk`iqiU3o9)S&+@lR^54A
zg{m6%j#AD)1usdQIzM67g*Q6v*DKc~v(FFv8lX98uIIWtERER*B}JOEl?!Eg^!)GW
z>M4ZqIWf=EiC%ZYPyDyfi-y1KH~wE(pq+SK%`Izb#EtVkiybv`noOP*K3FC^scphu
zh5PkyUvu!hpZmZ+(ey$h`_a!O^4d$HSL~Vj%Je*g^Nq~DJ^Ty*v%lSyzT~P-@5+`J
z9$cOaRn8>ys|L8woFL_OEJEQngY?GJJiJ2J)+^ugUVY?M&&2oFy=R=`n9NWntLoGq
z_=?YK{;5Y=sxj?}T+ubx)i3Z~Y;I`%w^nQGjz6MHU)TQ$;8D82>f0tYJFe*o28!xG
zn%Q1WbSU1!%W^2}f^gQiO&Xy=CM_*<i|*<28@=R{6@3xOba`UWzUhBe^dz(ISnqP?
z=c^Em*Adg7Jy%Kl_M+F44lkXQ-(O!4KWo!-N!t~d_hvm+;gY)g$|>wX>ai%>6J8mv
zubMtTdl0fonoY~1e%*hGWWM*Ge-%1UaKBo+MkT<ws3H4=-_B&~e#3X$YLDye>Px-w
z^53rYes;?OQg(0R>3T9NR%3SA<5T9fQzu7=Eettp_&DQYx5o3idv_>AsEL@oIO1At
zk$*3^Sk-Uwx>d~=<YcpUT;jCpf0gyJxjR`#>IAFfHn)=(KdgFuQ(<@g+u2I9&IJ_A
z?B6u|(3#WU*)pP?J;Qj{6g9r?ahq;EUrD-K&^q#MB#-sFOv!m3Z0Uw;A5|pXD%A~N
znqnxGU&q!g!F4L-R<(4J?&OVGkEU?<m`iSaI<fa;M~RM)n@Y2>WXb=fF;g`rH(n4u
zm11Qvd1F?}kz+I6cX#~Bx)hyyq<)*dnVRyYjRukvdCsL=u<a-cUF5^2)TS}{<s+YC
zt7h^`r^}_d%<Q@G>)5dr7b`I}<w*x;^6cXGU*|RDq(aqggEHGWCnHR^oRoYV@00Xf
zTzazL%ZM309&G7GFXBvgY6Yq_zi>T#WA0<0W2+c_&q?GPCG8eNQdIxqo*_t;bM^5L
z$t@)jS9YnlNa*XH+by-blYhVCivGHj&Xs+i?k$+|!?kn@lR`^uj^~Axmc7ziYxB$^
z-x)rc*m>6LMX2f>e%XD?ml)<5NUdDf6XXAoJNrwwt+CJq&alI=f}%PL48FR4WbLzF
zHQVo4?5f3w3fuo?p1mRZ@OFLA?vRF-$9=D!PcEG9RbOx?khjt3UC>3|KI>JB1CGtz
zx@yw~s{(-(Z>2ER{nsaCeA^Z+AD40JhD(a*YzI@bCjD6}Q~sPuY_!x^AMblB(A4zP
zVaI8u$vc&}OV&(FeJti}SNq?~`(R9|bN`E#k7t)%(X_hOvDf{|b#K<Ly>pMU)myR!
zM2VWO+H&Gz+hOm-^`3l8XN27Z66P5-F??Pk$XjspN89sbGZy%`MXFqUB=xN2qTuWe
zDMyw(tDmnp=?B|=@d}HU$h@mB)~&p>TlGW<=f*3|uY>2Aw`V<My0NF-JC<4EhP^Bo
z-*b<sKkWCPhv#km5|DH(Yspn(HSetxuB-Oe_ZhB95Wan=xiRv|6ur)BUK_qu?#E`$
zb4}T@VWZC1HD#-t*w*E;yC=^o6Un#`9T=p%?ahTtF>Obmy!5`aaaH=8w<i25Jl#bs
zCnuU(3bA%?^lsN|xq9#hZ+8{TNybde_s>*qg{ogyn(J^0%}}0Q<RPN-EY`~7(X-n9
zvhDwpSnJc0BPOfx$lUC5UX-xV>ZM3e@Z3b-$qJU6Sle9=CcU{NDPvxC_?dLmlM~mZ
z!RDJYJWIZxy=&oX-O{NEuck@oT$yo8pKZ;wI?a7?QxYq#ir>HQd?WZ`*+!<P=@Pyf
zxr=$XW(mp~nEZ}kQF-~#<G)h%%ra{wFYo`HqSn9mKz;gyKc>3rQ&&HAz54F*A?G)4
z^OBa<)~sB5`1)+2b0w`O4i=m+(l0-~F^50vil{qN(zgI5#wQ(Tx9^>!S#(`n=zw?b
zj>M-v6Mu(JWQfZ;yjCr}>rQ4D%ZDK8cb_bRpQ!n(G)%u$P=9Qu()M&E#wAxyWECIV
znb)2ek?r>~p-ft&K7Gf{1p@o7YfP{BTGx1e^@;D^v%WrE*7|76B*{=Uzs66~4<DYu
ze{X5Pk+{wur%ylTo_Th^*2FDw4qR@ppDf&D_4ZTR#T2nMTt_5tKc0GFQP<&#OCNR|
zD(K!+kP=uSbb;x>?mbu5%?YbGqt$X?9{()i)o(YQ)H}i9k*HbPSjjeNU%esgzD0BT
zoFX~TzTRZ$r?61_s=%wS9!`%}U9#l#Of{LCw9{(N`2!1&7pv#kR>g(r={l`__iz<M
zjH1;h9@%}@8`eI(@KNAYWu=5m?7nI2Y-hbssw&tAuJ+!gs$lOg%~WX<z&+#lABP;Z
zg?5UUeutMO++J;a{aDzl&83B3%+&PjX9~XF{Pn^9RTr+gzrULC+VP;{5wGmrfUVb}
zA|E=dFWYw`s{gtE>9t=UOl`{6EzH(l_w~lrYca2#pRUTbmAU<N^50$AYZrd%&H34M
z?XlMMxa^Ns0o7}de-6KTeOAUbvm*&J8u>jZZQLTh;JeXB-w8Vosr~w7QYKt3;=q^s
z>!a-JdZpJfm&!AKiod<jQg2;So8&or;`a;r2a;y|HIcFR`NivD*VEv$f8ySv#JO#!
zZX2Z5Z@#~|o_F8j@;htN&a1@bKlBdIFbg_3_0ztVKHk7-5(*#I|LGQX`|z#HCP8g-
zwnfN=o}~h(*f%ZTT9WwYL*=>sd2_Z1^ZY(}ob&#QW5-X{8=1al_qzHa_vhp}8($TF
zb3AvVX2I>F!i{Vmo1fpj+~lz7q#@g>XKQ8r=fwo{JmanMshzfc#}$qzJI|FZQ8;tK
z_Yh}9>yFzx8oBYUMbCYnKD`qc=ezJ>@Vx!ApB0x?a;;RooH*g-Yop(XKOXa(z*4@(
zS#n1FVM(9%!#P@ht8eYB-`PI<smLdj2h9Nr>JmO*C(msEBHfg2k;s)h=k9978{!)n
zzumjwdvMax^9O=oMg48_5ZF^JardkA{psgVU;Qqe;a%`?)4ctT$Jf1m^ttuNNp7vM
zME>djZp^;4Ot_V4#qqb6OMe)cJNi$I)+l0ew%OBb^Q%g6_NnD}x%N40#|s<PKVDRS
zbsg86&8=lx=i+Da)$0A6XmI-LX(r|Fc=2z?m|G9sdi?S9&2=8R=5jB!D;=cOUf;P_
zv5f8U%zx#_j77s`4u3rHe)+3iy8GszD!g=^fBPY2{hO+miCgcTerqnHJli;8Q~N=N
zd&L*xtUu3B{~>3n-k6sDL15bM_=wb0%LNNG9$QN;&3c!-Y<=q^QO0|p-+dC9w(iZe
zZ;yBXXGa;f-P|btm5CQ+Bz<zTl(I2s<Q#+pycwB9m_;y0d1o*%@Gw9CBLf4&lE(JQ
zH>ISDKqk%HFh6Mr69Yr22)Y_`aR!Ez)Z)zaym&*sq?E(}Z&o&t8O#jK3_BPY7<8o=
z81xtnj0()liV902EmI;Rv(2kKlY<NO^D>iC0=xtLLvjpEJSvlOlM1sf{qoY&Z2{6v
Bo<9Hp

diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v
index 0f48b75..04fe639 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v
@@ -1,8 +1,8 @@
 //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 //--------------------------------------------------------------------------------
-//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-//Date        : Thu Nov 14 05:13:51 2024
+//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+//Date        : Thu Nov 28 16:26:23 2024
 //Host        : hogtest running 64-bit unknown
 //Command     : generate_target design_1.bd
 //Design      : design_1
diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml
index 9ef0b3e..e6108c1 100644
--- a/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml
+++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml
@@ -152,7 +152,7 @@
   <spirit:vendorExtensions>
     <xilinx:coreExtensions>
       <xilinx:supportedFamilies>
-        <xilinx:family xilinx:lifeCycle="Production">zynq</xilinx:family>
+        <xilinx:family xilinx:lifeCycle="Production">artix7</xilinx:family>
       </xilinx:supportedFamilies>
       <xilinx:taxonomies>
         <xilinx:taxonomy>/UserIP</xilinx:taxonomy>
@@ -164,10 +164,10 @@
         <xilinx:designToolContext>IPI</xilinx:designToolContext>
       </xilinx:designToolContexts>
       <xilinx:coreRevision>1</xilinx:coreRevision>
-      <xilinx:coreCreationDateTime>2024-11-14T04:12:35Z</xilinx:coreCreationDateTime>
+      <xilinx:coreCreationDateTime>2024-11-28T15:26:09Z</xilinx:coreCreationDateTime>
     </xilinx:coreExtensions>
     <xilinx:packagingInfo>
-      <xilinx:xilinxVersion>2024.1</xilinx:xilinxVersion>
+      <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion>
     </xilinx:packagingInfo>
   </spirit:vendorExtensions>
 </spirit:component>
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt
index ccad536..2e8fbc5 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt
@@ -1,5 +1,5 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required
 #             to simulate the design for a simulator, the directory structure
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh
index 8ad93f3..46dfc7b 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -47,7 +47,7 @@
 #**********************************************************************************************************
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh
index 080cac5..bf338e3 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -50,7 +50,7 @@
 set -Eeuo pipefail
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh
index 68ea1e1..476d6f7 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -50,7 +50,7 @@
 set -Eeuo pipefail
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh
index 165e7bf..59d9e0e 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -50,7 +50,7 @@
 set -Eeuo pipefail
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh
index 1987ffa..942a8f0 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -68,7 +68,7 @@ design_libs=(xpm xil_defaultlib)
 sim_lib_dir="vcs_lib"
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh
index b025b0e..e1263c8 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -71,7 +71,7 @@ design_libs=(simprims_ver xpm xil_defaultlib)
 sim_lib_dir="xcelium_lib"
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt
index 9300ddb..02bb184 100644
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt
@@ -1,11 +1,11 @@
 ################################################################################
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
 # README.txt: Please read the sections below to understand the steps required to
 #             run the exported script and how to fetch design source file details
 #             from the file_info.txt file.
 #
-# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024
+# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024
 #
 ################################################################################
 
diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh
index efa8fdf..a03b492 100755
--- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh
+++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh
@@ -1,9 +1,9 @@
 #!/bin/bash -f
 #**********************************************************************************************************
-# Vivado (TM) v2024.1 (64-bit)
+# Vivado (TM) v2024.1.2 (64-bit)
 #
-# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. 
@@ -36,7 +36,7 @@ xvlog_opts="--incr --relax  -L uvm"
 xvhdl_opts="--incr --relax "
 
 # script info
-echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n"
+echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n"
 
 # main steps
 run()
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml
new file mode 100644
index 0000000..baa467b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml
new file mode 100644
index 0000000..53c308b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml
new file mode 100644
index 0000000..2d61184
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml
new file mode 100644
index 0000000..baa467b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml
new file mode 100644
index 0000000..53c308b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml
new file mode 100644
index 0000000..2d61184
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml
new file mode 100644
index 0000000..53b4033
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml
@@ -0,0 +1,17 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="design_1_clk_wiz_0_1_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="design_1_reg_decalage_0_0_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado">
+		<Parent Id="design_1_clk_wiz_0_1_synth_1"/>
+		<Parent Id="design_1_reg_decalage_0_0_synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml
new file mode 100644
index 0000000..53c308b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml
new file mode 100644
index 0000000..36eb488
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="design_1_clk_wiz_0_1_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="design_1_reg_decalage_0_0_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml
new file mode 100644
index 0000000..baa467b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml
new file mode 100644
index 0000000..53c308b
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml
new file mode 100644
index 0000000..2d61184
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst
index 28afbc3..8c2495d 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7733" HostCore="8" HostMemory="8102388">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13921" HostCore="8" HostMemory="8102392">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp
index d5f03d18e5bc4ef47e0f3fc1b4ee30427e23978f..6ece7ce8c3412b63b16c092ee644b417aba27c3e 100644
GIT binary patch
delta 11285
zcmcbYGAp${z?+#xgn@y9gCU{0CUTDWHc4$J28MVx1_pKpPKK1^0=<gdoX`+f2IiyP
z9_dG?o-SJCAkudIad64TS&=Oa2fZV+x}v9uh;v`CSiMdx>Mdtk@SUV*FWHl$JG&Ea
zoc;Fa|4)nUdTRa4lex0yh__r^sn9*?gT-s#9_GGyW4DZY&THYmfh-S%PJLRbz`6O}
z_Rf8qWQD7=!?!a%Sg1UYtG04MA6xCie{1a5%COhX*deu#VXsmq(+*w(u0_tQPIDM9
zuy3-eljxZ$Sa6m@W748HgVP7h!`+TZW(O^9Y5cXOd((=B_sn1OyidvB>G?Ft+W(_~
z=A;m@+k35o-lxQgxYegleJl{4JD)LQ$qEgR8pEn<YO|u{Vxk>aSl-czHJQ86=I5uC
zEpI%#GCebNj=iy1_Tx+3fmtdudN&_a>z(bTzf$n@I?o*n)m*6(%h!MC{nIA&#B|mY
zhub3Ap(k_V*O%>1W3}nD{<G>!Ioo1YyV&dpUEPo7SnfFXGWX888Zma!`nkzn#UYQL
zgvHG`Ei%=5ntZ<XU7gtD*O+yGoP6xdAhqmZ`^^&GMc?1-5!c=zThDhmV=1?;?4d<#
zwk6%2Bjm00De&M2M@i4ajYW4ah`%^gvP+2H^A_)}D@<qa?(oyzd{fG*>+J0x^=EoB
z9d0+by>~sUxor|>>&K@OM}mdQ54UsI=VopVJo>1?=nn6;k5QiLw|J9hehb#-P~V-W
za@)8mEa-E{=e!jMu0(lOHtlMh=X}5U|AK>UYX7t%jyLX|@%D4oYpeAKxc-I;L?vqL
z9cNwrNkY87)$g5sz3k?=_xz}d>&HHqeoaOOh98U!4AP*)m0FxRIg&{Rl(IHgFqtqi
zCp6bgUe9a-7I?<2%g6yrlVVm?rIV#u_3J?ikkNwi_`ispiZDY9S0@D!FfvR7Q3{4e
z2B+gTW<I#2IZghFZR7Kmi#%Oag~ZH#>V$tfwUufz9Eknw`1i8F`nP}gC#|b0*yALc
zx9!IwcBvvu)$NmNo@_knzwCF|Lgt08N2<Qg<((0={d3-))60XO>i8wD2@&&CuGfls
zY{<LA=-$W9cxw(PbLRU>mla%3N3N;}pSLMITrSK=A-&XBblT%NWg;>ep)8Xk_MM-*
z_2RWTV*P(N8Ep8r{z>13wx#>Zf4WYISoCtnmVe)q)`?4AD0f`F;haorkf1(?p|M8U
za~>;+$J>|lKDayMH~%HRXPz^YOX8<rl$|RQ;1*(7_|H{h{o4=&r;iK%N5t1&@$j|R
z{raJXb&<x^ODDDoTj@+WGI`yVM4J`+ubF7Lg<gLuwd=b}p_b{&jh)4N*0nXEM9AbB
zY?h#)o_vMvE+;6Yf1OSWW1YN<T?-u4x#4#wOS=nR_fTJ{x^_w6``Q;iGAt?y2MYZ@
z{j6b=*%Y<buS<39rrn#~^Q25Xzsdi}4vX^X{GUaibMrhrUBkj-^R%=75hvG*rX>{x
zq9KBEKgBB^DB3Lj<0Sg!%p0#;6INU0{;#`x`SRV%#@4@6?8JV5m;Q0#Sw;Dt?>Y5L
z&8wC@ddIWASF88o!w;7}B$Vt)vMYT1>)(%8=MtB03yNJUv3s{DC%@M3#myK0U0!@z
zy-zJ^<Bh*x<f|1t?XPa0H6eMU@$be2ne*BUj|LTdUwi%ivv-aE+v<6`c@G=^X}fHf
z|MkzVtrOcN(qtCD&VSEyyjn&gi<z^X{r2J0i*4$U7+x;;?G@X?^6XaG-Td3UtCMp?
z6Zkd@c}KLQEGW}mp19N1Bk^3A5%0>^6OKJ%S-qUE;i$vBqM0jWKU`7tF3Y-gflslW
z)%5F>OC4Nit-iV4)^U{kyl`qtiH1)t>p#J+h>jqu4>Jp<@-1{pOUz(wb@4pDFqE_8
z4EvO%z5_OT^|RWtrFd5hPRU*rH6yTf;puk1Zjp@p3k1J9Zhn|ovDTM&nt;mohk_c)
z7cOt_e6XP>YC)#0mB10fD@>75Qy$ocbSYGQy0PtZ0e{+&NuD81i<j^_P2g#-KAGN9
zp`W!`jCDmq*`&I?9Pfo~1yT~PbAPA{I)8wlMON8e;g0&W)$i)#b8gOU+oE;&uf_T;
zZ_|q179M6_KG!L@T=ufoq4tpDtP&4zEKz3iYUgJ+z4JjY%JlY^o<2VLgO5Z`-FotH
z@gku^8{W^G*Z%m#@<Ow$vf9=AS@;*oy;M1>e~9x)N8x7AFGe;U-0w}M&r*$WterJe
z{u6h=(V!{Q-(^3sdvsv=C58GqOJb_0EPKOux!9_EL)Q(3*eQC4G$WU;TVLWDG5H3s
zLHp$`Cl=n5tB|>-x{#;i!~sbkA;S#4gU18Jo1T~RUf(?Dz;UG-nZS>E$1U<%OE~Qu
zWkpTicV(OrnlslZ^r%fsW!0~w9b2>ysxS5lZEru?wY6q*>X)jp<I77g9h~v*e7!<I
z%dZq;ze$FvMs<7N?wq?VvoK?U7}LcmpA!`43mn|QWU{jG_TJA%9P3%6FS=w*-oB_)
z;Idd^{#mt89#a;&oa=PimL?q8#;13tNI07>_DWRm%Wql^{F~oRyS=qKDTOiT%(j@t
zj$WL<nap|w?4+D7UbnJHdbRF-gzx5JzgaKpAGleR_EkK(u^>-E!pM%7t>j6^zhyg2
zEY>%0Y~m1KF}W#YC5!8gBQl<eOqMqemE8Vj{#&;yGUmvd%X5zX(qvq|vCgVRsZFPb
zE%=FtTvWfS_I;g3`L#BN^F>SZ&V|)Uq^|fheNn#s>gy*Cn5kJaufKWbK&s}~T{3Pf
zJWrO}F0aoG3JNz!m+Dg%Uvc;Jfl!~kTYWpHHp=>xvClsHm8WNFi52tw;7c=2Z2CB_
zzkHG)emN~&p^Urzy!Z1*qS5bfv`ufb^|`<u)~qhjv36Z<SS;^4Uxv{CCk3u}Y?f~d
zWnx#1P%qFpcyd<Bhbt@-nwL8($k+%oJ$!IeV9oBx9etYhoV%oI6d#=2&K5r<Ave_O
z-zAm_kM-LZxE<cF)V_XgS@8#%Wm9*=HAwr!8LZk`Diz=GJY8Y!FK(`Dew}-`KRn`5
zdF#zJZ&lZlPaljH|I9Hp<Bt#ZxK;E+>hPRf2VxJGyf|7mxzjE*LvEj6xcpVFRck%Z
z95k0$b|uZJqSd#0m1=$8Qsoc6ZqLg%e3!8j(z%~~v3PN4TfU(c>*4ai<7VeOx9^IL
zZ^<{-S(%ykqWYmV&-9afe2xGAU%^u|{ox#E7tXwktZV!<e>)ydd05RexpbH8my#cL
zhnpVeef2qhE5Ljc&!2|2Z)dlKHps6^x|p?QxA?S&p>3bb?ti{*JL}@&ne{8)uBzL7
z@}YZ(*49^7x3+EG$6%j!qIcWV@78tK&CPD>g;p@;`A3?kSpI2Yo2+iXW24Ri|CtrR
zZrn=_o|jn0zPH%+x08NcTH%7zFV<fFS7PpdiSx(j&-<&iPyRnvF?s)~9p~g9>+U=C
z-ryhmvG5=FCCe+i{x^NpzN2?MsNnN8>H4GuCWT|kPa{;txC$AT%}AN{?x@c{u9=3a
z>^?F{A}(D2KAygw%A&dB<Ei-nll%_8y+7f(&Yg;%t?}w71(iSA|Nm9lwYkW~b=~8q
zE`KBzFZ8}yp5J1T{y8R1xyeE-KJoR&_Qz`_K5ACH?K@*WG3ej&&t7ZiXdDvkNOC-R
zqp|Bl{dx1yH-$4Mi(H;^`;(0C8Lw0F%eI%xe?MM*m1}WCP{DIGUZIJ9zP<f(GspDD
z7lZcO*6)AZTCsM|>+8py=Bz3YsqtO%;Oy=F_15~%KOf({&)K;uNb0?;jGagEr78b@
zTnY|f_i1O5>**$IJDJMs>w7Db^rRmbCS74Yw(6scn)W&&O?CgGurtrfj!!>x@!h&D
zsT1Z3M^D)NpAn_{jJ$QP>ht73d>Y`|l2L;5`8VW-iJ^J25x8MuX<)$5{3uAQ*t2_|
zqP~MOr^nH(DKD0EuKTp}hD5%{6UOht)3<yPnws)YK+ybFY;A&?*2W$8eP2%U=i71k
zuU5zpTeAn-1omoiFMfD-<yuFbtn2Dwk@*ekp%PEe|7UGJwWU5NGH$=f#de;eX158+
zVc*+$X4olf=`|K|uSmG8#^Ajvt=G)i!}3ak^;_;jzWjq+w<90;w}$+R-5S|w>~ecj
zkN8|^{>0za5_JLZ?rb@`u$EI=Z=>f8MKyT`+XuOq&MBzG9-a7TpXB#Nk9wEIF2C1P
zB>ZJv#pTZ%?_{j_<rulK{?L&+F2zUB!XBn5u3UV5=enpZ8C4R(Ti3fOOgXOIrpmG8
z+hk#DQHHkP@ALDT)gHb+uG43xE$DaD%-@e;b*zH^)1*k>2(gDL$N8W4?GEExW&QNk
z)~QjJ1x$`#OU~Ng|Gyxk*W}l?S|86l`ySYw6nkb|e6x1`G@sBdAqAYa0!H<A?>Y4X
z%%`4j_<b-SfO*}~nw^IZ9b<T^et<K9!(-bX|3j8-ZT!1egxh^Fxy&T|@`*dsvFEKj
z7tQ&rtzoI)94EGHN72(WE3z-mRSmnyze2W7ZvF$t-q)w+rx%|!=DW@MzN+eSUeMYJ
z#?=o~9hJAQchtY$xj9>US?uSH7RGnaY_Gp_q3&68YFCzZ>04d4glx9#8-H5<eTm$?
zad%+Gb-_rRrI*6yEm&|^VEY#4CHLKJJF>g{Z%=<E{GRvF9xhe&4*8Ev6|ytJBwLL?
zt&m|$YGw1_oiBHJbM+>s!hZ~9{6YUN&+LEkyDq_TW&F3k<C__y{KY>m(2y#aaH+f3
z#g?_RUf5<=U-UWeSKFrbyv^IAsTwEKrm%Re@h7RIUp*_gzK&OH+@Ez^f|I|l^qWlh
z%*|V~cQlwE%s3<WKA%T~rRtZ*))f+GOw?mONX<^zbU^LPufD5AVew+@HCfreigp?*
zxf)DZ$gm;nmw(ya-1KN^j%CNzZEfwj``Xgjq58Vk*_Qgb2hA@<{`T*_&03RuJLJlu
z`L(St+MByylx_%oX|e3=$z>6Lg6>(lF+}|MS~z=~A1Bk>SMR*G9QqwnpKdIoC^dgk
zHs1mH{PHq|dCO<~kbh;ve{f0quOH!+PpuqZsU5J{KIP!C?G_d3ZmtQI8v4~czj1!D
z{-^KA&3ymczt0Y{H`X7hx$af0C$h?O;*a^le4SP&_D(UrD)ElN$mMF~R^RsgcVAC`
zoVe-Hg!!4gE4s9%Ri|jR9$Rmz{$bA1y?Z_;g%(V0x&GAW*n<yQ5^=k|XIB50*cx3G
zW}i3BPG~W=L1zD{>yvCA|5V!J|KEpu?_uUB&Rk7X%RNWs!mM^KiYeClQ{R}S9yfX8
zTI;~%+6g|t_`NPj$8yiU8PgPh)wt+F^_%!mofTH!mIV7TDrnzPW-+|r$Q%<beq~Oi
z_hrSlPYxk{!9E^KR#bi!xutJy=yRnbXk)EF_Qe}{Qn%~>O*Q70_Wij$>%8>8Ma6zc
zo$9@puH<<7YTv%CZ2BFCXE>Z$b9PJppSfLiFC18YPm$J@@~xh6SZKn;%d+l|(@#W7
z=Lc_%HH`g|o&P$asPf9W`_JZ2xy|)TuT_2?*SlwZhXgk@HakwfReSle`rOP*Cd+**
z`V(3vWzBkKzlBFRR<hxi{>ck(L<?*RZi}?}?L9kHLB={&@j=@(n?SCb*%sHHs=WTP
z{#?B;U(0kBW_`Q;<#SFgu#@<8*kPGVi*fcD-AHSbuS)W@kAE&*`hU-<^i?*Q?<<9}
zrC8Y<cd8WKy0frk+Xmim|I?;=h%b2mfa}wrtoX|czxgMv_&f3B<6{ZkvP;$#sJVO$
zzxktwX|LMOmLu8QGbGJa)=x=Lx7Azpyn^Yxw|Wo5jCwA2wrSQY^6p=A{a(T*^IN)R
z#mc3bQ`W9)SYTB$M{~-e*}gjSm89RES*)eT>2X43`hy8m7T&D)D(<M)WzpDwCcSs&
z^c@FsC2rhFyJ6w7HZ4~8nr*{wqq0AVhre2Gy|O>8%ja~$<Jf@8#oPZbK6r_f?c(F7
zt0X1$b#!v<nVv^S)c<GRe?GG*_5Y0xb2wO>PXzSoWNbTI8C#s~o+9nAt;W7c@xiyO
z$G`1d)=#~4EXZ-vZ1V$4&7PLYOnvCr!?5Du%I7nDGS3$=){Cw;u@Z_YR6iN<bJmM0
z4h|Plf45C+8U13*V(0dp65Vn^Z`LekQJMMA%6~IO?0X|MZx)+Ol2vTI;Vqd16Zmpe
zzRP=Z@QOH0tZwD_<0mci?XT^GvizU^T?=RPadrn=R;;#sy7l7D{&Oyij_ZYQapO6#
z^3wdittH8S6WR{np1S-)>(oUFhD`>YDhH%^W#2@;F`Ke1?0$6Nu4~4R)+^k3@V7}m
zZ-4UawkwlUP5!ZO;8*Co&g)<E?oYkMk<g%TXKo4ndO62!zNf5!s$zoPV^LjkPidA%
zChb;>+`3k;{^oq?^q#3Uvx^v1I2y7)^4WYo)3(kapznG^)y9<HlglQW-<l~PQfj^Y
zsJdY0RAW8!iYs%no-F&FX_EBtR1`z=i32ZpWlh}^-2AVGO=}Cg@A;_PQl4M)LnPO?
z)&K7aTbK8)b6x-Y?~2{u`6~SvpL)D9<>aoHp;{|`1-zdj<WqJa=7suy*>gE+x=t(V
z<TB<wH7t;PQF!`w!BvCU_e<8tEKjqInjy9SaC2J6g`L+pqFYy}9NAv?J~#8@(dP$#
zM7)VvIa}uPs#b?}ovHd7$<L=5ofYEBI~MZzxYl8T`oakQiW!S#^5@Nqv2a#wz1DE@
z)y`uPlA0@he`HOys1tw1`}&lqtydF6X7T-hXX0*zpD38q_*477isYe92BNxxg)JI(
zsm}xQ<+2!7U#UtuyD6yr>gn|r-gSwt<}rnOCvr}gq!vuf_}y?N;=&5CiZ-(~7Jt{w
zt*&p=m6w>KR9b&$VpZd_gNmu`K7HW}zvpiJ;GH;KM<b~D=;b>)jrZm!v>tjebI#<8
zzRq)l`Gr;m1qH1T$vZYLuH;Kg1Jk~k8irf;%E>oxoagOuI&bj!?7i;{j#a7OEAC!N
zU3#3W-O|G~zx=kL;wv|`8y(MP8Py+>et)!`M|E|vrDOtM=LUs0-rKe0V{7BCp0Zu?
zsxq7D_(g#q$1DG{@A-WE_n&W#@nZ4QRkW*i*Ql?k6OXeyvBI$=^qUi`CB*<9b`-j{
z+ck`Xfgy}za)Flg<ah(tdgy?o##a5m2_JM)ofuB}O7QC(=sIIFf#K8CeJ?g&F=jBR
z{mf$N$gFgH&kBYqt6C;CADFY=?zsCl-$0GqdMx)D9x}XPh<N<B?ap1{$HnjVJ-oVT
z@BCxRCd+qctBUAZay^RG*_WsI_Q$+?PYN6D7hh=XZgqLqw^>YBb@q??73MRedVWi$
z%+>w&!+Nr5H~;3ej}>h3d)-egKD9`={lT~P3+(rN*&81S-fa#@KdN}Cfj!y5dDr3C
zKWEKnbv>~7&Gz2y(~o2FZ8w8$CN+grDAa#CUij|m#|f8bu5p$8+4O|}rNGIhU)n@G
z_1f;-v)d#6|6X{xf`8BKvu_2xG#u(Da@)_f7W~g(_gvTY$wcqI&$3^O?+9OV+jj8n
z4fYG0FFiUV`@i_iUpcPOX92oQK20}E#6B}raj|w({*`<7%_8rQbUOc`@4wmgn|~j_
zt|xuzvEt5uJ!|!{B3Y)l9#Gy^XP8}>|Ec+$Y)_otu1wzG>=UcltmK!AHoKl}ZErfh
zwcb*F^{pk{aV23qB59UBxmt3UM9(*6G&pM=c=q_l7k<k>QlB{dviXWkBqsH&Volz#
zBI}@vFkAPoGbg>Krltyax5Q0Jn$qbyDe%hDs7+Z1_gw$pJm*n#x?oX#|KS(+e>lA>
zT^zP{oo!r!{kL=Re6Cwxt<w0HbY!0RQO6IqC%zx87ylewFP>-cL~th0Gx63>5e*y@
zf=+pO^|S@QG?^r{_+i0+fl2$0n;SR8d=ydG;BfG<*)8RB^H>`cc{-llSd$s_@=AiS
z_tj;)JdJ`nwyX-PI^d_ZHgDEltCm$+SD!Arw#saGAY1pY3z>;*LNO9+FPDWzvKCv&
z^tv@4Oz@bbvb286)h0`wQ;nPWt{z&Uw)aA&YG>fuQ#ngE__7&!hMf-DxY^4=drR7k
zMyt%jd(=+<w9vNY=<3UGoW#x7(X42=A#3KdB~jBdgU+t>{XFYco2yv%u88)NtCn3=
zJ9UkF_7&4mFW;LksRpy(MRmSse&Hy?-M@U-1uyN8(@dPMPKwMX^$NW{=I=Bn?O^3k
z%Jy4wS?<6VpN6Yio=1yvqe?f<2=#R6fB(^RX7&L?iKpvV&U^j(_?~G2F8u#?-)g$U
zR-AaK#MQ*>Xb|UA*PSoKe#VJ>J~sDH<lnhEUO(LS)qL%qSkNr2qus3%!FF<v%bVuo
zrT6XC6uV+L&e`24{9!EKy!m_mtjVVL_RST%-@9{`pnJ`Arvl{@iAu8?&x+kQXfhUm
z@}_@|+ZOvgrLxDzBY8GIS5VwEG1tbzjB$3%m0MniSKNQWYxzv>%+BULjGKi7Je(U+
zTmnT@MMQ4TzLRK>sp%SPq?z7$XT?H8BV}b}B_&U;NhJqaSR{`tq=cCZB^-3<sXuHX
za#*27z^O;^h(HR5Vv9giN6(D4CCkJU{bUxc*c4H`;bYLoM_xOQO@8T-9q#1Y?G?%z
z)f%(Ni!EcTpvnRUrxx))yVE_F32{#m@?a3Dey`f`<c*nxcBTfSK)a#<bM3jpg9j}<
zf1aLpb@{bYzw_#n76M(#63fr5Jj9@5x3WI-@XU<(_nsc@3Oc(CLv|R4u=v-hta!C2
z>~QykMo0d&v;U<Y=jC~H!Ko-9bRzfO6CAozo;_{TU#{TR?!`a%@YB}zR{r)qqI2Dq
z7m7};J>i#~`cP|XaiV*qbJanasmX!t8VB8DpJo(jc%HF+adYBv)9cY&+$YaD;AorH
z*-+?JfAI4GNAbO$E&DgWXk@vWGkMd`CA*Cu&WhaHe)}xzlJh!J+&=3xwuP*(-BflY
ziaV3JN>sYLwB^UqfXWN5*53=Y{Z(acn_5M#?OGhUp2sEnbTku7`<zFD$)Bot7P16~
zEAj;%_PMOittfHq$m+8%%akszX}`Q_)BR~3Em1!<*t^y*KRS7?>Nl-Fc@DGMOhsOm
zYZOe8RV^=X{ipfYtt8JtWAnOtR+&={7QrFO|3X|k=Y4pjK3hyXY>WQNPp@A$Dw}eh
zSigeXY}TWy-}Ce)b0lVN=B<p~@bshNtp0o>(bvDU!)~96d$h$?!>fS5d#?A4%*AKR
zD;`DcNLazHtXU=ETyNGDv!Xb*J)?SG`3;r_79uVSolQD2m{=d5FO=T9QPTXPwGWqr
z;va_(KctogoG8{xQ<z|raIQP|<f%P3^uk>Xq<-E@u)Te{_wU5-%%3*I_*Sd@5be%;
z^Cib=o3`BCKEDOmruBIKS$F<+`+E*?rwyOKCFn2sxZ%#MPn;jNF1>TRzU9@!8Ho-b
z#a~42(wpzq&6o47Z`R$PZpD!nt6%7B-rWCeQ$g6p$Y(c}Pch<`YB``J7xBo@Yoh9>
zC##cXLibEAtb6|3Zu(<oPD_@16X)&Sw5UhFtHgX~_=hOJBsJaZzje>avAp~dt^RvL
zd>@+x8{@6d&(0pmT)b*Y`M1NN{EtNIuRQ+!?#b^*zIFSWBpQll+&cSuag;ZwuhGJ6
zo|I?XPv=-vYKhw?@ZU`Q)6>y&_-W7wv3T?6F^-+ROZ;MF4m`bb&?#0hM>*s|g~Zp$
ztUo7KJ^RvoKrHExpTBQ<&GTz&t2V#d;vZ$z$$d&;h44eeRa_5Q`_?d82ROw({r6Bi
zpu2wKktdH>Z;OY#7oOo$%(!{h#anl(I=3Hp$;d3XIOit0f5DxFW;{G=+tLErt@m3=
z3QK;rDi(~CDy|64dfJ#XVaCaYit>H`TXrmBn!C<nYo*%8XBtI4->ckIY|cK64oW)H
zB9z?2^Xa0+>6kw6;F%fP?|RoYR53W+=l?x*v6<e%`Uj1iA~GBui=;Pc{|RRhK77S`
zi9o}ji`C!4>>MX=QCi?Ji&tv?`a{124=h~2a!!VdIoqmsXQ_}iqPi{rwRJ)^oZqo3
z(fpIrn!uA4xvSZVCqI=q$a*zp%lxjRHl=3+yB|5t`;{^?SmS(`NJmZxtTn;`ZjA&U
zH(fmWm4X&{ZbV!$jt?|9B0ulnY<@Nd27Weh6J?$v2YA>A+&<wI)iq%F<+Fs}MInC<
zvx9&D7lR{LpD4?LNFEKAMeci>b2KG=pOm<*SSS*tW&2d{;y?G__C0<d?WbueDQ%lR
zO}BxIY4ZL>lf!TA$WHTr!SI3M3B%6IO6x+CI-YsH|MH-~Y=K|8^CQ`*5swnxTpr~(
z7`1Gfs8X+}nUno*a&b=BkpqPpvzA2XzrPS6c!Wdc(G-t4yMJEgj(XL4<Id!ysJ7di
ze4~5Jx9z%mO?Xmq$fC|*{WBNVriOp6e{giy8pE^4+uJgOl72N=HgA$xX1qpKqN6SF
zb91gnkInRR3eOU%?AhnI-?+9v_{QOXhvlX{l9$r%D)@V!r+)8Rx%~V5vm9zJJX%!W
z8YsIf)6q&c@2^4!m)@*1Djl<wtZ(>beOk7vZk3?&h8;Kf@5gEFVLhU=d7{0~!E2je
zPDnW>XZigNi~KvAbt{hu-oIgV*3H&$^CQ;DZi@|!Ry~MTZJJXh&!srghr5*Rb%whA
zRj%Nxg4Z+Fcx=j^Cp~9b{lg1Z7O$C|r@ipoJFz<C(aDw96E6j<-z-?GqIpEbs?%bY
zsByy_ues;cZm-FBlz8lkWuwoBUavP5C!9_`k(({5Z}HWy#(DE2wkyjH|4p6Rwpoc|
zs?XhDSJzIBxxFUipzFVlksm|8vOfKL;9%<==go^wx?3#bf4<qWbjb<jM;jl=*3TAA
zJ?U)y@q*8MVUFALPZif6`x0K-nJ=ZCCQ<4ov_-M8=E1J;{hQP0h=%`L82RzZ>RoXK
z=|1_PyoQHM!$1G?&vM~D`qats;Pv1?C%bl<@H{`bG@vT|rkVAwtEF#kLT9|*bY=1k
zZ|1*ULA%2<cYZvo;CN`;1DDFGziT!84Mj!k*9BLybxajq&{h2WXvUxL@2s8@7av7V
zJIPcrz5Yw3gUthmKZkeiK0lSKLvmi|opZJSf8U7}j5S_l9bWiLYLCg>`L>f)r3x}T
z8DnA$PKib)3QSQI+A+n$L$dnC`<aGWw*>Y+*E^cAbB4wC$iU`ce{N&7Io1yo-J*Zb
z-SYX_{q6NdiEWDvd|$mc>M$*{4^@09Eu|gi)nL(ntV`79%nGKe=m2}qX&xTTNyl7W
z(k|&3hq@a|M;^<Ri1s&9T-R;NerD>fug_*G?O*+{{>10s4!XwQ8g?3;`<cqMP2-bp
z)+;Z=@TaZ+*G{XGb=%^$W8JUjx#g$kZhTeTl(BTjh4|15_5UugeVjY*D$CM@?9RO6
zled0mGHz_RC)xkv`TbqX?CxJ?Z!=M1&cB>B>Epbgp-LhVi%OjDzy9{8<gbhiN38F|
z^L2k!W^X;ty5BM6Y<0eabP(6JvZ^S@9EDE}pThZ-qeA{G-&?0v74j-#Q6nE;BzKh=
z-`;t1-S+Hg+ZfYqwj`w9HIb#^(uzb`r(+7H#!5<_lboyqm=ujdQ|<~SFnRJURhXc(
zpd+!xz^S9+WQ)p5UpWQF8IdVrYlO-VP1*BZq-XW4V@HgGMSjm;v7_1O<=hRz>V*^A
zxj*dI-+SSXbxn;+ruT*W2N$dkko#o!<muMJTiW}ldcW+>WV*s@#<^Z(M}5SN*FWxT
zU-g3X-rlDXi#x@`6*KyKMH4-Cb@oqLtDw11FNlNf<Z%I~h>O{lO)vE14}RU<G(l_=
zgL##BLG~lpj#q2LngY3nF1Sv3!d}SKcwL`OcKOdtA!GN{lu5a{jz@0DOyHd3UcB5=
zx&5+kAfI4D+N`s06W_GodOXiVGQX()g`42he;o%7o|!2=wKXpMnD&f$E{D{5KR&!t
z(6;)A&x@7M7@J$S?2lS_e%(z*|DKwp;BfA`T@j6nPK%grnb)k+o17k+c%bJ-X~Vts
zw=VbZS{3L$mv`gEM=NYEH>xqdP~4R8?Ldr-pWUOG5p1CsTSb@ZJ&lpwSGBMB!PVy$
z@oDw`oi`-(vro_6d}+h`>Dh66Gj~kC-@N;Nu*|m~3|{Q=!C5K~xHImb_0J17ST(`g
z!mEEp$<^0Qnn5N{-YWLJf1#X{HY?rb`OZ}>PhbBy`$s>Y^WgH-eRKbYpHhqIjk+Sq
z5E(Gz<~lEC&nCa%`#mkQ@@u9Y2y+ef-MQ<m7hCE6V5@xX`t;*-GJ6j*Cw(!o4`NX9
z(Ulem`5Y(8wdx`7DlXJYh3B-w=R76`hBd4V46>6Ab;T!NSLXv)A-z*#{gn(w+TQ;a
zRacpv@u+4a^R7D!-@H;gr6q9p$PCY!ax=1)r`|rNAoTC|HfFXX{BO>l`C{|F+Rxv5
z?)ygBt#W=#j6Ov7EIHMg7<47dV0}{PpAf&au3qEh<=5o}{#xW!ecce(zQ4!#V@ZZ=
zko>JV&gU7Ws#XL}^{B0nSUu;!%A}0TlR88+V>X3&zDPJ3bY=dID}2{Yd6zsXX!g=y
zz4q>gNdcTo->><5V*TNLYgbCjAMiYA(du@kr++rDL<;MdLZ%B18hN$(+g=?nxGA|~
z(<9&ie1<P-WbaQ^jnLWjAyB*L-iGHv;cHJmxv{`(0hi(o31{PPZD$-5<y!0Kbom}#
zd8Sxth49K%KRI2`9#c|m`Y<z1%I1`bWRZ`CVak!GCXP#&Fuk82(y6y5=B(L*RplqA
ztkBx{eZkU($_XcBnnObmPMyS`QBt7Az4YPrtC7|_6r3gJvYfuhdZ(5*od3R_6Z`5_
zi&UQWpPXW=zOeSXapEQwuA1F%wbzOtO{~B1Aui}*iO}Blp;LaW+i`StT=<ggW7V^+
zFNt2RJpYn%5Wn;1YwW+$wwBk1ub5ly7PWfCQK34<^{M>7PKQPP$*X;&o#F6Bxx_Or
zQuq2EoqXj~)_*g1#JgFaS!|KSbkNS@pzrEZ^(CHPSc1)xJl-GFHa<0Zu88o;Lo%(3
zHYZhG>nAL}Saypqc!pG1($q@pTiPv&mhYbXykKT;xqT#e`~Ll1x$SK7?Rn?zd3f#k
zzOK9d-gkbXrOKT+zHevZ5;|pe-Sc1n{_eXb_MXSv&p+UHuRUAd`>y0|`n!35X1!(G
zeR+HI6X%e!$p=%oIM<e$#LbH|7yDk_{U4q(`M~X+)=3i*YM2-pTqfshsR)8r+1!o1
zn0MQNr{@0kh)JH4muTtuyM))cJb%`IwJR)k$?c5D$?Gy!m)Ypg$~h8oJv2@Fg7So4
z>2sG!9x}M$m3O$|eeGIlm*ZiVlyk24{!U(Vdx5cC(54cO-ftTAA>Gqv-*AhcEUztF
zufsQmbBS_P%fZ`4{^5VpiZis|8Xqz`9MJyusJn)}bJ^2XjE6qlRzC2I^?*Vm-;ECL
z^TH8l&8AKNq@@0$G(9RIeoC0AJ>$DiAwD&m)WrqQnBLDUvAgQncWC(p<|&Jh&s;Cj
zwX?&q#a5V?CEabiK<>fFfKtx$N(-Cyir({`JyPH3{>E@-z#X%XPqfv|*85(Gi025a
zXI(EfNAR^`N{09=hBX0xIfr9X4aH~5%7`40U_9>{nd8>E;N6NGErY<>bLQPEX7H`v
z_$GDBru5U?N1NU+nzwytUDx5TezR3mKU533UUy!rV7BKR-+t**8NpxkYizPV|FCq8
z4}5jgi9dk5KCmPG%HlneD{{8p6`0-bck1Dbi>2#s#pLWaEfAh6d3(qHwEb@_9p3$u
zzWsQO(bqH2Yphyj_T`wi%{#Pt_Yb+nn>T&lyK29s>88nh^B(CvP~K>HDX_%9K-V&-
z@9go$`*~V@k>0)~+#f8ooqE^)?RoE}VRJMsqhz*B^Lo8!jt$23{Vn#EQp#(k-=AW9
zc+u@?dAg^-Lpuh8Q*kkwIS*$FfAaaW&E})v>g};_nHCqZs~x-OvV8%^<=69WFPW;y
zKgV}}+0MoCw?lH*ZQ*~n@!bFE>(}pn8rZf{?a7*Wcme~buk;`JjpYms409P6804Vq
zzS8sJC+o5DPWI5{2N!~|rwsWIDG0PZ{9AYS;6>+~4BqVhTNbJozD|&OoFMb(f2V-?
z_U}JGdES+=a%~fIeD%!!Q=QHG`e?hjT*EcD1CFiS`mES})6RQRH-oOfR9BJ{aQe8j
znSI9^Z|!UWwVBP+ZBNOpuU?_qn_7BnV%wbL{n{<AM^?(k2Y53wiHa~|SpYftnXaNZ
zC@+98NEwo<$#QzqOp#2JC+f*sgobcJmqvDbq@$^eToUrOTZxf@L4gV6b6y4zU}Rum
zSkic8@&r9K6Oh>;jIIx~<jt0mfx&_ip%0{YNuxIF<cWIXlWkbJ&GFbft?!`CB?bnD
z4U7y7$_xm5-!`sg1MA<%CSZm~KX@S+I|G9bF9U-VR6oT2lam+dE6IS|48rK{z6F{Q
zzo#$8#Lqu@p}zQJUITV4encPhWnhp%u_H?YtU2C*17`%(8AvnzR{$xq&CE+K!Vw7&
zix53Gbc-e_f=sY3N=d|F0Hi;NQguUJ3W>!BlN}9JvG@?84_>Y+AuNXIo1_J@*rq%s
z4X?$h83z%KOB#K3K?Y3r)aCX^iAGj7P&Dx}@G|(aFfefHF)-*cxS9myg{D{L7)QGK
nrFc0Shx!(}d*!$|Iu|<|1eh6nXa}d{76qgQ78htIC))x5ZRT~?

delta 11229
zcmbQ0dM~9uz?+#xgn@y9gW;@ZUgScBIcGST7#Nh<7#P?YI2lrs3-l^-b3#K{8JLwS
zP1BD~@h@IvAaU&c>*Xg3ZrM&@TDzm{3LjHw*^kF80pF)|3S@8dn89%E+hzT07P0)N
zi*CREZ1eN%Z1wjkUn=gTKX9n<jI^5;5;;*$eecAb$|t=K)@Q^uK0SKbgtNjVy+%j#
z(vt6~CcU!Po$B8B=5o~RQBPjEp6AQMfa!Vu|AOD_dZDaxr+{^t>DeHKq=u&nu0anT
znlH$7`1`M5xxI$-gGj*(B5(ioL>@TMb=JdR+Lwl4Ha_+Eu!G@$|D`#v&R6QHR4qE5
z@?qTyF5gY*zOV1ESU01-^TDcTDk{_OEN?i&GhNm9oa6mCcjMdc-qLMt+J{cv?2b@u
znKI>zrMs5bCh;#`_a`=8DL%GH^<K)6723~OE?4c&%@S#MQChJ&A$!vvrQ@d!4t*>*
zG}D_;W%sqYN(r26K1-hDJRW-a&Q#m&Vv_5&^}JtyJXYW4`b;P0e<D@N3YY7RT$N3f
z6{@uT?%ujk*euDlxI$~|9f7H#dnfXrwc22^NM4xd-Icof1+S+s|Ici-{m!~0v9n5>
z^>{KWvd`zHip_Yu_s*N||C8703R~J4G23T-TRGLIc*>mVj&{pD-S^yYo6=ut?qgvt
zR;Io3&cmjX=9|+#Upb}pa+~zYkG?m&7I{=2+P!c=>Xu0rUAr6WS{nQRIXd(D{tMmI
z_jdi7Z~NkQe`9@X(2<zYzShFeE9`U2l={}bJp29sJ=cGiMoBu8)0hlFNo4aZCKE>H
zvzmF6FEX2e1vprA896|S%cL_(VX`f&K1g(OKC5VbssacY8X1IM(b-jC(rv!v#j9r>
zhgfQur>_v5?s11JPUw*RoE`5^G(ByXkyp49|JCWJ9jnyK%}M`F{@q#>bd}NKhJaj`
zz1)H+^%LEuPr0G=I<cw5y6B3h+KVug4+2kg>%K@B`Is+|3z}dS>@Ksx@$}SVGs7o+
z&bq8A;c%{={n<>V9o;={e~qsnlL(967qjJmUsqg1Gk2Yv%^N}SMH$g?OBdVzI?5>>
zI%Q|o<vgJ@Wt~f!84~V2mWSmvE@T+S{Wy2+VAtet+YNV}|8cnY-ppxcwlAV2&mL=9
zc1I}Qt6b#J-<73x6%R8a>+RFN&iBebWMOxBDO>2_`UAW6msl`2JdAm}a$lJ~Z^6Xu
zKVJ9lAHK{o=?_z=%=0&=t8eF;wOqK8$((iWH?sjZYFO`Lvjhj?H@3T+ppe}V`m~j4
z@*Q@qdQc|K4Zk~C+FkJeM893rwoG!{`+cEtp@2Lib6@eK^XZZWC$hF$aeCi6{qEF0
z;|Wh|rqwDPKe}^w&5ZNRlM?nB${VWLEbU^~xVNA|Ytn~D=7+dL|8UzlJym?_{$l3M
zsaq7oOK<)AyKDLK-OJO<zP;SD?C*2lnv&!#*0-wnU6QZ23;$TQEssb0?ApU?wWHY&
zPZoW>Qri5x`}J}@?X6E=c^$oTcdbW7z)g8Mp6~Nz<7`W7+%9ive-U48;c9>N^R5Y|
zZ|~G;%uxUAm9g36&j0VPzZdf#s9$W~c68ax*^Zar#%%fRcsTBKbDQM#H>|g<qZixq
z@qBG;iDthY9o}B)kx;+v<y!4h!AUb-Tl6k?Z1Q&9&d3JIGhRB0f<X&!ZN9tgt*BzJ
zrTa;fC5Z=g#EwVU@-pbOeQG)F^XAZU#>h><m)*)ZEOl0_RG;D(l5(x|npGI*ry2cg
zRFWMMA8CK-4>;m9$Ed--b#)1cs!_KSx2B4hRo@z~gao@KBE>w<3Jx08+n&z3b#_Bc
z^3^|6w76pJcp?@!T=$i_D6wVMy;=9~T}TvkEXuZPG-9%4Zs*|hUeP}5+hb-&8E4xm
zOI<ndIWOf{tllrH&d;CVrZdw;)xz(W0n5pPiU~ExI=(Nm%MEPcN<042yz7JAMdm~?
zzr_si)xV1Takybv#BzxJXpw2t>H6H|x2J@qR&YF@vh8w~uO`or3rm7CUd_wC>H1^C
zs~rN$b0o@LJS0C%+|ZW&FLn2`h<!IrA1scT;}AL9rp714Nn%}f`tt`WpFHQBopt#}
zOngiG#g5WRkHjB{B%RrDRjG<o?%>bzS>9)rbQT+))am$9xgtsPocDLrBKcy0fJ_0u
z`rvi7UcqJUPj}yCjd0AFq~|C8uxCw>e!woJHC}I8XB>`<dgAe(r-rZ8Q-fK_sDLln
zg(s@}L!n8_19scXpL5N>Y-E`45VGgGss1g+*e3rV_tvw!b)+`4JXiM#HSABCH?L7b
z@2%H|l@`IK4-7XZy*-dM`|rNHycu(O4Ag%c^VEwhG`!dII7Mfs&xIF1;<hK>l$o`{
z-NEFk%#9PrT`blx=w{vV`@e|ikp96a8^K#o-c~3bDRH?m`*LTE)wuvAaTlf8mpgSF
z#h)+VxzV&;?$z68Db=D2`Xlo%zqYJ3+{D0_c6;7Um8C7Onas{|*vUCwyw0{G=~di=
zTe_>O9cM{As6T2^+V|tpjRkoUGmPwH*-D;t{9Cr8WXFmIj!hiXS4=*Xv6990#sL}6
zKqku@M{f2B=d9WOGPO{0b6&R4>vWbmM}FPp=#tp<!O~~4a%G0C;QE@?ERK6V9jS@C
zvePv7=UNNrsr!ZMf8YF@#<tDys_c)oVQlNXR^ESX;1cWA_<Xl)efOkYZI-7?Vs|>s
ztz%o4oOQTx?OC2T39HO+WLz~i2)cBWr^eCqdD=rypQ=1__T3iQrR+)D3w|sr(Tm&I
zyDs_H<_+zhRR>>v5MkPQ^=j{`<%fF>7re5UZIsIVro6%FfdW%0Q@hZdb)M|!dl)S%
z%$N=xEEW{to7eAf_w*rOAMg4Lmmhj7`tK|Kv5951_N9;E#~NhnO_@xk{+U|VlrEj#
zy#DbTq1UVyNuQbm*Iv!6VcciPnt65q%7nK)Cw4R3pVhRa*72Zm=)@4YxD=E5i;q2f
zsM@+jw>{tZ%u3!n#=6tva<<QS5#0Lhfpy8(z_kl*%{n4eGd(dXSFPp!Mo<5(N9#A1
z@a#MP;t${VdEV|#vOjXG)@?ogf!S?&!WX?)R@YcRhLuZke{gP7H9afxSK&{`L!p`F
z8PER9Pi>Spo>SPVaWHpRgQ><-g`62We_9e(Ic{&>$7r7#VX*h?zNfdcU%5?doPY5`
z>FQ+h2hUeUY>_H`e@ST1nSyhX-<$pBr+vv(tIyh<bs?*8hx5{))p4=8yS`TbV0F$b
zdy}wN`U_L>;>Url&mX>P`KmPSuzsdNCD$S8(>8k!9hq$uryyH*w4m(5{tM-LUxibr
zG3@HOSNC=6Z_7!32QS*k?U?+iK6%g4__R9@{6Cn*Km0E7-}v$AKks{X+nlXG{KNF!
zl&vOrcKvOWsGq@dVMo|Cttb^0h8amgH<v6k{HdLCY>JE#=LL-plRuxguRqOkso=}&
z?eCUcD)|2Wi6ystzU*I9&pwIH{nbyms-!=4nH8gc>f{klH)rw9@9%MNo_n_Aj2la#
z(#A8dZY6habE}bx+pGTBciGhcC-($tt9KQ$Jc<Y~DqEoR=e4hBeKk{>%2BUVtmpFH
z+e!9(TP3<|b>}H#5w67%mkQpC@d{1+^YHDTpE;&~zHHdGt^D4{t%1>X*Vp&{WC?x0
z<HszI!?Vl(e<_P=`!xM`y@*igQj=TO7BwwQ3w}0VoSMEa_PO!Xu6eBaB^F=u?@4@m
z;uH3mGwBNJv2`C))U?+LE%z^03p?|y%sqU~!*}bpq)wPC7(HS0e@2wLGqUfeWXfbk
zehqL{$tc13{98m$MVO(5s}r~}VxE)+q7^I+41RqTvJE-iEq|(p|KBIciN_Cfma??(
z(lma?6=oj0{La&tJDt4m+O(>l5!-p~T$I_~X*O3URF}`0SO4|g-bo&1lO#@Q{a^Mq
z>(JlZJB=QBwJ$C||K@eL>T{!}{+(wR&#9lhHN#r0_~8@F=x9OfIX2J2{j*)t&g;Hj
zbXJ~ms>)kkwt0J=Pq|kyd9}%JUl+;ik6%tsc(8Yxdex`8i^YYuR_AvfeSCe_`lUA;
z@0n*wE}JB5qx5Z7^UhOl=bIF(#JMHwvgJ7$^c;g6h1*;bii{Xv^X>Zon(v4U&l<;G
z6W_Zv^`943xhJeo?rLz@&y=cTk;s~-U?BO)>*##H%2r*Qtf!4FcV}ubPq-Vfaoq{c
zw;V@je4TEVAr_UMv|cyQ^MHELG$-XUX0vZf851rv95A)Ms%^)xEFf#8|ErsdZL7L}
z-&wt+`Myu~Kb|M&+6@>V?4LGmy~Mme;q~q93f+x1-S+i!wl<yH_VWF-ZNd9Y6L&|i
zTipC`kLcW&r+Fqb7}RY2<9eqgsB7m(QI#*QhfDVf9TBMc-B}Ymfq_pe>6=>E)ZiI`
zdYeB>=5(`bOSzVYhffuV)zuJO9<9DsMDnk0<br(moW*j>{bhD;UY&6v<kzat3Uj+%
zH{bbKHUG*v-Y)(17wb1Z+;Md66O~2ZuAfQW*PApU-C~m1(fS+P|I3Se9mt%y^w1f_
zUXJ#J>A`=0nJR~z$PfATGfu*$|I~#W&m0|E=dSVIet}6P^8fQ^`8v)`FRmCE39s=M
zciiw}h1TNr@j|bbKNE?+tL4+Fn$@!B`-3CC4GtI5&f7FxRL@tNy!RQ$t9pJG4eR-*
zZS>E1Wb&-(j<s0WoVy^Ed8N`E|J8p^t>iW<$?~a`R#cn4!rT0IwRUn+xZw&zMeWJ6
zq(yfp`?9dUm3{ttQ9=CSuG!Z03AP^YhgL-&s7w2*D0@}9#l-fU!-prwjn=U~dyuJ_
zdNcAU_hv@f*JuAn>|9dX9l<FO^S7hkbA$YxEvK$+t3I@0$|~zdt8cB(r$)}^Te|*6
z{`J>dehWjt&(}3^n4;gW;UHnE=(qHNvEHdey}`4$Y}qP0={LvYtJ0n?mUPu8ySZh~
zyUKCYZ1n=asd0rT?yc|Zl#Unk`@a2+yHbk)oBF5cPx&Y8NzK}0rtvv^j#K950M-8<
zY*zI@yqDN1c`sHfy>?xGVM^kX*#Ebui?f`$bDekkEt^u=`|}lUvi!Bq_R(FmroN74
z=jLDEZl!IW6FRe5>au>F&X11Nw7s40ABl6GpT)jACuFN|nD(*ihIN@M!-Wc;A9?k8
zp8Z+Jn@c8@ay`7{S;f_{qf*bgT`%9-i<=?jazopSOZC#-x`Ni#(sSRMPGWkpbdkfY
zgo5`selB8+{P*_To;ly|Yd!h*W)bUEIZ1~LcVgrV9&;qvHm%WivEo>}HGj_o^Xc2C
zO9>Z8oZEThsC8)0&GL6S8=fut-N(82_1jfOt(j%!j;E%}HGJf)4v~2N{7>XzqlwpF
zFt7ilD)6xR%7<R%n)>aZ46E->{#-E8&h2R3m#1bgkJYHD-CxD|Y}Xr>*Kbqnwc_?z
z9K6-va8CIDo%_ElSeV+p4)lMOoBMG8(%9!|+g?WR+01QJeC^S>i(%0W;vH_K;ufx2
zkyShTRK;Yk{Z<!aXj_-0np$v8bXK%3V|$U3?Sv~&;u@a$t<!g7aEa)xzjNx7)Pc^*
zzkQc0UM?tSTBs(q#mzfJMfS?-qX|!s7G&4n+%W58!1AJujQML$Ca%#hDPq3BQ<$;u
zUF>7q`e#<(7k6YIn|4?~Lo`78n&|5z_x!Y!JjEAkmWB$a`z2pq{O?!evv<AKOAi$_
z7ymAKc*pI<;$9mE>Fc*DbQ`AdSG=w-&iXQAUeVX&gb-hw_&;Lzcb`}>v$o78`q?sl
z&6nma|5;@k1V07H)Z||Jy?4Lh%gcKm?A@o_e(=7Iaqf?9)n^N$9zWfF!(`%>1JCc|
zy2$Bu9<bgl!r41FZi-#(E$=z?re+qNk+<9amoRJls9WXjW0m~d_&enhlitZ?IyyVJ
zkJM*n<jj;eIX%^-#<=$Q;*N@!+JXLhA<7e;xJD*T51--oPU&7)l7M}QOd#vTLlJwQ
ze9O7Gty4_-vcbv6*V#Cpde<!ZmHX-Ut!r@#&kY#vnkF;On<rGe;C0c@<BU#5A>!e$
zi`w5z{%*Rbs6zK&tBF`d&~b*2M?I&sUoFtylxiMY&z{;@EEqAdyTI^g8iU)lbEiA%
z*9Ih%TTYU_vf#!l$vT%0h90_SpM;cD{g}LWa^4Q_|NVEnTBhW*{pR2DaC^{nS<fBX
zt=Ykc0>3X1$zz<kPUhB^LaF=@Z<799`(`0H)xiJf2BqanTnnwNr+3*}PdoX0_tHPR
zZZJ-Nob*a+;s^Emzah;zwfqJNQnNNJU-~}etpDouvzKkGXz0A4XnW?>j+|-F*K}On
zweg6o*z{e0N^=4e%9ohtI_u4{)LvetZ`@IAexB?5r3nWX&s<-gap>-$-ohvrQ$CB^
zQ-U^aNmx`_>G=14$h5Os(M3M<7a!m9{G@5Z^If|C`~%*_%W&@VnVDVxc<v9EyT<3I
zr#v}%yKsh1!JSsY{z;dYI!v6pUHE$X=07?&EuzvLMEL@?hHdJ6)917FM%ijfH}69G
zmmQbxnsNjtoZ)j>lD}%fey?Id$9s$PE2jR{*efgKo^bC~6t~pp5{(aA8>Yr4@w9er
ziCHtzhF$-N(pTS*8NQVvrBzAy)#|O=nfE!C)x<{dG5q3TRY_L+e<$;jt5NBnn!bta
z`_;BTFViu9_;K5`C%X^b$~fBBUCW)l=xpf&=FtD^!X(Thrl~}4|CM$<;qslcp6A{*
z8~)upVSb>F$^q>|Oh!Et2lhF8-qQ-3I-_yn5#P7{%KMC$&F(Z^6nnntSGm8~%5ZV*
z`t^U9xVvSveqYUUtzmn!Zj*tW;)^f$J{8`&#6I!8K}PMZ=ey$KJoX>a$P96qJ@;`~
zBy)M-qPG2udyEcdcKqM9P1;^eDd*#%+2P^W^bfT-#{O>P4R3L|5!7UnFiD~I^O{Gg
zPQq5YLC=?%wKe@%Bf4l)Szqw2pUW<YcV~B7|MT>En6$@K+Jdjgy;H5a(x-Plqv>0p
zz`aaD9>s$8hizW{h*9Hrl9`rxmMi&?yX1mtUOxRAu$B}9c(CxXyy~YA4hDvh$&C^!
z;O3OZR{g&TZ*@YQ7^-}E__Z%|tdlD^dFGVE=KZH4R_whRyl>jWu>0OO7UT(i6u(ip
zkMH4$&QGo4b6NxUK45sj@R~u<@0-!Pg8j<n-(7yp-~GPaG$a4|#|ihgJiBz{&|`JR
zJv?s96J4b3mfKpUJb12>VZ)Xzv^i}t&#Fm*HuYEL#TXv?%eCp8g!P}ZCuNV-?>rn}
z!5+W&@Cns!zb^Nj-}_g5Ugs`c_&~Au(E_h-eGeuDUdD+pE<ag#_R(Ey8H;oK_P(B}
zQS<o!zB9TahK_<emCA2FPZRl<J8QBj$4t3N){Bn6=<}Q<^JiP_&-ZzMs^fXPYy_*m
zZ9A;7@xy_)uj@<LTICM?K7YCO$t3^2&%9sj?+9P?Dm%y;$<e?0V$wO@{h!aiwd0z$
zGBxa5z*@$fO&>GfupN3V#-0E9m*t+f26uj#pNnb9|1J>o?OXeLTPrn=l%l^f*|C$O
zn8i&WEL<ypM(WNyo`uF7vu9?<nXs?4Oqq6g3fKHF=Cw<hSQ-zR)@y2J#V*T^3y$Iy
zb(3GBCO+W>w=0w90cE8FOAZ;n{Ga=y^vOcs*Sb{}Qo&QS*s>Rd9!(JTVqR-BY13ra
zOt*tR&rH?B7AB}ghNfz%t(NGiuHAh?dtWJ=^7rT4djIw2KZ(<y9QOLw!>`|x+5i3x
z3Er%?`VoKIwmn+!D$l#_)8AJ=d-^Za`Rwj;hNo5sHEOtsCG`Y$1s{HB{A<diD+M-p
z{&z2X_wg*3QoIHSk4J;U!?0V5=jO0BDEFTDvLm1?Nd0MovCq{NRWlb&QOFEiySZU$
zMAY7lZ;LE9tV&B=m$|ZZ<Mf*jtItdkNwz3R+#EFh^eLSik3SYz$n?4;&srvtyry2_
zjpOR}Q+6RfhbI{uFP*DoW~#k8=um6YiDeO*$C8$oCPby3HE7dX-n>WS_e(SDz0Vu@
zJrldQ`cEu2G~Lj(P-STlkL$)IS2QXEzczVFc%{B!cGb-^UA5`!p_J0V(y$P>&^f*C
z#kohs4?R}mXYDw2>Wme0r)!d9a>H?xLYsH>UV%{y4!Gv*Z4AD}G-H}>#MxO92G?CT
z8;LER=Cb62Y+ZY?WKDDEzqzk=?)!H(yR-GmgWapwZm<0CO)+4)%j$@n)`W|vO!X(d
zb2P~3IW_kx|EUKp>zAAkx7YY-Bhh%trzt^NZIaSPfji4y{xj#8^u#Uao9)@Q`NifI
z#p~^N9)26g&Gqr_?Ax4$D|VYDaqrDlzQ~oc?MI!^nVt92?C)4D_<KQlqqP0G2h%Ot
zI3`V9!~AI@hs4ua*P^7t_PW16@$p;SxmhP<4Q6$?$Z&5|^qe#$!Y8MCQ(CjD^=hrj
ztc`ak*UO04Hym`}Icy<vSfNG0sYmgMKnjOqi$GIH&y2MtD>)PWWEQPh7g4<6qtM1j
zRy%qoXHK}r=j6NGE0i^=HD-|)TgFyFl?4n=Es}q3r+F+B;+`Vq!Ej~&ccH+dGU=YF
zCQ}&BBzE*R+7-qW6wOijpPKj8|MjKdr;}CqRE`QBTUolQrk<hO|JSX8Wp7@43Na~i
zxR~pSzFX7vKvSM`)uiij6}%M=FBaBZ-v4GtqoYhz8&8O6(Ry*og{_ws-TClT<jINv
zPKAOUdp>OV@NmNRMV~WNwk+Dje=~EfPR!CGy*C=Cz4T`+F4B3$p!#FQY8hTW)y|#l
zw$?&Bo>g^!%h)e$vEsN+y`aH4%?dV)jP>TBKdd=y1twlLTWOYFQmz(by!zXQyK}sM
zomsT;z>}^d@2|Jiv)$KhonW>geB;Il_l|g;sqC>^isiR|3b}MqIl?1xt@W(0ryRCy
z-J|MIa6(hgP;LEj0WX8n*uoR$XO7ft5@-_iN!-eM_ngYCn*~u}-);*{4Ct;`|F-<a
z7KcrnkHod!;H}xbA<g+OA8X&H#ZTU?yI{Zczw2|W=CrUc{s-2cX)$if>Y37|aZxy~
zCh>C8(XMZ*p>?&k1xwr*e~OkKbPJBjzkm6urjy><jf(XmZ;EQJJbUc7>}*zD%hh9>
zrd#ClcM07&ob+5M?N-KT*?AUXdJ?Y=zNqh)J366PN&HpJb;Vor-piUXTJW(3z7XJ5
zn&oitNAVrE{G4OHU-%|71#s94*z9Z56!|o#OOiu{W5(yC=uJlY+fIuL_P8xFk2wGB
zQ~LZTwhnT3;rab8b*>kCEp4Mul%=|>U*z0#Ym#s0{jZh3Kgd3G;wZZ(_lDI(Zd!D(
z9pk?GZBweJ1lY<L^Jx5IiuJz!G&u8O<Jo(Go2~C>=y9iu&P^@*b}>fmoR-yTncq`_
zo;fxPL>yuEwsG6J(PdsuY0u&_);Z71_q{K+w42oA{6O~S4Q=)*dtDEfPhQOxciQvJ
zlBZSskKSxnkgb26BLC^>i#>?~3vBiCr_DdNiYq_eKBoSwLY$-RzW09n^zW%W)9zr@
zXkU4EkKL-RQ!c0o?>gp?R=4|1+vMd%ADO>RkUe((#4(E)J=Xk>rN!r`PQT&t>c~;X
z2tQk~SF#7C7q%Wdy#D;+kIJE@?0GEP1Rj@HWm!G8K5h~?H*|UJrAwYM0bGu<y!}gG
zap)JM^F}yuZk_V_eEs?Z%EyAl#F=yA%l7&w7@cL+$#jb^e*N{HoaMr$cMon<JoSTh
z6JKdrLWZeHx7CfGS5MA3G2{Bo?h}`099|uy&7abI#D|afXTcx!7hNeOyO^#%n|Wl`
z;uD7VUQ15=VA7uN*=Z>3)njOM!u_#Mv8A4G>Y}wf%y%)pV&tf`e-qwUe`^OzGrQWE
z0tJPxyc6p_#54Nv1iu$>X6SN%e=GXukr_`!7?od|W|-{bdhO28dTvS5qKS7TR_O6%
z25b!5BJj_6L%;#M$6CC*61yFGr5<l~HSL(GbLzy}E0gBiYKi^csdmTt2lw>WIbS<x
z2m8$v)fBk70@mOF&rVL}QH0DL*(t^ef~GxKHLpedW@TUiVTsB2nZ@h%ic%8cla=7s
z2(M_bK0}sI55J2-{v2izfh8df2Un;GupDqu<aIH4op^EElpft8E9ENzT$)qoed@^g
zzxc1b+wvds-lBqncU@HM4JABZ@632JuWX&n1gYl??-`yj{Ms#(H21E5r1B!SXGPsE
zOXj^SW{P~(nsmIrY^P?Bf9t~N)9ar7KQ#N}hZ~!GPx;(8NDlaxe0asrlV&1raz4)m
zijDTpXFjJq<;BFEHY^;O#!Q_SMJ!K5%y*nNbP;uPd6eT&bVbwiLfe;#5AU2^f6w6c
zrgK3zuKih+^UiZK@8X9hdWTC^X?ZTwJ!Tz!H*2-$wy*C+zHR!yVfxhiN5^9G6kq*M
zdy)IK;`g!)rhf^ouK&3fTa{d{E3vxs%kcr1&g?5s+PjpjZ}{bXTDGcg)<eONh&QY6
zB`MrviaDI|Bz$4Q>zgGWM#=qis>_&G{Y^EPd~?O}+(j!=_3T|=6-M|ueO^*tmf>^l
zqF>zuE#}o8XHxX!JGFc|R~QPe++M%2H>v&U@(HbzG|LL6$Nvc6Xf2qYZjkCV^R#h>
z**4!xYb}kY*Z;7XYBl$)$CVx2e+r&=N}hJr=;+aMIad_$<U&lm#HxxrmY1d1?7Xa4
zxY=e)_B^T3`vLbv_Btw=>*&9{;bXP!?@F8R&wc7c^Ce$RbjjOo)9mToqWr7CZg#MH
zeV2`*9QVZL-7!r@rLV)k_sxFxiGObI3uRA*Wfwe;Xm(1yE8?Aa_-2FE+}`%g+j{1m
zSCn1(PkS%oV!qb1Xp=A7e6a_ti{543`+t&Wzh8t&*pl_mK9_&qG!DJvu;+7}QJ%*k
zKR<(SBK4c%Hk>cJ_+ZDBx2v{g%3JIbZF_5Tkh`ru^3_T1WsP;VEAKW(<<%H^OxTcX
z;Xf~_{`JYr-K|QmLgq2v@e(xUUCi1M`|sj&#ySP2j`gc{|BhM7aC}4Y{r`65<}Tqp
z{ehbw)t9y_JU^rz7-qx3&26_+QTtEhDho#M%$6w|+yBjzT)d3+V)eU8)khZ{nqjlN
zpEL0AC%$#nU+XQiW}Oa~X=h)4C?k9S<g$BzeuwpXUb&%n|AU~p(e!YKOq1JB#Y?Jg
z2iSW~bMs)9IV{9voH<SE#zpSq*A5nLyvoANv^%1BN5abJ{COImcCY$1#b&?$6{BQ+
zah=C=;uj@UE8SnTY~7cpNZm*GvtC}0{h_M#YE|%?J;CpK4xZ;Usn>4$ue3O5`6ISn
zvkuMw`^(ep^$YGjzS*9~s-LmDuGrx6vTfh>w?AM0vMk_Oz^fS=DBkB-AL>|DuW?wn
zD&1z${QB3ke%1KLOPnfT+wUB5wmN@Ltha--5ZAV{s;EYDfl7y;tIHow6}bO0(pP|M
zn`pnR%qFuhIWkqYyLq-Bd0SNPnp=GGmSn?;6@rRJT$-s%TwEL-C#lFLFbPgvq*W%w
z;1t=n*r3Zv!AU~Uz^P*bhk9F!vet@8?DC9n?k)-2cq+xt>-zQL)*{I_$|h2iPm~JW
zdLUSHWU+N|66>qXj+VJz|4yfzao_RdG2ddLs|Hot`ajq=&8-QHk<IPD%dVAOU%x}&
zaQ!Z`Cq6T`@Nxb>^ufG^@0TS1U-8ER6EkvBS`?YO`Dca+6jnQ)`_Lt}(n#|CD}|)j
z9F=CyFD;h%dX!#e=;7bDv+Gmh3cm=$gZ@TkmS=9PQms#2kbeBk!3E;Qf@_{_JF;E4
zw7XqQ@qw&eyZd^n)o&WbI`}tLZ(v}*=2~w)QCp_r_?E20HkTP=^gnR1A6_58<Dc#G
z$LiWo?i_}s`|Zm%9enX=s+tFb@BA-Tr+0@sIOjj;cL`D4^x<R6@s=M!Z?qQNna5-8
zP@>{c>c}PccM<dFM^E=0R`59OxWq)}fYSU6hiY65W4AOaRh?0;TDXmU)s^^g<?lDw
zCmk!~tnbXZx$S8DiU7?Ei~j6RIKnKN+H5T5Wv0pFv~EI(9nU3y^%OhnFK4H4?o{><
z)q0`v{OkO+t?tYk;ud$~^ndb2oiost_#>NL;<DRH{^7Qz0ehCJ8t|`a+x70of@_g0
z<&Je7f7NsGvV?q$UZS7)+9@|=4qN>+cktXNb@_LIz@ioU_dL%ER<^|Obu_yPFxYIq
z`eEJzHk3LfvT8Y_RX!5~!%9{L2HDB?nI-CrQWEvDiu3c}^+xZMeE(MlBFFCk*7Wnp
zThy5>E$&#+UbOz6OJL&(-=4@*RS8$uJ>7O!fcO62ZPIK<>~EOguBv-(>F>XL-<w9+
zsdnd<7=3W}S>n~17!-2rL(q|~A1mkRip*9!=C|HnAxGAHPgKC_gYm~^R#<J}Dy$c^
zn&W(*QR>%<z^5LoH=HZt)LYFbJ4;wlxz$@tn=|%IWX~_|siz*^x*Xuew|zy)%WXxc
zi=0%SgsR8#Cf>Koy2AfJC3()FzzaEBqIe~CW~DG*E3UZn?`8(O?v6Knb65UaRyAFs
zWYuAn=6Pon*Eknk;d}iy>alFKUg^adE`GdO^~_qrO`V!1ukOu|JaJ_4gyM}e3$-p!
z;dnJE<l?W6MQOo;f{s6&jCti!XZ2XRO_4BqSR}VFD5&wf|0<7kvshiT1&fL=&RMZ&
z!}kSC8^rA=YW~s=|F?A#f5w)2E#svRzkiK9zF#3(a^8>B=QZ#49b4^wRnO_Sc6g%5
z=i?dY<}E%@zwdRcfv3o?(A_Ur$E>fEG246H%Vb`w{BkX?Rp)Mh@?Fh+>FcA#bG9#u
zUZy<%(X(O!wl{nEc+O<KW;?fhZnayK=89C#{Y~bZ_?tIP7TX^!|D8!n=r4!uB(b2U
zlf0{?Rk=T8J^LsA)}a4U3n$BO1xfR_-{wwGyJXyRvqf<)yS{(DMt@n5&k?R*k+u&S
zQ$-y8)NZHl_DRf%=yQGgHp5=HZRw8rMhp361agEI-%F?$z0JpK&)<8_o|o62|7*UL
zyzl%%OSL=qc)p#8OX!oSx~HH2pttOx^RbV|!#}hx-nV?WTlp$Jp>oeU|FY)0D{r5^
zWT>_KWP(s@i<otk{`oc13a5YXeTudWtG>{Mubzp4!ApsO0o<^W(ko9%gD23tkr(rB
z8}QWJzaFv3b@Dwe9sjO%KSbuvwht3tyK73`;#A*Vi`L%yxF=(iU~=qrquUMhm{!(b
z%bcai)9hO$%~tb1`>ukOnP>loSo3%N8}pja{SZ01)H!2|`_F}1TIL&j?IuTR%ht!p
zXb5|_Z{cX({&e2DA7`IkP<v~9D79@N-|g=HfV#Ffr$U)q9&UGUILqDOct~c0p!huX
z4YP8mh*xT=zbH-D+7Le_Omsifou?~j{7CWF3o^J~v;5-E5Ozy0c|~>&_kQDeLy=;^
zW{zq#DUNeJd5X81HZOQ3G|$zEJ@&~x*;$?S%>6f#jTY|6eRMM1FDGvHijDg;BL0f*
z$trML(>`Hg><Z=$PL>;ai>DrqNhvGXz;KMCLhn?Pu@_6J`{`v&!ds_azFf_>AX9(Z
z-kz{;IhBq&KlENz&VKDKv#n}Npzopgo+7dQTbpitu(JM>ccswfMg6CbYtBA?E>i2d
zGM(GjLATyTab95mr?dr|u9i9H$XRK&FLuAaGpl&x-)jeaL(*~{|C{x9+jEAUzw>kY
zH=nv<Jpc1ck)n#5Sv<C_>E$17-O^K^SBKUYXQhOH+ts<dq5sG;5BH0<2e&@iU}+}L
zUblOtMVhYZMco6B*Kn9_`)0gPdf^9=*$Xe`7V+%cHG`e;T)mCZzb6?zTk`g3v$wcQ
zPrW}^)v@I_!vT%z!lfHq)BR2uf4u$ai2Is*Wm~y>p78nfEbh#66kK-QcKcK{=eX1f
zQ`pa~jNf<X;_Tc*X6?7ww?{s&KYRW9J(a6-x)0vdM=caj{}Vb?#K6EXnSp^p4z%nq
zwK#L~GiHIw^}77vfxXY1yc>-+7@3$G8$9^L$y=!N?WF&CA2-oYzGqpv7<RJ7IIer=
zux#T>!3l5E-bqU_K8cKIun-gI>*Sp9SmenI?rR613dt}8B_4SC?(zF4FNEK}dHnv3
z?0b<{&z?z%<fNvg<{WwY`ZZ5b&JocEkCRh5mM{i*Gct)Vi!d;FU|pKX%K!q53=9lQ
z8bK`hLPbu<LPgLzD7X<26DCLLNi&->F-(5QEF%*df=A&7J!$4e%piq|^0<{ng4eV0
z>5DOQvVzo#O^##bw!ou)THisNOAHJQ8yFcFlo=2{c-z>`1~K3Pn*croz{|YY85neU
z85pFX27o-jq;WYr$N*6Xz2c0-f>eB-1J8fUaxySTa56B6qZsmv4{V67UPT@b6VS$e
zIT#ouP)u-@0GptomkKJaa0kWYT?W$3Hx$5%C%-k|G6h8!2qU5lViigc55=l%MX+u=
zNRJP<Rj3s{)CfqrS*i{)Ld*%#0Kj7mN|B6WOoSH57}3dZ3^}p*1Ke|sM9pA`s9(}3
zrVG(+Z^Z8d3IPxf@MdKL#VaoZFM}@&1H)Ne1_nI_R}(L1^TaAoZ{JM!%>3kR<Iswz
eykPxGqo`~rlN1Z5<dh0ygNmXMFKy!tUt0h!XE{m$

diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl
index b609928..fdede2d 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl
@@ -58,10 +58,12 @@ if {$::dispatch::connected} {
 OPTRACE "design_1_clk_wiz_0_1_synth_1" START { ROLLUP_AUTO }
 set_param chipscope.maxJobs 2
 set_param tcl.statsThreshold 360
+set_msg_config  -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}}  -suppress  -regexp
+set_msg_config  -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}}  -suppress  -regexp
 set_param project.vivado.isBlockSynthRun true
 set_msg_config -msgmgr_mode ooc_run
 OPTRACE "Creating in-memory project" START { }
-create_project -in_memory -part xc7z010iclg225-1L
+create_project -in_memory -part xc7a200tsbg484-1
 
 set_param project.singleFileAddWarning.threshold 0
 set_param project.compositeFile.enableAutoGeneration 0
@@ -72,6 +74,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado
 set_property XPM_LIBRARIES XPM_CDC [current_project]
 set_property default_lib xil_defaultlib [current_project]
 set_property target_language Verilog [current_project]
+set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project]
 set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project]
 set_property ip_cache_permissions {read write} [current_project]
 OPTRACE "Creating in-memory project" END { }
@@ -102,7 +105,7 @@ if { $cacheID == "" } {
 close [open __synthesis_is_running__ w]
 
 OPTRACE "synth_design" START { }
-synth_design -top design_1_clk_wiz_0_1 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context
+synth_design -top design_1_clk_wiz_0_1 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context
 OPTRACE "synth_design" END { }
 OPTRACE "Write IP Cache" START { }
 
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds
index 325e040..a046c5d 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds
@@ -1,10 +1,10 @@
 #-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Thu Nov 14 05:13:55 2024
-# Process ID: 7817
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:26:27 2024
+# Process ID: 14005
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1
 # Command line: vivado -log design_1_clk_wiz_0_1.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_clk_wiz_0_1.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds
@@ -19,21 +19,21 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11968 MB
+# Available Virtual :12355 MB
 #-----------------------------------------------------------
 source design_1_clk_wiz_0_1.tcl -notrace
 INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: design_1_clk_wiz_0_1
-Command: synth_design -top design_1_clk_wiz_0_1 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context
+Command: synth_design -top design_1_clk_wiz_0_1 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context
 Starting synth_design
-Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Device 21-403] Loading part xc7z010iclg225-1L
-INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
 INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
-INFO: [Synth 8-7075] Helper process launched with PID 7857
+INFO: [Synth 8-7075] Helper process launched with PID 14049
 ---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2338.617 ; gain = 410.832 ; free physical = 133 ; free virtual = 8533
+Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2432.051 ; gain = 412.746 ; free physical = 109 ; free virtual = 8763
 ---------------------------------------------------------------------------------
 INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.v:65]
 INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1_clk_wiz' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_clk_wiz.v:65]
@@ -56,18 +56,18 @@ INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/home/hogtest/Xilinx
 INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1_clk_wiz' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_clk_wiz.v:65]
 INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.v:65]
 ---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2415.586 ; gain = 487.801 ; free physical = 118 ; free virtual = 8250
+Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2517.020 ; gain = 497.715 ; free physical = 103 ; free virtual = 8587
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2433.398 ; gain = 505.613 ; free physical = 117 ; free virtual = 8249
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2534.832 ; gain = 515.527 ; free physical = 99 ; free virtual = 8582
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2433.398 ; gain = 505.613 ; free physical = 117 ; free virtual = 8249
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2534.832 ; gain = 515.527 ; free physical = 99 ; free virtual = 8582
 ---------------------------------------------------------------------------------
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2439.336 ; gain = 0.000 ; free physical = 107 ; free virtual = 8239
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2540.770 ; gain = 0.000 ; free physical = 87 ; free virtual = 8576
 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
 INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
 INFO: [Project 1-570] Preparing netlist for logic optimization
@@ -87,31 +87,31 @@ Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.r
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/dont_touch.xdc]
 Completed Processing XDC Constraints
 
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.336 ; gain = 0.000 ; free physical = 117 ; free virtual = 8219
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2607.770 ; gain = 0.000 ; free physical = 157 ; free virtual = 8554
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
-Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.336 ; gain = 0.000 ; free physical = 117 ; free virtual = 8219
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2607.770 ; gain = 0.000 ; free physical = 157 ; free virtual = 8554
 INFO: [Designutils 20-5008] Incremental synthesis strategy off
 ---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2506.336 ; gain = 578.551 ; free physical = 113 ; free virtual = 8220
+Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2607.770 ; gain = 588.465 ; free physical = 148 ; free virtual = 8551
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Loading Part and Timing Information
 ---------------------------------------------------------------------------------
-Loading part: xc7z010iclg225-1L
+Loading part: xc7a200tsbg484-1
 ---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 113 ; free virtual = 8220
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 149 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying 'set_property' XDC Constraints
 ---------------------------------------------------------------------------------
 Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file  {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/dont_touch.xdc}, line 9).
 ---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 113 ; free virtual = 8220
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 149 ; free virtual = 8553
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 110 ; free virtual = 8218
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 145 ; free virtual = 8551
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start RTL Component Statistics 
@@ -124,8 +124,8 @@ Finished RTL Component Statistics
 Start Part Resource Summary
 ---------------------------------------------------------------------------------
 Part Resources:
-DSPs: 80 (col length:40)
-BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
+DSPs: 740 (col length:100)
+BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
 ---------------------------------------------------------------------------------
 Finished Part Resource Summary
 ---------------------------------------------------------------------------------
@@ -134,25 +134,25 @@ Start Cross Boundary and Area Optimization
 ---------------------------------------------------------------------------------
 WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
 ---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 112 ; free virtual = 8220
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 139 ; free virtual = 8548
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying XDC Timing Constraints
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Timing Optimization
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215
+Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Technology Mapping
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start IO Insertion
@@ -170,37 +170,37 @@ Start Final Netlist Cleanup
 Finished Final Netlist Cleanup
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 111 ; free virtual = 8541
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Instances
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 111 ; free virtual = 8541
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Rebuilding User Hierarchy
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 109 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Ports
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 109 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 107 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Nets
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 106 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Writing Synthesis Report
@@ -221,18 +221,18 @@ Report Cell Usage:
 |3     |IBUF      |     1|
 +------+----------+------+
 ---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 103 ; free virtual = 8539
 ---------------------------------------------------------------------------------
 Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.340 ; gain = 513.617 ; free physical = 151 ; free virtual = 8201
-Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.348 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2615.773 ; gain = 523.531 ; free physical = 174 ; free virtual = 8555
+Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.781 ; gain = 596.469 ; free physical = 174 ; free virtual = 8555
 INFO: [Project 1-571] Translating synthesized netlist
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.348 ; gain = 0.000 ; free physical = 151 ; free virtual = 8201
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2615.781 ; gain = 0.000 ; free physical = 174 ; free virtual = 8555
 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
 INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
 INFO: [Project 1-570] Preparing netlist for logic optimization
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.348 ; gain = 0.000 ; free physical = 776 ; free virtual = 8830
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2615.781 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
@@ -242,10 +242,10 @@ INFO: [Common 17-83] Releasing license: Synthesis
 synth_design completed successfully
 INFO: [Common 17-600] The following parameters have non-default value.
 tcl.statsThreshold
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2538.352 ; gain = 0.000 ; free physical = 776 ; free virtual = 8831
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2639.785 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp' has been generated.
-INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP design_1_clk_wiz_0_1, cache-ID = b7b409a4069369be
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.379 ; gain = 0.000 ; free physical = 773 ; free virtual = 8828
+INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP design_1_clk_wiz_0_1, cache-ID = a9ad1272a4ff99ca
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2695.812 ; gain = 0.000 ; free physical = 791 ; free virtual = 9177
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp' has been generated.
 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_clk_wiz_0_1_utilization_synth.rpt -pb design_1_clk_wiz_0_1_utilization_synth.pb
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 05:14:26 2024...
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:27:01 2024...
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.pb
index 8a634eb059d5e1ca8b85376ddecedf8de932ff40..6e600eff21e0f4070d3fb01e6b2a5effb28143c3 100644
GIT binary patch
delta 194
zcmaFBIE{&mi^Isk$VAUj&uAitNo-NG$5UPg1_p+=LLhRlFhdcuN2>^k-75(q?@BUM
zn7A*M0<qW1GcYvR|CMKG=yN`*pu23d-C0$T_*^xHhHcJI)j;fCBM^Dlh=IY<W#UX-
zKLy99_8^hBE+D;oT^Se@99!K$WUn_!{H`|xLxaOo9}xSK53hSjfU8lwvxlRfpR3Q>
PK#=I)K#<O(K_E5&aHlSh

delta 140
zcmbQn^nj6ri_OTu$VAU@B9}>Q1E>2_UIqpRhPOf>a<4E$L#JD-2#DP)2_o-GGBoUV
zT`C1)ua#$DXt4h)&%hAjbX1|5^R4|^Rgm~xH3o(Pr>ANlcCQhLylce3u)t~JY+We^
Zho|-+k+&`&y?b357#JK{-53}=oB-j-Addh5

diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt
index 62fd2a2..a1c7780 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
-| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-| Date         : Thu Nov 14 05:14:26 2024
+| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+| Date         : Thu Nov 28 16:27:01 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_utilization -file design_1_clk_wiz_0_1_utilization_synth.rpt -pb design_1_clk_wiz_0_1_utilization_synth.pb
 | Design       : design_1_clk_wiz_0_1
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Synthesized
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -31,14 +31,14 @@ Table of Contents
 +-------------------------+------+-------+------------+-----------+-------+
 |        Site Type        | Used | Fixed | Prohibited | Available | Util% |
 +-------------------------+------+-------+------------+-----------+-------+
-| Slice LUTs*             |    0 |     0 |          0 |     17600 |  0.00 |
-|   LUT as Logic          |    0 |     0 |          0 |     17600 |  0.00 |
-|   LUT as Memory         |    0 |     0 |          0 |      6000 |  0.00 |
-| Slice Registers         |    0 |     0 |          0 |     35200 |  0.00 |
-|   Register as Flip Flop |    0 |     0 |          0 |     35200 |  0.00 |
-|   Register as Latch     |    0 |     0 |          0 |     35200 |  0.00 |
-| F7 Muxes                |    0 |     0 |          0 |      8800 |  0.00 |
-| F8 Muxes                |    0 |     0 |          0 |      4400 |  0.00 |
+| Slice LUTs*             |    0 |     0 |          0 |    134600 |  0.00 |
+|   LUT as Logic          |    0 |     0 |          0 |    134600 |  0.00 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |    0 |     0 |          0 |    269200 |  0.00 |
+|   Register as Flip Flop |    0 |     0 |          0 |    269200 |  0.00 |
+|   Register as Latch     |    0 |     0 |          0 |    269200 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     67300 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     33650 |  0.00 |
 +-------------------------+------+-------+------------+-----------+-------+
 * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
 Warning! LUT value is adjusted to account for LUT combining.
@@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +----------------+------+-------+------------+-----------+-------+
 |    Site Type   | Used | Fixed | Prohibited | Available | Util% |
 +----------------+------+-------+------------+-----------+-------+
-| Block RAM Tile |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB36/FIFO* |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB18       |    0 |     0 |          0 |       120 |  0.00 |
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
 +----------------+------+-------+------------+-----------+-------+
 * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
 
@@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------+------+-------+------------+-----------+-------+
 | Site Type | Used | Fixed | Prohibited | Available | Util% |
 +-----------+------+-------+------------+-----------+-------+
-| DSPs      |    0 |     0 |          0 |        80 |  0.00 |
+| DSPs      |    0 |     0 |          0 |       740 |  0.00 |
 +-----------+------+-------+------------+-----------+-------+
 
 
@@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------------------------+------+-------+------------+-----------+-------+
 |          Site Type          | Used | Fixed | Prohibited | Available | Util% |
 +-----------------------------+------+-------+------------+-----------+-------+
-| Bonded IOB                  |    1 |     0 |          0 |        54 |  1.85 |
-| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
-| Bonded IOPADs               |    0 |     0 |          0 |       130 |  0.00 |
-| PHY_CONTROL                 |    0 |     0 |          0 |         2 |  0.00 |
-| PHASER_REF                  |    0 |     0 |          0 |         2 |  0.00 |
-| OUT_FIFO                    |    0 |     0 |          0 |         8 |  0.00 |
-| IN_FIFO                     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYCTRL                  |    0 |     0 |          0 |         2 |  0.00 |
-| IBUFDS                      |    0 |     0 |          0 |        54 |  0.00 |
-| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |         8 |  0.00 |
-| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       100 |  0.00 |
-| ILOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
-| OLOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
+| Bonded IOB                  |    1 |     0 |          0 |       285 |  0.35 |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
 +-----------------------------+------+-------+------------+-----------+-------+
 
 
@@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 |  Site Type | Used | Fixed | Prohibited | Available | Util% |
 +------------+------+-------+------------+-----------+-------+
 | BUFGCTRL   |    2 |     0 |          0 |        32 |  6.25 |
-| BUFIO      |    0 |     0 |          0 |         8 |  0.00 |
-| MMCME2_ADV |    0 |     0 |          0 |         2 |  0.00 |
-| PLLE2_ADV  |    1 |     0 |          0 |         2 | 50.00 |
-| BUFMRCE    |    0 |     0 |          0 |         4 |  0.00 |
-| BUFHCE     |    0 |     0 |          0 |        48 |  0.00 |
-| BUFR       |    0 |     0 |          0 |         8 |  0.00 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
+| PLLE2_ADV  |    1 |     0 |          0 |        10 | 10.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
 +------------+------+-------+------------+-----------+-------+
 
 
@@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 | EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
 | FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
 | ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
 | STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
 | XADC        |    0 |     0 |          0 |         1 |  0.00 |
 +-------------+------+-------+------------+-----------+-------+
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml
index 0b05608..4d07e3f 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml
@@ -1,11 +1,14 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="design_1_clk_wiz_0_1_synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731557632">
+<GenRun Id="design_1_clk_wiz_0_1_synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807584">
+  <File Type="VDS-TIMINGSUMMARY" Name="design_1_clk_wiz_0_1_timing_summary_synth.rpt"/>
   <File Type="RDS-DCP" Name="design_1_clk_wiz_0_1.dcp"/>
   <File Type="RDS-UTIL-PB" Name="design_1_clk_wiz_0_1_utilization_synth.pb"/>
-  <File Type="PA-TCL" Name="design_1_clk_wiz_0_1.tcl"/>
   <File Type="RDS-UTIL" Name="design_1_clk_wiz_0_1_utilization_synth.rpt"/>
+  <File Type="VDS-TIMING-PB" Name="design_1_clk_wiz_0_1_timing_summary_synth.pb"/>
+  <File Type="PA-TCL" Name="design_1_clk_wiz_0_1.tcl"/>
   <File Type="REPORTS-TCL" Name="design_1_clk_wiz_0_1_reports.tcl"/>
   <File Type="RDS-RDS" Name="design_1_clk_wiz_0_1.vds"/>
+  <File Type="RDS-PROPCONSTRS" Name="design_1_clk_wiz_0_1_drc_synth.rpt"/>
   <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/design_1_clk_wiz_0_1" RelGenDir="$PGENDIR/design_1_clk_wiz_0_1">
     <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci">
       <FileInfo>
@@ -13,7 +16,6 @@
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
-        <Attr Name="ProcessingOrder" Val="EARLY"/>
       </FileInfo>
     </File>
     <Config>
@@ -28,7 +30,6 @@
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
-        <Attr Name="ProcessingOrder" Val="EARLY"/>
       </FileInfo>
     </File>
     <Config>
@@ -43,7 +44,9 @@
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+      <Desc>Vivado Synthesis Defaults</Desc>
+    </StratHandle>
     <Step Id="synth_design"/>
   </Strategy>
 </GenRun>
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf
index 00cd5e5..2b65bc2 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf
@@ -6,7 +6,7 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
@@ -22,12 +22,12 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00
 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00
-eof:2245874392
+eof:1425733881
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou
index b28b4e8..8dc7661 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou
+++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou
@@ -1,10 +1,10 @@
 #-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Thu Nov 14 05:13:55 2024
-# Process ID: 7817
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:26:27 2024
+# Process ID: 14005
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1
 # Command line: vivado -log design_1_clk_wiz_0_1.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_clk_wiz_0_1.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds
@@ -19,6 +19,6 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11968 MB
+# Available Virtual :12355 MB
 #-----------------------------------------------------------
 source design_1_clk_wiz_0_1.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.pb
index ffed359c9941c8b22af160d3413f722a43f8e73d..6317b3753cb5ba8fdb98d3f2be805a2b1d27e4c4 100644
GIT binary patch
delta 2085
zcmbPnmT|^e#tHe1vnLiPGG}pROq^oCn`mTUP*R+fZen4gYdG=JBUZ4$<eiLJQeajT
zo1wX`v592{Ljh|A>jXvy0VXcj$+k?28bVwG70KpcMKJwQY(|E<CI-gHilZmDGnolv
zQ9St~(_2Qr$@`g2IoP>a4NVM8EGPeF?vyYwHqtXNHB_)xNKeenQ?OMqF*MRMH!+)>
z7$+=YXke*et&mofnyOHiSyWP*n4@5;U}0{y`8Z1ikGQF!xt@WM0g_frb3Jpz$%fXV
zj0Tf8vWPNSm`^_7Tf6yzpgiMbLod0>ul$WCe-O}@Ff}&OvoJP7=rlDn)iX6R2U}{1
z%}!Gbqsa$+-%g$xBtQ9tj@aa<BA#TMQp@;u@&&~MvL*(4=H>=Q>|Cq{dIkmtMl4)R
z7Uo85Tr3u*=4PAEi5=vYH#0CtRl~}~Y-kEqWNI>bol*<qugM=oTPN>OHa6nr;x;g_
z0wX;GLnAIO4kH2vY?e~7W}KXADk%<Ch!i9i7J4RTpuiM2vA_}wrlykvUEfWfueM`y
zy|a*<Pkv%bW?s5NL1Iyfl>&NFnapTdJDF9Z0A!VfnW3qkxw$ctRhDLYCT5nP(3dnc
z!5aLg;Nai9UvmN2x#XE)435GLBBqn?=}1owFxA6rjD(?yDNcWHj?hzM7AodqQY{wX
z(qb*H%qz(-isp)(Ji$=R$=t+1K_fXoM<FLQFTEtg%Fw_-lglZ{(YM%2!Q2>CP9ezA
z*U8XA!O*|}%rrJrFg4HwhgZF`^yXTl7fg&slM}Qh@VZsf&=_m5nOK0lym`G@H6x?h
zWJgsIMl*tDNf;WLWAnGk<c+HDHg{SkQ_CoCn?!0E#ch9;mC<rCqo^>W(d2k-NxXr@
zWN0`!F<OMl)MRs@YcdlV`oJkaLQitDj%OGn(fV-|XQtqQ1!bbmpS`20WZdQlf(49B
zhQtPmgrNbRIIj)NCdpZ5IFk?9mysb3EJOzylOd=m7n@utS~s~Pa^vI&E~1nDqOe7<
zk+Ghsu_2@oF*m{H1XI(=tD~YZt+qg_eoT?eB3#C7u80<9ln0k%7KVrd98@@)n?Oo%
zQ`61o#KagkABYvjuF~An5Tw%5(A)x4jBl=pU&th9W^AcvZefaIBtqfj&Xi}9Ws`FR
z5-k%`42{f<5>3+5EG?50C(lg2ByVPEs%K$nghQ|S=B||6jNC>R3WjD@M&?!qhLa1@
FGyoUaGF$)v

delta 2116
zcmbPnmT|^e#tHe1b0!ujGG}vTPMl)ES7l&mkeQs5Ze(PtYv?oa;v-hD$mAW2SyEtD
z6q}*BuCa+_215aB1?vPx1_356x5+k4iXy^Xf)&Z;U`22PCKoV?Fvd)7WirDqeU9lZ
zqwnNB%%<#YTrB1mrsk7>GIvTC8(Zj^8Ja6tE2Jl8<|)`Jm>3%9Sr{8lPK*;~HZ(S#
zEZ`x+XuSC-O9YREiJ_^Usf8JmS_^YM3j;%tT1i7g3k7S1w4&5hg|f_|lG4N+1zQCR
zBU6LTiGp(&C!g^TocvBeU&6%LSkKrJq!enOse!4UnV~V%43I-G%rLQ>oG4g3`GZ@*
z<bOW$lh266Q(}y0+yQwLV@o|_V>2UmE>;6Q0|NsiRxV~k19KxbE*1+TW6RB}V#F9X
z-xpuaC2wkAhN;pJs?yMM@=B!^#_yB$ly~TJad8+JSb>od7Z-;S0iByARIC{p4JLn7
z6qkglMTD%WxrLsoDJ*1-v4yOW0X$UZs_mE@swX7xlb@K9nU}6mkXTe=rGS}21bu2J
zGiemSZ8bE}Gd3|mvem*&&(zcu8W#j?-MmM00m1_m83m12vB|e}q$k^{iW1{M2}47W
z%P|s*;pBs+?>2|(sWH10a51SC3vg+%7FXt#WEe$og#{!Ql_&(I7U!21C8ri!ak&Hs
z6k91+7$|5Y=jSNoq~@iUWLTLPXmU9PIr<h`DHs|}K4>V$Yhs`f<ml^UY^Gpjpg9>7
ziuKOYn`@0;FinopmXt8X?|CEqvA21>Sv4c0>Eu9D5k^yJa=>FClcCw<#Ap#_BSTYI
z{BQ2GOs3ETZ<|C4P2je_$~xIHN|e!(upcB0O%1UHioxbU*JLJ&O_?0%`fhTlp5$g7
z&oD;nm{#vBwE44lG<8hdd{0mwR3ZjZ#ci8w1GA~)uE`)@Mus@BP{UnylRF|eGMa;u
z^<=*&Y?;K+7*z8>3L6~h!Eo~GsAx>rAXgvAMHVhoHdjOoGs;7<sRe4GZ)ylB^bHI*
zuZq!T!m7yJ+zhP9!q@;*-fymmU&thHYHXorY-)sJ8bYDr<gS#blVy{01d_~?Objd&
zO$^K|jm<2RQYX($z9esIX`*LrZi%MZ7-EZ&#pbS*+l<_XCJF|oR)!{4MrM->(lh{-
Cg+(v`

diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst
index 5aa289d..2d664af 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7735" HostCore="8" HostMemory="8102388">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13922" HostCore="8" HostMemory="8102392">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp
index 9ede92cb91547980481333a5c7d31c42e7524a6e..6ec581282fc1093a7f0d442c7e5cb0dbfadc8585 100644
GIT binary patch
delta 12697
zcmbQ2{~^CVz?+#xgn@y9gCU{0CUR?V|0X#m1_p071_pKpPKK1^0=<gdoX`+f2IiyP
z9_dG?p3Zw@AkudIaBxKAS)EyGnOq!<p?R$#I~S+~q@~V0(y;tsU(xI@Z`DN>Pdlr!
zdDh#X|3CkX$*KHxWlm7Q<2?#J=U5`Av@HLbkR?<2XPTi?z4wNlSzSsD(*vfRXYriD
zzt8vU*0(b*MDN}u%D_MOgHOej_E!}@H15p*`h4r-1N_3_hr<_ytN1c(XLNHcS>w=Q
z%plje#!s$IIF%>Hn5k>Y3h^^qAI@$SEIihBMI&*6-Rng<S`U5)?7cnl6TA81Jx|W5
z$tk){I+au&aQxNWGu?;kSF9<Ld9?biF+;D2C~K#_+xc5=+^3tRwK+`NXD{tuW;{hb
zzR&AK<B}QET8<^1@e}Tv{hjHW%E6pTTg@^rg;ja>MwIy;Vzms=I4mQd(3Cu75p$c+
zlk^!&9CnLjhn~!dU;piQ8Nbcs?T^Bj?l+gvEiBe;(bnrKe)d3W`SwEF)cTLn0T)@9
zS-7kE<vm@x(<^Xi`p=i!?!G-$nqzyRX}--pwgrh&HH&gzD^#67cX}h!?T_LHJ=b<!
zxT>aeDog#^+oegKE_SCRY&DWIO+wb~{^b-m>zsXJzvr#~J(rly-rePAzT>WnRp;C4
zpXVQ}&2+fk-1gq}t?b)l!d#C}>k7_RNq<-Fw&O}zn0k~>pT^<89ntHhuV1LsIlX26
z?o5lD-w)rMCs^|M*73RDAG0pI)_Yp`O8+;ZUvh7nTXci|gl?GUTw_{&=EL{+G>g6e
z|EN7{J}8fxo-Xj0tqf#jU@(~M$fQ#bN<p;~{Ii)11&+S|ttmGz<juOSFY_w|at}I3
zPYi1bnl_^++d$2G($=rH%_Cc1EuF5YU%B6W@<}5fpUN$pZd84ddzYX8>u#OA_R1yy
zUM4K7?AjO<;nIA#N#-VVr^!jZgC|@H8a7%Oob`O*T9j?DwE4-Cf81T3@1tKj{Jtb#
zztMbAP)q*H?Havb1&)YrKI6T3<HU!Zn@>$_w$9qGD(;Zq(<K^|f1)XIt;GGr+`0{h
zVw)>%-^K_Qw@kA1=Xesj;R<7V#H6XGL<*K|n&bD@iAi{oTGm;a8GYeKlYHi$&AgP8
zJk|f6nE%f=Uw`r{o9KvEzBnYJy<+neb~T@vs|xjRm;PnDwf4!MNWp!9FZN82XR0)R
zZ?^MR>4tB=7O$JU%g;JMCF(Fs;+svMIMh<!cDJ?!>&b?z<s`Pq-MTs7vMqMy$*Hs4
zQnlotKEIp%U3-g^+LEK0SDuKY2Kfu2Fu7nx28M%73=GPkESy@LnVuJK7+*A5$BcjS
zcV>Q3a6C*pnBQa|arFHk(RiD)E}a6CrCF5fTV9F?)#mkR^ocb+Uw+hNPOG9!i^waZ
zMju1@X+ej!iB8#YRLHmY&i7@t$*(heiz7bG(rS~r$6_oM7Qx;2wB9l3N!u*8O(wp{
z>$Nso|D3;DG%`PKa|_GCq<8w)U*Gr~5Sb|bAfn4@VuOSZhr64q?u0WZcwAmG)?_3<
zWW2-KP=8X`ML{Iy^P_im+h1jKs5mE-ihFD~V~V|L@9-^i)9swtPWK1D+#`97C9J>h
zkUrQhZsEsk&DDJ-jBVkTP`+Q)H!k=syCyX;{Mt!r*CNlGolkx}ih3I5y+rGs=eikl
zG}Y6$s~4@8SlG4imDvf=7hNs|!G2Q`d|PYpH>%9e_1a|eefrtEckJZ-WWR>{eOt6F
zX1o2(JN$nNM5-;toVLmveXC<c4eb2(#`}|VSxvx6o3Vqj{9i;)MVO(5tCIo<7$qiw
zC<Q}vgN03T$?Gn-Fxc<iB6wrl)r@HSQq`|3!!OLNdia%*nd5m&$g8S4_dtb4r>T;s
zoVmJ%Cb9NNl?a+|-m*S&)iP#-WxN~-h7vWqGtVb{{<5pdJfTlc>G9{%SGm6qB;FUR
zuM*T~;o`MU`OL=L;JHVOf3MNyKj%f?PSkj;8zIbkRC2+&Ek?1jWxH~`XIxR?-~Uu8
z+cv2A$?B(ZzH%NbCq}L}-76l>>gg+UXYXa%UcGk4Fdw5SB4*Mp#}=1a<Ys+NZ=HOw
zqSt!wpRfSYi*xdGrcRb(51y+dwZ69{<eFqyPyMg68`iJ*zvdKMQnJvS3s&=6?E~-3
z$(WnEG4bw;Acoeh8<+jIG2!T~d=eqLv7_!&=4*q#&H36lFA5m!dg7IukP_zf{p{}p
zw^}!yynM;Ke6`MJtFjQY`*EKqf4Xbk{FY7n&yU;XJetDK56LYJk<-{6vcYzZ%ceyg
zc3Ny7-EZ=>D(c2ta2(^ic<AX(+v%CcU(6ZVkHn%x)nt2iJ5Uuixq&@a7*y3sUd#<h
zXJ=rD;$vWtXW*QyXeK?Gn}Z7+lda*t6Qtcm=UvNMy8NO?mhIbhTh;hZ^>;S@S@Yy;
z6>sOs43)Jls%NXxQr=s&B<6OnNhp+gKj}!zd{(9z^*v|%8?`#RwN?ck?F?y9D*QPi
znJ;F=BZtBz7L4MLwTc?9-!+!%^=!<xy?p<B-LJjZ*Y9>e|HS0&d-D%3Ze`SGm%RJw
zRsSRA=-oRO_G?Vc%FO)obNJ=gMEK9&Uy=Cb$}_*E+X7<O%!rN_<=n0<-gf&i_j$ha
zc6;)@E^qn!CB8aimfY2PiKI1|?tRa%9I%-h-fZT5@a_J+b=KA5f2{u;-*Cae_Hw?(
zT;a3(;`7%%aX8$Q{OynNZ0W_tG7?hEob~40&gaaLeWuVjvu*dPBdjwH<s4u4TXy%w
zH?v+>dMwi0*upx4??~R|Oq07zOANAC8_vAqJ>l3PiPhzt4BQ7T9vMxH`=Hn8SCw@u
zfM2n@(zMh?(xo*kwt8u@sABuh0B<AJ6Yl$%{&TRNIlfZpLubKMo`oJ+i5ZNoE}q91
zh6#Gr&*0WL`leNK&SszMM$%{90@iKJD032N(~DP~vDhKpchd^~DBkj;+3O`YusarM
z%QYG??X8{7!S^|XWzx5gjSAlu3HB^?6TIiRlw*;4zpOQTd7_)nOc&Q1?w=G`P8RH#
zU309Xe39YGiS1mk9)Eewy2Jg8!-Uq@^N07U%xn10DqF9tEAUSIbm({gt#9+3v!*86
zpVP=(esz|>ln1ea%P(B9J)R}@fbnV}qnm}nyN(8}gS(rh)>SN@WqSM5nLN&W&8-}#
zZasOhcae}&;Z<83W`3pqM>$vC{QC7uu7bmRqhHV;8Jix7;JmFJ^V;1DzWJ4E-el&E
z=ra23Tqm|FqUZCa`r6(*$7OzmNVPOi$)0~{%H70Dzj8h?L1~rl%d8eEtFL^Xx`x49
zXgA}tf*E3#Q*JZ&AKs)gg-KA-qUo{=PgM1T+6W=$^Y3S_yI#U1U;LrY<>>C%&o0Ib
zx<6=?hFsXgy~wcpL&T8~(PEL}FW*{sUeP|p{>W!5OMka$_V2LUZ@$;hj-7vPB}>{C
z`(_8hSC7wH_#K;c^2gV{=VjJmlbxA+1v-|^X;k}UC^+NkLf78gFXvBitZ<F!RCk)a
zN7lb(MjuCYt<gmOW0GD^W_YC38cnQx@#u|;`L$;koEP8i*cLALYsK!@dFS78pSHQN
zVtednM<>p3)|?rNHgZlEud5auxl(U?KXcofcZMs2n9Pr@EIuN9oUQcmfhiv)4HhW7
ze=$FrdVrJFWdf^(=UfpNZ&i&0QfZkYY7<&Zeads{bGQ0VKdO0UZkWhxVJ5eYbs8;7
zY_ju?h*(Z)zt;YEYQE^f{_uG-`a7()t7-2SOkeS7`Qz>Jo9~}EAUWq9-<~jS=Jcm4
z>hC``aB=Rdxn67~rusGTOw_W+Cs<#7G5#RpxBh0|)_IM-bKdb@i#jbSvGU?Wo*JLt
zz9kPITRgS8tCN#>>lNRTyoVn+U&h32{JJi;D$}Apxa6SJ2NR}^SC?*Gm3`o+;euE8
z!c9`1dMybP50r6C<9y>$ut80>rikan!Oe;SeDk>L8w)<va7>Gp)l2efn#VYwtKw7c
z0d653U9aQw<(P~9%oMnC;?L$wHJKZyx1LXo;+_6^!|5mNZd<qce5?HNhh<6V|E8v`
z&PwlXY6_VqeQ{;w4|NT){2{dXXHM$uW_@jyQp=jw#IW24^D-?<HpV6`@)w=exc<`e
z{w&t3*G$eFx?V4_>`I!`53BB~Rl0pk_kQ4YdtScbyNs34tbNy)efQeUb3dhotF1in
z`nJB#=)3FAAKWe>cGX1q%iRyTZJ(FiTR8LoZ7t^ei8D$%G!CWyVptt~s_ue;Rn7E=
zC3E-j{Zid=$RK~$>)O+q>sIJ*;)zkc9J>1QnZw^hHfESkyF2;whuZo!<8P~;m;W+Z
z>~0)*JM?c@_hJ4OAz4>fd-JAOiq)N%FnjK@{kOhW@7sB;aOI)R1-eOhCp`V2Y^3I2
z_u;4!w|&~9Wj*328s;1L@c%mg>)Xk?MQ4NzrZ%0v9>068FT?7C@80RxPk!?GkL$7c
zv`0V19*0ZTH|{_5Lto<l@%ZFF8t3ajYWM6v^<#0WF6(r?`vS)}8G5r**DhMgxH`kr
zcH!Q)%8XLJbDQk<{Mlu&l%uTv+x7X&g9_e;Kk;s#UBB<wdd-K<?RTT?|6UeJ7kR<x
zW|kQ%%gT8!Z3oY^v=sImOwoRJ^D=@hcI*3A-P-%__<i-M%V+Dy?wt6?e%_WD%U^Gt
zaj*W@^Q8*QX73mE`@em;%ahI@A~E&O(=1f)OfnU<<_Psl%_%Qh#L*>ubmE*f$7JX5
z_!KH79PQ*tp7Vn_vSPuNf1j4=*fg5h@;+TWN93n;)}&8cn2frLv>24m6j=obS58r!
z(7}0Hl2M4;<gN7d45o=+wYL}bzr6Zj`qZt3X`lP#>w~wpZvSg&>K(i2t+4&HpbyWs
z#@|16azlOj?@&XpsbB8Axby9t^6~i=KbF{kT5S`#PydmasCn!@`$t(_-c#TA?l|1E
z`eVt@#*Womwi@?^3)@AeYrZ!xDR8%Te*fsFV*0Lmla@#IZ*H1*S8DFIw&-Xtma0is
zj}+4XLt9onu&$_EcFf($_58ZvN_#!OXniucDV3CD@cZQ^7du{m+ror*Vz&Es<#`)?
z+!nQyJ;?Wj1D}8Ksiz+%tZx-Ex~#T2?flt*2jTnVS9LJuKE9Q_VNZ%?xF6rv-|V8D
z*F0iE9TnMg8t&SjzAKv5eEify)%xHqwjKRe`I|r3zZP70=1CUYv+BS7ewLps&OMGP
zs~3FCtMQQKQFEGl@~fxpa{cVG`3;AshrDRJJpI?Rt*>72{Q7$I?bBVVFGalVJC7x0
z7Hq1w`#eGHrK;nG`plIZLe5OGk7K(NCa~1gdHGE4Hx^Ew6=E0P*7i0(3bWXyBh71j
z&+vgqVp03=&udP)hnL^?3;ue*N7vGB;;N}1!wg>3AFr+X7CJfp-a-DCzyH2?=X$6l
zJI=hOGeBNr`{tcb+k1Fo@4N}~c6fWybbHyQhuPQNFKlC%wqH2$lb`+*wU=H`e<*M_
zn-p00B_Dh3#j-%>$lTzG4d<+vhqKLNS8JZvdW^yBps;$}_9Ab2x1L{fjO>=~zGU{U
zEiWgKTSof)XX$#Am^jrett7ktx``_P84OK#&ioa<Kv`SuTXuv-X}fCtJ+BC*tZ(}n
z&a>_0K4sAua8$ilcb3`i{%t=(-kqQ5a{ArGTE7W1YdvQdI0siRe{pZ(9(xV{Ny&_d
z*gcv0PNlBV5%SRbQGG&D$ac=rb0?Oa_e;MPpX8kGUNMEO{Mn!8ddpAlcg4>ffBqtG
z-T8~w+?Nj~FAh2^zK1`qEI9k1nbF4sf2LXorak<YKjrYbx<lVS&hI)<XJ0p?;pIxZ
z)%&WG6=H5!Up)1K|I&-+m!3!#|N9YepX2q>+0$89T<kje;?8V$?LEi0_ck31cRRi@
z*o|$AUiX_k{v$$n`W){qy8Xs`e!Z}a=X#bs8}oOGb(LI-lE30t)2rObwOeG?;!gs<
zwrj3>bY=Txrb`@bH~IN8qklYoajfjjUd=x)AAYFzm@YWK;m?Vyf%~@JO_9CHb)9p2
z!mG|H{Bf1H-LCxmk}~DXj5u>=8PAQIBkeBEw0ZyL(t^G!lXFesfy<5=MalYv8m%$$
zsqf>Oo&7YLmx;M*`BH&nmV)OLRipOoj&fIuVbV+Wt#Q;%&0HyMdZRqqeYea?-3PrV
zoR<9&+u9=1byOw!j)=dBtJH-C!FsJN>h0M_tIL;1OT7H4mdRgqs`Ensit9Ib9m`ww
z+VGmm`fQu7ofQc&2Ere=m<YUE$sT9wcl}=L(_8iaElXA@J1HrwKT@cm<b8fhjPspm
z0UT~ITD2PbXJ&q?tCm?B5Y3it+w*pcwH!n16%D3O3)=34{C*_eG$ZmrP?RD|k$=Cc
z-uJNQa-WK8bI)FJ%>O>CVdbAvgChI3xCd|Re|^j~><MWwzj4R)Lw2P0bL~!jzTbCB
z{8$Q~+HhT~pOj(uDDcLcn~CTDFL_>mV@vXZ2pv87V?TupoD41=TI~5Dw8Tbs;yU*`
zj6VZED9&olNYV;v$=x|~W80_Vz?E({`kwsTRmlHs#j}r&A?uc>r5$wBd|79zTCy%s
z>pbuAn5Ru&zaKId_pW5;@t-vD+){tNtb=y>2d5;g-6^nr(Khq?Z72STF?e$o*-x9L
zvH6mVq1P!#-XD`b_IlSnUZr&PwYBRrrl4P$Uyl0)ym+>>Y>tyTYgN{}R865BO79-`
zUEIi}d{6ZD%*V{q*2||Q?3P{oicMmIO7ha92Q|*L8?dsuo9Qp>TQ`$YbrZ8$^z)8c
z5?mYda-(YMzw5Xyd3gHyxz_rA`<@RwzD(7vd$yR#q(4x-*!VDu<|D&-Q)<_6RlN0H
zY$s#YcjCkBw;>5UQj2+KSk%m0@ptkOb)N<&bs6K#pcPFoy!qd`t<>MWjOEglZ_yle
z4&k=a>2J^8(mWvK@>4JFcDY%N7(=tFij7j`#LdFF5n)p{G|V{TTE9EoSXFjfy{__f
zccU)(uPuq2wR`zk!#&&+c(T~d|Lde?Pg$q%e#(B^#2Z>4uDhFte9-UV$lNgh8TY;a
zqT6jB&bp>HQA}ffK}f*<*?;Xa#QPZ?I<8jx-EVurswJ=aW(l9;%I$&D^_x2PT{kaD
zF}mZl;_mIUpZ>1c%OUrnF0-_v>%fPLHhJ}Rw%scWkKajpGVN%$#GHSR)^|M1{c>{m
zq?)qBd>p?O6sJ#+@td=Bm)*wC&w^AwOJ6=wZN)8<UhzNdp~J1@s!h?KGv9m_-+JQd
z)jxk;9Fp7UU}rhy`d(4b>nEh97G+oXM0hfN+{;iRIg{`9*0u7}gIdpMT*(T#*^*jn
zv{r#*R!RMyhQQEY`A6NlwI>B#2%m7F^Nzt8hN(HcS5lTtv-__3@6zY`b=HcH7*y@&
z*C?LaXH}WA#_eav!6grMOkT;|_C9rczQ5jLi&tl!YkWI5M@2HuV1csr<`eIPGg{u6
zNBJvVSGlTl^G~0yy84pWG7b613tr{Vso9vaePg=A;Y(|xUe@czZ1VSaTO1U?5xOeQ
zQExqqUiUJl64OWg7Im-YN61Jk72I;oFicUMD>XlK`_kpbCD-FZ`;Vnuo>={*=hAA)
zYPshz65m}DH#qs)zvGlwKflPdPV9Z++h1<yW=iTjn_;y|X~FF8Q$Mh2@hLD=S^N;c
zwB{J&#u?$)9`ILv*w(nDe!nAUgGRwFmJ9c?_kY+feB#5^m%Jf-@vnUMP3H`}x@zMk
zy<MRRJ;{O}pG<kyYNz>q(Z=59)QmH;A6DNCiv0TdnB#**f9KUs_tM*WRZ-Xbl#pz^
z9`^!?s>JSdTb5@mJ9{ZOC!44HhL5<&^aFpl9;&*vmeF7Iuy56)wSDW49aQ0~|GqZO
zpy2rVN8Ha^8`KsRSYKmNJ74y#<XXX@?_yyM6Lwtwe#iYw)|6VmAjQX<-YU26x@feO
zW3_nizoo0^9uTqZdJuV7wmj2u`zwy9fNcsPGXqz|sfu5^t@QZ5Ec55>#kzlviPl?B
z>*C5(E?%T`^~9_%fxovNDLOVu_O6R~@7+uF?T&BGJ-*_ce7@gbKsm$ge5vIoo9tc@
zFSc@ij<n_TAD#>?%i%a*_FP}jk3)6Bq2_p|w$IH!*0)q}&73OcdMwJVwl_HX;wq-=
z@2^ZqYWG>xFm1O)OmnhcIiK6yJDeUXCd4bgUU+9>o59`+4lc0<;mJMWdcr(~9+`Dp
zPyTjvuKy^o?80o9|K_dRe2Szyj^11Ns;s|m!LI`*`)x0NVBXLbx+eU0>?T+7pp*;F
z_vQ5uCa0TiiOsqHd4~(5;+$i?PJP<1_AtM#@Lp!C<9ngd<J_(-#;?jAd{;bdS|zQi
z8nI%PQ`XV>qGu(OruTg3I`aOl=Gxb5-p*DxEN>GsVZX7o{#@?*<;Qzht`&MP|65lW
z_wlPz9b1hjDz>cd6ZttoZDO>w+4EOg(|U85tp5A(AKZ22@Fj=E+bTRGckZzd;Zu+@
zOqUQno5EgwH*I6)#MylB7yX-cuva&s%ypyUv*RH)2UnTwWa+K1IvZ!XY@*Bcp3vx>
zD-5J2ObixQ4OS1fS;|!Jv>?~~kWFYbd-`<4Gt5~`t+$M#WqumWe=RC;^4`pLi|pNE
zu^(JmTB;RaE_k^qe1_Z1nT|(RY>w_rdHdnL-#f#HLVa=)cduE$R;%xsP-FY`$c58h
z%_Vo(r`L8rTi%?`R=Q-V-*wZ4r**tnAHS4dZR-3qWhdVk`HlYPQrGW%XIlUD{NpR@
z(ioo0nmkEV-)3rCwBk#$fApWo(>Hu{6~oJ}Ph96w9{bkn!)ysj{#k;TvLt2||N6Bq
zF~+#IrDVBBOzq80UR-s&rw?0Be7R7+lg%qjkJZqkWNDmC@!|VdMZX5NNHOlc>D?`o
zBGLK!)e6t350(9<&Fj8B?0K@y=1S)G`m76Fo6o<?&q$V-Q|Eu;W+0<opF`D#q+?t8
z(w-*eCms0WwP|`$ZOIFcVi$JP_eZKGa>#VtQWt-4I6$StN6D;<_vN|G$CYlhHYA<a
zSidj9V~3N}Mc-da4Z0t1_#RO><Xe2+>Yd2CKQU%){QXsm+r&9V^f{Evy*5@pDu}9+
zF;3F&_i>)+^KIp#iylV7A=7_euB_BbNew=9b!pWRE)j#wQu#AR`X456utvYA@-Q`M
zxcPva$+7zD6>in%(#a{_yB6Ma`Wo__U7ca0L2BoPs^_rbIR@~|)6%>9KTYnJ)&q~f
zX>8U1n=m0xHJag8YJ{-PhE?n2HkcYJ@tk~;*120g@LG71vG7*^A9VtsmtJTQDQsHa
zoOfIEujbv$^DWdFRTz~S@BVwU@VLTB$tzPMXIy>oe&r3(YY$?-&wet`c2D84nd`qK
zTg}(0Y(4gR&z_rg-tXRZzjv&7%y(^G_s-t>@C@JNf|P>=CvzRRCJHu+m|2I{@bc%G
zSokR4dvE^t<36{#UM96FiM81#TrT{JU8VZlIFH!>{kY=T_W6y=)qDT`y;d>%Sot1b
z<s#o>RrQmcwTm3*INiQKQLKlf@%0CG=f(%3GdK<g+3s-G^C@n0TmNp}`XA}ZjvwCq
zeD`6wL;Vx$`sI&vdpxvUShW`RsPx<TF(-DMu%30T;+F8j=$FAI?O!D3dTHFfe6j4Q
zb%po4?myMZvXg2))^6KopLy_wO;r2kxD}5q?>5^VYT%2l`u1VD{FH-tyx(zGJgn`#
zmU+84{PVA4vrT_gpEI6Ot$CFtra@?8_0gAR)`3$OU0I@1FRJNrbLAz?WU=>KulY^&
zlUzG@S>~#(VP2YZi~TeouGQd5N(wZLtJuu-*e<!h@kvj|fd<ab6Z&`Jzis1wuzBm{
z5bdSKUXqVhWUo2#IBki!v9z*Qvggcez0bQ|*PY(OXUq0?+Sb3d*8k7lQ#-2hbk3A(
zR=$RZtP@q0+P_D2?F*^DC*ij}bZYDjl^ySup6^?IVaqj@mkR=R)$y{p3Pz|X75%k*
z;(4w^E@C2gk?xzWI~+Pf4;v11@8f@FoiF^T;^?*aAGfp%`Z{oWJrR%Vs&_xVUiIwj
z(--4BiX0Qd!y-joH*VrGTBy-sp*`0qNqmpW<flS~<=bP_rgf)O_gbEmuCK{Hue|gB
zveYl{dsNH4pLRv)<kVYC*u~WDz0s&cy<nGak!#Adqg53t{U^7sU{~3!bE8zT#n|uC
zEs51zE~}`{&6-;r=A}8c<XqI^IX#+(G?KSW;drf@yXC_n?^vZNmq0<9yeCF)dRN@G
zRa#v|PkUFc*kc&zmAQ87WfR}6*Xq4AmlmJXTsq~+?DtE%J}M=2mAdtPb6WrS@43sB
zUF(Amc~6;awQ7$cQ_7s2J(s+8J5IQGD|6CTH?OC20=+U6r$}Yb`}^|Uxr+PDX**qH
z*0CzBwBX7SkTE{yz0&krhON$t#~&*q__>)H9TcQltR7l3s(#oz;godoN$FtO;x4|`
z^_97{HXFD%Zn|BNI*FC}R%Tc5+D$=LFXxnaMXI*`?)&X$zwEQDX3_n^`-S&i-`yz}
z;c9hS7*nyjOOX3mlVF3@3bxO=981&8yVjbX4~=D>l%w=OtYSxk_~e+WXPS0QI&s*k
ztEPVc#RT^A9(!KT`*c6z&gLKaKfk8iMH}o}|HGtSGJUP$1G)4M=g!AYer@TSU36mg
ziZ@CtV{Wi+TxGpeOZ!pPiw9oKDn+ScEQhnV^s8kH^89+U)!ni6#DNd8yr=i<yI*Uu
z{_ueXwtDt0?D1VJZF_4i{QYfy1SL#5{i))Cf@0#N14V1O(gMSu$Su%eKP7C$S*onC
z#q3z-q)pc>HS3p_oQqmICuz&{(@R%<n{L=zc<ANK>yp+vu9h+v+$t5E99wrBxVa}U
z`o)$t2k$!_zb&F+^v<A_r78Bhy7-Z;DPgR;Z4}@2ms{Q~dzjncJpFPm@9}lVo0sfj
zSDK>k!1^NW=|h8$EHAiZ*10D3pXu_@VQdy&;gs#EX{@BAq~yspd9#9GJ>O#sp~D(2
zjuI`7JW9s|Tv(1tBy}kI=;m5?zIIEn=H96J%TM~KTGEO&cByGfx&PNOow3N#+3a_v
z#j@OKlJEL2Gn#i9u6VjnO*!uOm$liJlNUdfZ<Cv*y7Z&y?qxk4p+U*trQ_Euw0p5I
zZ-11;!ehS4wpX_Fx9u$a%ll>fX|;Mo+W;ru-<3Tpwi~N#|4{M7(*L#Jl{J-FGbGo3
z&#Gq7)PDTMwAdnN#kTb9Snsu=mll5iA~MV0#pp`wOX<l%dL9SACcSF+<TzbyP^$Pn
zS){AWbJ~Iqsabtux63&Hd<#90XCJ#>#=^g1iq&^%_6JHSZ)9I?e|~Is=yFk&0-28D
z`eXBF<<IGR_H0j-N6-KET?OW>+pY>eJz8?cw_{OU<-Gl!7qm3B7?x@oWrPW-to82o
zFy~eHqA;f~MTx5}bwl*-P(hXRiYB(ZmcOaUxVS!JZ(7WwDO*;b++pnfz<SLz#S{i<
zyJk6G<4A!U3Q<~;$*aXo)nmN8?@!77_v~JthfaO%W;MCU7Z=awo@RMlVeI$M)HrG_
z<JBVv53GCsr#SfV1_nRY8!GD)H@vT07aut7!%D^}XS4;r{F<3*`r5(whg`OdoB8`6
zy}UQS9Xt5RWzVCwBXx!Xxj+4VrhL?R6K!#4Rx2xq%tp6~%vUUTvX>ggMn7-bvF4Z0
z(M(RCApgb*7WI*NG6${2H2J3fIWomPN$pE$#HOp?J{e7wKGnuoE`9pLV#7`S&RXr4
z=B@m0^V#P8WbVe-O0%bQTnyLjRhPMTDJpm^ulfx48isS5s#n?Qyv}|6{=&+@LNl|C
z3x4V8p4_^)vnlB^kHY>fZ#Ic76I>}PbCmna&VWsGZ4+W@DmRpi*FO}=O6*^2qT{l{
zWY_wRw{6q3ita9adMc*;${w#Ps#@XQMXl#T7nhxPytrgbt>F6w?~>-)`|zgS$amUs
zyKB{k-S=a2w!IOmW;Xhr*s2<ThDB7)s?2ZQbb(}_-D#T?)N?jpef`ls+^phOs^&78
zP<~&Lu<Y{%j~D{iWHTuUEs&^xXzj(xyhkB_>Jf>B#y8wwo|87%Z7gylqq}I48>gwP
zz~ddJyuHabO@~gM^fZ2!UiHYLobAH8#SdiJxTY+SKW(JH`v=#}WyfX|ef<B#(f9D<
z30n^Qb6a(>;*N^$i~F7>R}Xmb=v?`DX5Jq5jX6e|v)|?|4WF^~$h%|n+{7Ok?mAvC
zk}9{Dzo&T3&RmIAuRN?@srgye%WnK6UT0#qC&A!26K7Rk<?|<(i%+J+#A^5`Kk;>A
ziVdHcw}1AIx?{VJd%H1R&+GFyf6U+LUHaBP%dzX&i|zfFf)2A^b!UC-drc$XVCn7C
zO`m5f_%As8<O!G11ex~=2hTj^67tgvS9l<DzFubcGauP?FL$1JUc&h7#MP5e<KNv3
zxbAJXVd~7IQd`m+iz_3R_HHz4uvt-Z`Qy9o|NmZpe4$aKrP(>xJpJMmy)D@cTjwu!
zFpA%I&1i9L^x=+gTcxs7?7R56M4tPbFe};^#QFd8I{QT4^H9p4AEpW`k`(S*#vNE>
z)HYFU!fnr_dbu}CYTgATscN>>P4*2FiN5Bn(NS~z={tGREt~)CSa|2JJL}W;@}eU9
zU;a>?*-^Ngf%9SQzPaKF9G$a5-iPOkOfc?D^g8(ehgMqB*@UYP_MOOlv}eMNp#OZY
z^onNm-#Pmx?&hl7`-Nho{LLz)ltW}EEWauLT})@6{C&T#&l8{2uX<+LV`&rayE1L@
z9cIn>uf42#i{#goY}{7*-Fs0h@9F3=UC#$qs}?0kS){c;mEV6>?R~p<?3L6B^Je@N
zi=VpkC{yO*YsxDY8y%XXb?8^9u=@eMe`=SyWLDlTY`nk`V8Q2}G~sb$A;-l75yIJj
z92%8m%O@LG{7G=+e%3Aa)UW;~`vbqf+ta_XM_n&e%)9hE`SpcJtL08StO~|X&ht(<
zR8NUr`S6ONwN%1lZ;c4A+-8AOI+HA>F^7Mez<u@0B$-J8%8#OD>+ANNakt&4w?kv$
zWuL@zE{0<FC&-Jv6<g!%SsVD|qVkSYGZI<9R;;?!tM*gC#*N!@>cqQF7Dh35>sOqS
zVfl9Ge%a-l0aY(9q<=MDaY2x2_sLR4f6J)6P@9!!Gfr)0_{Fg+rp3+T!jjBL=f`VL
zKEG1iQlppQ8CYE8nU?S?aMhDN&i{Cg;&quH@L3vs|9A5-pRT`XaChwKpT!63`E{4x
zbyA$QE{V%{?t80q2a~6sSZo#mT?@tvUJN$bM%@HF_+G3Y#|xS<Xa3lIZyzfI!@kM)
z)HM<9bV-q=x(vVE7w|b5<j!F}Ai&Pt+*4>^pwOku%Ch@ivGSxfVp3OAqkR;Y8j0I*
z{3-u<zmNU-#r0N6H7;-7z4K#!_rYg&z~#`jC#P<SyvcBr;TnSkkLnM`Y-#Bkt)7{Q
z279JWI^KBm(vyf8Tnl_z?_WsMnKQq6Z~X%PbsLY0J-n#5I7@JU&PQ(B$z{uBPcgl!
zF;e_wy>w0R(*hpdZLIE*b_;oyDH}`rxGz2!@vZ3d>V%9!@o2TzSAT0{Kl9W*vne$^
zEz&B`^vI!kTW@+bUR|vmc5J@yw$1-G&lUZ|tMjen@&9Gd_wIUW^n+14gF{*4NAR{Q
zjkD$2>z6S{SaMY{KAkkZ=JFS{?;*4PdHFOg>zZ%&f<sH=-r{?!=j6y;n9lmx?o{(v
zGYMahxZM+t{e7kT&vqmyY&1_uIA85oCA??;!Cj$m1#>UtJ&_iZZeFYtyG)gP@{gU;
zQ8|tItGs?JJG#!N!-V(QVZo2O-D?kKvR~@i!1HAKDo^$L&cL6$m*?)@(I!^ybZpY<
z@B0N<9$pvQt#okZgO36y`P7aGK8h5xcJ`cg;MdhPxiTt(9r2Mlg6@%S(n9R4$5%u>
z*2vjtajJI5vZHK;w<`ZM9hFOUGrD5<X@Z?(PmS&z|F1<i4sTp#E1vVDsn=pj-_-jh
z>Ho4Hu21sm_Pr!{$fJG&-=y@Ejh-*&ip;5W?$%wzHkCd5<mGOz*5u1`8FzU-yq>!A
zpU?{yz60{1#^1MJVvId7Tk_9)efFvcQ48*FKlSqQhNhQ)ZuhG79$0_s|7uAdXQrx0
z4_Ne>EXw6m9u{3<3=7ENd@*s8%u-M31sx7f*YjRHuguM?lC?Om^J-pzUVUT^Pc&Pb
z=d6#emot_JmU^`E2XwHWU-i!7t)7lq=kq2FX6H!1`A^N(h5l7MRJ7Ol@uj56KJ~ii
zFC-;fyftxIm%35ErbfBj_Y?QJiASgTS?iRsMHj!?%zk{^4aGTS@-z9>4<DRh@=4gD
zTJhfmk;WE|62?=ZmglZFy|{mV$E<(#O-uB@M3gjN{?G2QWTr`z-es+#+<D*q=Lcyl
z5^>)D_|u<)zj7umd}=AHR2k>{KCgSr@};G2RoSO%omowLwLk6o`H-<zVatO%OVW-v
ze^q-s|8US=%Vet=6WCu@Za&O?i!t1H+GP3q6{ka4s>Q2~3*IR0I#y+)e<$U2rHy6x
zGK>0Wug?DW?Cw+7c&Hhyskw3L@^6#BE^3O+nSM6p(9d0)RvuicHMQpX%SAySGt~99
zq+VQ~bm}kX=^RHPPN7ZW=O!&@Y&f~1P}Ye<QA*R3i)+%Mf&?bPnF}{n2^|Q`<ZDrw
zpeSJ2CE&s#DB#SZB*N)ADd1)I!9{;NzWjSo9oJ$1qW<1;=hGc^LMcv1*`@OL&J8#w
zep&2;?zJa&QG3mnZeDm#<?<!(hFF2ZhErcT^AD%D?o`rv+x+CMc&7WMKBu6v?j>6l
zR8&*0YAy(2`CWR6r&YG|x{j!YgOy#kn^oL|LMDSwdm)jPj0b(UsCR1}^n9)NOxw*>
zK(s}Hng5K^#Q6GNfg^j@bepY`^nLJI?${cwB~eMCUzjFkGPs}E&FJLobena@>6EtM
zR{|x%m(CZf--t8Xnayz1%lTUO2Zv*i7<FtaSdadS3KrlycSR*u;!!AXjY}U>GoN#F
z(TaCWUlLxVnD=lbOm|jmU9~5x&!g|gF>fW^jt=J6HWx11>q&g7k8up<J@)I8_WjRM
zo1JzfpKH?VIQIB`-W)DBv)|8!`7Q|0zWU_iXHL`W%hM12p8Q9U<@461Z=D&6r9Wlx
zW&bK*>1j$+xxQ!DrQ<Slel262c4&%4%)Bp)W^P_+d+AV(@%PtuA!f5;HRDcLd22Q6
zmlkqVYA#zpwfXUe>8y+6&VKRBnsne(UdLyJgowr4W*ob2Ra^7XYC?kIM8%tH4JNiO
zDLM2|+EL`=QuQOe#h(NDHB38O!cQociXAj-m|US8^=iqf?N>j$eE7d^uTuwmICSn6
zHuZYwhJ8vQ69dC;Rt5$o@X{!$$#2ZK>!FK)d#A+u-!>3wd;e3^eX3fc%f4L#5%0O~
zCJ2>W2s7YZc%s)|x;eRgoA8T(|G(cXS-~3SRU~-yx#j!H+CLxnFn`PBEl``<I6p}#
z)RAZMqN|P1t-_A`Kgn5l!^FI-;w$sT?Te3Ox4$*}lQySwdF|>6KQBC$VdJ}C;LuyY
z%IVc%t<$PI)=2EyqNL=^C9V@JR28w2=PSF`+P_nmPn;sNF7V~C+}E>0TfA1(sK0fu
zlP+7kT;*Q#<4J{F?14h^s_X`*yd@s-JYZO~<Fo0@E&UG`nHOz)RQgxW@I{I2{pq3+
zI-5Qus`uR6m>zU}?a8NC7MLyYQk*fvNvJwGZ9%;Vzmr|p*B;?W5to4JOI|%&E@C>n
zYYNAq=d&_DXk1P?W4QFl1kUOGnp&!&74=KJN;5BCt>Il&J>5<yRQ%h!ts);}o@_dh
z@KS3>$S1j8KEITeCVzI=yk&cyqO<t%f|s!e?%uEX`SGnv$%YcqrCiImdAM23Op>}4
ze!lhOWsMJSZ`JFw?$O{oe587%`{u|WjSY*Al$(jK-xc?GovyTS{Nub^eD$aJJgs@o
zRvSvpdK$zxH`V%^c;@P5V!VHt;!ZO1%sv<Or>vAAE5qdr^B2!RZ_~~BHtVLYIT&wT
z-n8YgO_#8mz?=3dcS@|bJIx9?Z;&k9_Jwbc)^eM>UWOAjbpj;n4_$T&VzHdLNB4Fz
zm+@h(HQM`o`MeS%8h5h_SDj~kUAv}p_P>I1$!ck-T)w+=a(Q~q+S$VI{^y-vWT|%N
zo@g2O{Nz@dU-!!MU);@UcJFySz5hY6d#zu2YhI}Avv;@t%y}cVJ9K-zSaL|&#Dh<`
zIM;@o<b7LHEmm&b4O*wp#R6ZbKDpe$7}Pe|yw;$P3EVh=uUwz(VXSBZTHy-90p5&E
zBFu1Ykr!a=k(n466c~6JK!A~ffniCb57Xp}W-^m^8gt{+jJmQGS@RQSkY=solF}r-
zvW%3R&=5}OdiidTbaXd>*Nobkh%q&?f^<0*Bo-y+LRQ)1(Z!Guq#VS+z!1y8z@UNT
z^0$o<>>y(%Uoa7t1$h~S(X9lpb(~}>%Jh?ca-pe=ZE;3oK`LHHf>vLg<z`?w!Og%R
z1GNqmSW6n0O)fB1kpbBP!U&s?hH*ETiZN}I2H9kjnv#asp1|X#i-Q>$82T6(804V#
zKq4eV9b~{{8#7KU5dyIc-XLXVV337shB%Tz1FZSKI;%OJFhworkRy~yZ}LSmO>vM9
zK^Wmn4$xrrWI=OjracBAD?-XKJjluhasv+o4}&%f14E-R1A`uetBIvYP-tYav7x_T
mUWliwS7>5xmQ#3TfOn2zSb?u!Ubd;5V|rvxRb+X7u`K{TpFQ9J

delta 12680
zcmey6KQF&Nz?+#xgn@y9gW;@ZUSuz)T-YB*1_pjM1_pKpPKK1^0=<gdoX`+f24>|-
z)AXZLy!&Sxh_qfmyM9B&*6zfHnG@2cq_E8JTv6fTAQv0SXVGbW#LecCPkrslBNsJR
zg}hjGySUFjUOu++ON~{^1COprMP}1NA}7vM-#c-u`pNA2bDFCUe0r5Ri^b+th2b=j
z%uBybjKur6C+`2?W6fe`H(}$IaJF9sE4;s_|4)6m>xHe#oeb79v(hvL3=c>~Ocbg3
z!J8o!u;0%1h5spm3In!Ti)`ZzJ{vS}Ym4=$+CG}n{P^bd&<~OIdv0HN)!p%Ek<iXy
zqaRCGaQSYUyX@=xkns95E(M|DDoWSyv^T8bnXc-q=XgKvc<^m^Z|feO@Rq6F;-6zU
zG&NuLDT<1wv<FRk!1JCXXaa{*)FWTFo?Vap60Y6Oy}Z<7Wt@;>ENgW4=B_#GggK8N
z_B<F{q;hj@UYboMZ?>6gkI0U!SGzZ+7tDK@b-MfT+cU3sKDSECXu027e~;rt)3g<>
zX)H(bf9_1MF1eG?wWuP|EBCxhYH0qG<joQ`y)S=DG_!BLFaP4#1OHDvyZ*P_T^?!v
z=?({P{@a~zAIOORP%yXtKmTBMhT?Jeum{J_F53E7)A?ko_mkjRCA*bpNfz%G)tz2F
zXL8<=t@rz^H|FU`Tj{?wnUuHtq0D_xuG@uER9(&EIC{%sCO*pBk{|eBv7W~jg{ShW
z?;{>@mA&}zJl;y?Zhg(y$#L(^QB%vem+}k!85tP#m>3uoCLiRNsgEx%DNWKVgQu6;
z3I5qkh5|?5|JIb77qVux(qDBsm*@`{v@Uf8oD!N`$a^fsb5q#<+R33S;;JM+P5NGK
zJk#Xp4H0$i&uiVkzx?=b-SwAWH%#(+mCPM{_O^s(tE-8H&WVY(6Ef6ano7*%_+}`?
zzRZ$yk7pjQTFHbt^>^wW4PPc}%Vxy?5dP*irR#(8U$NGWa!osurd4K|m>Jm=hFKLA
zsK4F*gy}_Z;6|rcypaZLL?2tPIV&igY&bXk@;OmMca0gJTO97Oc*_>FsYb5!;Y;^A
z{ql~Uih-imqDyBQUsk9ppS*JPj^8WaHJd)>e4ONaKR#VzQJUs?C-M4a5q@iz+8880
zTJ`H~9`9MVqMxfc=AS6Nc6Qy{NcGoOcHY{$;oGmxs~)fNiwaPYYGz4%vFHnj+LE)Y
zOIw1~WW&|oBy{M_dO6>+?e2<?y|Y|Wz2u)>KV1CWdW)3GlB1PZo`|DHz>>#Dt^_hN
zFzjbyU{Ge@WSD$}UvjcE3%@8RuhvdFnBQa|arFHk(RiD)E*%n+?OBxSS?;&H%$HW~
zTHN~KXXeB6%Qj2Ow1~ViYV_I2FfHiNHqj{?jtcqq+I;x4Z{yc3$1HU!eMO!5@|z@i
zwb!s7{<L2~v&h+(Idayrjp0*o%xyY<w`-00`beik1%~|7UYEY9T(M>YZ-I{MLJtof
zu@>dUlf*ohrEo3!!eF;$;|GR!EC=eJa4HFKt*b07pCA3zlx33QhF#oCqNN+xy^&Y=
zZE`br+qxsl1%DT>Y18bPTXm=R!C`Kh<!!R9u4&rM0k^c+|Jvqcs0U~Fp3r{%q*rO@
zk~c0zwS{Yo)~W`Hepd<iIX7kU=G($M!+Qc;<4dKVaFw_!?a);B+OX`<zV{B3&YG#-
zwElhiSzg|J{^fnYwAHHvgV)`jpCi|@_{Qmt0;~S^Z2ZoR8o+H$3!8cw85lYk85op7
zDSYw{R{nZW=rVRNmj8>$sR%Q)aCK4u0i(o35T#&fZqVP&q?Gxb=k8`Bfo<CpDw>{J
z{AvC2a&bP#?Wtz-@`|_0g<n(7x)tXA%y&WZ8l!C$v-s5I({pwQ=6`Zo=<=TX!>v!>
zqPA|dZc@|X$!33Xq%*qOe*XuLL;t+=4nFwwtiCZuHsk#r*<P2}s>AMITsx$Dx9F9J
zRXWdfH<=^OGVQ#n$Qy5-KQhzZDqE8`GW2%Fh6$FOs9E-^!tS##ThoR1XH1OD3Euzi
zt(?l{{U)UCeeAW>qSj6~WiD`@kNkB(^SrRvMwT3JzZY9iO!*tw?Uo;r+*ADN%;W`5
ziid5dKTfDOc5a?Er!GCDENHb+)W+HCTkY}=1td?FzS%G7=2G!T>D9uA>GBT*Ua#3D
z^kT(yhN(e+vmN;iU)+3kQR3z*b}wz8m%(4&8_d<1nY!fdL4~)*Y03g&@;%&&JQFU8
zA5QoYac0i4?UPP!I`mN}!2haFNaDw{^ENCk_g>r^_xEyM!E#0QZFA)Anjg6v{`^q$
zc2(=iYpc&LL5a7?sqA*3`fBnr_E=6(z4lr(Vt>eF9u9qQ+_i@LPLK{4oVWa{*V>C7
zQeWS!+p5NQs=u@G&zdJ+t9Uz4W|%~AdP`NNrM$OlaopxwmRKnBe$tT^d1gi)l?G2H
z!;_7tT35vsTCzWy;H)4MbhJ~Oz3UN2OpskcL*4tc8769>g=^D){oWP7|6SeRd(yUn
z0aNPtwz^;6zO8=yIosH`{qt8<&0hTZaaQpbYb)DZlJ}#x%GuX{JajF2_U@~{f^v6e
z<>iO7-dPzZ@xDjj#?r3(|Gq7YG=9I_Uvyu`HtYYj9cwh)`^1+d%+vB(c-E-k^L+EX
zXZg+l-Tq5Vo3$*T&3Ak8p?B=O)oU5Jp2chypL0_tckboJg=QiJTk4C`O3GpyM4rvM
z=djA#p!sLtWezL9%kO4MyLv3v+R&gngZJvZw`RHPStQR`2Q5?NW!@AqE8(XZ!v>L4
zhSR&>9@@^Rb@ODVvUQ7%*wZV9O9e$|md@SU7uv=orlfsPBA}<X^`D?ugijFLi{OV|
zvQ9J4EV|&t)hX1cyxK@2f<v?Z<eOH@m<>MXjb@h_>s)s+wO`uhB(Bdarg5RsQ(5Y8
z*yZTYw_i)WaTK^S%YQ+W!}|k@PVKH&oP2-(m>^so$eo%P*w!DQdFaK-<l~wLa%VjD
zRtu2)Hqnm9(ZK4CpPld#_rTfPG@L}Qdj9Gax^u8v;mn~-k%xXk{s(s->JwBJsDJnD
z^i>YOt#k8&BSI7G&w1u9zd9>O;={%r!5OdSW#3fY;a(QgA;{-rrL=_SM@7V8)BjUq
z%XRL*k@~=?b2Q+}GKTq2raow6e*Zz{PIr||`sQ~gW^C0D>`a8_s{CZ#A#a#?CrfZI
z2mgaVYo9r7W}kMU!<bvCPA)6L@6(~$xp&m-WmrO_TAHV1&%Y#cH}Pj)IpZ|J*-N}H
zsamM4y28C=9g8+owc@ph2D(K{%G>twt!|pY=JMnL$2lRxoVShs3lFl|znD2MfA_+E
z)*mK|p6p#$d5G_&k&TC}sM&j={4+*2BF3gCWsWlKWwwjCG4-Lb=d!c|hii`BYP@Rp
zyLPwq1*>|=gk!&rc|;Z(-t3v2qBGIw!iyhq+mmlvnFMw-<e#$K5IA4p;RdBGD+;au
z3M+B!=gB_lk}-Mv<W7Oh4-@l!)IZ%+aqg6P+?nH}zKJ7t&irR;*Q~w-WSc*J8`@&G
z>R#LR;$Jl>f-)hu=iO9U+Q7^=JB_8^eZu#xjC%8TiSH;oUH?i>SdFcM({G-#oxRx#
z>9%DZ{>_TAmV5SV>hbz{9B9@#==o}iL6nvw=QTtBpeYV=W(Kzlo4z{E*6R*lv1_fx
zHFt}i<i9a2l{{KDU6MsAd~46EYv0#$p0LKoF#bVlo^N>Fl#~^pmQUV3|8D-X2R!G>
z+Ur(NZQktk>U)XAVzv4u2R`5JbM>qh{5<XDuB1k*zcMy$n_u^3=RQdgPOm=v^;#C+
zwk0JN?D3N>P1LE8Ot;xSyFK?z)Lq5<x>hx=7SF?T7QdcRCwA^3PwWOMn?8q}+|<3#
zG7IXMR{ZjJS>QK|TQEXxgK%Rg({3i2HQem+a~X<0q_FI0UaoXN)<(9mKGeUpdxqeG
z<p-}j&8x}&(8Hj8`q0Pg>J2jW%1otF|9lN=Qg=>o&rb~NTJ5^y$Psq8wfnptcYk=R
z>9P8sEa&U?ihHg<-ifHZ-7F-x>f;iw54LWfEsJs(_vugEaP=WO&*viM?>?uOcE2@x
zT&s0a=FbGX+7#^*+tdvC?UQCqoXuJP@VL&qm1g$Sz8xy=IrqNc{mv4V8;$pueUIAB
zbl%vCb@A>MuOp8CSo_XI?14JZ>7rShzsi1S9(FZ)f8or3do6bR<QZk18iz%HF=Pjv
za=c-n^=Hn*oaTLezu5L1*pN{rU3+@#^(W$yd~r(_GOtb+f2bO%6V)5~{)y6`riXKk
zKQ!0-%}@K1sg|`n>O$7b58hf+W4_+<x*R*VeY)hUwBvkicNd7ycrDwnWy2qye62e|
zw$8QrWUxTp#xsYtd+tv;$&~p)>+qWm^=sbGd9z$ght*he$ERDf%|B}<lveycw*J1y
z>+WBhed_0ad|p4}pVH;|7uOs9HTSu{eE-QWi>n;#8(-F6pZPDr_2hx4+W8+3YBIQn
zYk7rEWLmA2X}YxLfif$X@7%`yd+M6`mvZR+{kYVAxpBeQ?N7X0z3cb?E9?5L@G(Co
zMdSseTk@t=He4OEF1v0pQMNuX?MBwGhas~M?fjB==(2p0|Mh#dmCu}QG&%k2y()fX
zzj^sx{+*_1wUQn4`ue@fUUN>Kn6TnT;tD72q}@{=yH1eN?|V{Vn)kFKVbWtp?>_e#
z4}QpZ9AaMjt^d2rL(Y_!D^JeQ%AB`fO*Sme`9S)b6sH5ut5Tc~B(HPe_|Y;|hJB^#
ztZ#Eqn=qdLb?bL)`<194r%y@Ey}9S<@sQe=%=JEJgQsi!{?WgbXHWUp-umy{N^kDp
z-E(ef&qA?n=ia`tEBG_<*5ZR<0hcdNwN84s;7OWx`O!O@o)}HtoxDzOXU@}eGpx_-
z+{HJwI_cenEvG}jWouPL?@s>z=;y|BA*RZHTj!ng%Brh=y~Xt2y=htjm#+x2mwvNH
zsf{BmU*F+4%gw-Wf}3ITettD@9n5%w+x!c1`^m^8%@o{zN=h=wzux(pYhrmoS!yEB
z%h^IFyv_tYe*5rylZ57Jo9OxH#p>%$-}s>a_!937)_}lW?oGce<W&^j>ICOR?a`B$
zpU<$~L}bd;++=OZ*2mxctyC>}ypAoA`?HXJ#r#`LYyQ`#mj~&sd&2$n+__i<u7VA%
zt%qiLr7zmmHmzG}%QvZ`Ik9T<TQ5J_XY%{ye5p*=i_!<Qr@a<rc<+8E`JB}~*R5aP
z+<Z34J~lvN?yhhZX~}C(>SuSlyvhH;f7LwruEjBl4bQa-*#7r#irpISxclJ5O=fB#
zZb1(n1G_ihtCUaF^R2(*5^j;@>1bHF`0|zawgQKjGxv$^Yf;dA^kg!N$&`vq(KDD9
znaat`aOGPSTy!-jwI=hH(LvjH8c#G2$nP{+aJ*60YSr~Gy1dtKC{9?kCV+8jz)3;h
z$~m*kg>T+oee=<r71Nj84+%OZ`{DHCx9kjif;0s!9ECqj66Vd~V!v$aQU8BRK;*h~
zq3B%JmfWbqZR#>hR;*)pJMmPmtAT6sBn|_~ZOLUF&r8@H=UIgG&FoU}dF%LCcDvcC
zx!+sXA6%&YRJ?0SuhYH$-mS8m<5${q-l)B%t8wX%_0w648`+n=uivugq)z7?-o|((
z!?V9*B6#CZP2rgq7^Ya{cRaJj!zsW1<@|r@YPL1TY_rvqJ3q_IZf1_SxaZ8B3wF;8
z(qGJKF`BXCWp(2XVJ`WOhef@s4y$c`@&CX*mxr!}O&5Zizpq^|>+OH3!mr#f#q!zZ
zUcQ`a`lC=#Sz-OMgKy^Mbhj+an0vJ5nZY)x?C&e~l+AU#b#?XK_oct8-%b&{Ubvt)
zt$A&IS@MeL<h@Exp{$RN{n+x;thCvAiFs4=u6gN`KN@dgXOH^Ie01VN@0+4k=J6Ax
zThxVu|6N>fcWTDT?OHh|j8~N{ZPz(Gn{(jz3+KQ4Uj0<OdSc!K#l!ZS#MpPm_eWp+
zVlJ_T)#G66)x>S)3;zp?2D!aE+$@+lQ`z>9-OQ?6nyc$u9IqdK_0_gbVe-CYwu5EY
zyL#T4xARYa@p*qj<sYFJw_cw$OV~KIBh+lS<HmBk!1xt9x$&}ZFBiQ3F>edYqZbw1
zs|8P(i%ko*+BEk<uy~tr)#X2Jxsy2mGsRuA4w<p*hg{@+rS3za8?B8LIu<vTPGb~(
zaOdp_!EKf41-p+`tg4T_+i<?Lw_>UAtj#+v*z^c?mrVXTQ$fkm?4IKbr~U7H{)(st
z7wGRWs4<t@=Xa6+gpJkODbLDtL)6)__ex*bw&H?<{g&*odkb8Xou?g@{Fe9ky0e<U
zb#7ejonlUt84Fn6#JMMLd$y_3l>35;;T>1!1Iv7k56s%)7Sy2sV+u=E{WOLQ4~xy2
zY^UXB=jsRY=A|B3^nQx|!3U;Zcl|zn|7WW-Rbu{KhgzLkU*u*7N%YK{ze{1&`kDSu
zvR;2S3aU}C%8WU+EbM@0hFDgO>OI##TKZ?Nd}-QoW&J))zv8@aof#Pg=7;*lb$_$=
z$S7nz^lz<Q@Z;2czX^|KsIIW6`>If1T@^9wC&#><)&ZXnJ&Kh(aoc8pMfgPv`F_6j
zQ#SB3Gfiu}>9wZq$9LAe<7?jg{#Vj__w5Cz$jfyt1uHwE4cCj``0zAk+2L~@_dl$7
zuO|PF?*fl<n_}HYhR;joZ6__Bd;DfZl8K&8^uJ}R9&dT>er5j2XDb!<Wh~m}cgdkC
zUF%?d`RUX0S)Y{DE;)+yJFaZIt1{<$hw!G&nkxMnvWHZ3>*OwRZ(Jj~{oPd`tuSAo
zY`d$0r#4)?le<VGK<{#;P98tM>g!+69!|EocH7wa!?eQKe{4_WWCCusa0kZZ>uLz+
ztG-U{tn}Ia)mhiSH%%xZY4Mgw=FEwQvV%pBUY@f1U%kgeHr9IOL%jS<zb@&;<<6hg
z^U?CO;-4p)t8EXur}1^X)wq8&Hh!Vd6Qe#M*^|EfQc`J-sxP<wE`Jy)^<ecZf$}py
zzW%tj_I%Q_WVu~4PA6I~J-ns=J$F!x)aPq<^IdcI+??&dEcBVH`29XchusphGtTrx
zXs9#s*a=Si^*Bzdo<H=?`8ctg2d_n5i9D_llV-Cz#oM@TqJgKyg$GZqSvK4E{jroh
z+f@B4XZC|R%7)Aj_#Q2;FVFR<&|))B`OA3sY0{GN%`dNph>2A*{@UynXFs9X-2IU3
z-o2_$7595TOq{jv3xm7sY{_qa-}dU39*|!2_s5zuuO}b-IsK~VZ0?%+ww}PY{I*X=
zU%rryUYon9qglq#`^PrZnzm&=8<uCJOm$Q>z9lq+>6&afyWG>&i!C2_A3k^9an{Ke
zk4H1PCV9-%{&v4jXZ6FJwbQ-}?X%o<%qobpex;znSDgcETnZ-5;hB?Dw9LL?M`g;C
zC4Xu(`n7YnO1u=%D^*)0)!aYNd`5l!vAC^m%jeALom~?za(IH1{m1{$?9(=J&#Kpv
zyx5?8y{6N@`ZCJ|r8nQ}r6$$*%iId-v3pS`+P(h62mV9m;WM7ScQIvT)aH`t%L;z&
znf$-5qUGAb_{-aa8P}SwQlDe}`rG+>8<xA4pOU9dD?Gx#szZAE)=AHt_zt;#%$&6F
zTm2@^T}u;ky!l^<^c!sX;9+;5bC*e+th+t4&GpyMx1L`1%!NsGVf%*2e8yRau1>$L
zP<nUe-LpSetMWP+zl>1$8hq2cCQ8LPm3el*|9!<f&F?%els=k1>rHxo<GT6<iKqGh
zUyA$w|7Vl_;fmi|Lkt?))#`(th5a*LmnlwXyHu=KFCx&<`S{EJ4NcGY{d2#d<)rF4
z;l^^VOKSB$ON%*wP5&tMWNOaoUM{}lwJ%+F7Ae1*!(}wbviU}v@Y&EJ&kYi9g7d$n
zS(Sb|JVW}{p@y`VX@@grZI{kHb@9&ZsIWA(hr#DJ^RfS}vN%{g@A#w_Mr_=ofAr>v
zoNi0}J-OtLVZ2fOhjO1uchk)rj_+Pv;q5)|mQ2$D{z;+BKZQglUCSvu9rkAaZ}yd0
z`%;><?k%1-Wu@UQHPa@}dedp`VcfjEoUT7O=lMOg@rs%8-rVt0&x!9zrCR&Wc^Q{4
zFN|mSz1L(%Ku7Q8&!M52x9T2!6N$Q%Y@rfhU&a0YX#IB89S-mAy{+$3&<wox&Ho>Z
zx^+?1=}+He6jpr>ou9htZ^WV_=})%I(>D3DneBW3?3e;`Me*ki;vw%=?mLsDcZ;u(
z&*a1duD4c!ubAgJZ2uC&!*br!aDjZj1uL`3^ru>@U-IOn{N2%^bE7jmA?viq=I+Ks
z6U}E&EowGgmicPb;nllA!eRUGdZ&EzZ-44`&9%?JGc#lL|4pjNKWvk0w=X-ObIUDQ
z;7Zx!{q6T(Y0Z|G{WfElO1W1^!=|zu8ZIm9#1_0$zsL3_$!q7_Yfs#wzItfyONf8A
zw)7{fM7(cRox+FBg1?iqL}k{@Uo4__^SHs`{Qa>hI`*G(kGeeiY1Nrqpm1Pg^Uc8e
z)4k6QRX8hufA>6LUhK;{eW`xo<u(7k61XquoxU0HBja>-{MjYne|})F5@uQ#aNOE`
z=PM5fgIVe``q~#R*=Ma-SaQPK)pc)Htedma$7x$aL~5q|5m3GxTd|bcj%|&c%AzId
zx6L;s+>N+2W1ZoGES8VQR{jwZoagDuSkt(Y?M3}Ap9I!}*KVY_e{L?A{$TGCmX7u1
zUu8`v`zDD9+b}4`8Jf-C?!ar@{YK-~mP__4tLANJv$<u;*BijJaNfGbz1*UD2L$vY
z3^rt?q-^69iOg_6rMki@NrmCE>upX?9o3}DE<V%Qy{E4inXdQQ<a@4DM{h~EeaN1#
zd%imJooQ02-~VCf@q{%`O@0_9w@OO<?|JvJ<hrWtwW7(k3a2>*E^f`#f8y%Y-s5+=
zc!p-KO>pYEdgt%_?1Fy1%8ZPmF6~c}yx3M>pWmi+WLmqijYz!R(~QP@>x=ssHg4G5
zHSOr44Z>5K%PxO+-(ObM@qg`s8{+d?-LsZA%lppkJ9^jG&b)p~>(aDOk4$&9t==$Y
zkKBng=IL#QoSP5E$*_L=pWx%hQ(E_U>0?>(g9@cf8tYpavb-C<7JS_OFFz-(*PiKz
z;Le>ak0+Td4Dl3-pVcq7OQADWwDnPW#hSA9Uv=#)=V%EmGd=P2$jioauwDQIcy8&0
z(mmrmZU%<D$!DcC!F>RYt@?ixI^$HM8E&OU2<vQEwNCEBSB|OEa*8$|x!bStTKn@^
zo!JN2x9pf*mf_T8aqzO^_P101O?mgyUq+2lg;9l3{@u59cH4g*=N9O7O^xLJH}}H2
zO>FOX-B<bk=3%e#v6`j-4}DBI=`6Ya^QN@lx;uC4@B9Ct*D|79?^(Tx+@)Fj5^@d}
zoXoW2nkZN+Vq)FC&yC$&>dsQZ_uprKtB6;wcY7$bxBHr@6zih$iyMwDc4YeKUv0DM
z<J<HHnV!k@`;F%<`zYgoxx;4i#(VRhTsWlH5uWke@=}waVu9?p7%c&tl1>4wtIgaE
z+?QhtT&7=gzSUgZ&v0<_^WBH%)iX_e|3{{8yWyf04yscoKACttQ(i{U(*3fefBBjc
zsl86iFD930E&Rggep}%D!RVs+C-<eZCp_sszj^ClPj0vC7cJ&|b69x4<bP!kqwKCP
zZyx&pXK&s){f_vDL%${0Uf%XR@7dR0^Yjnj=Oi0^4-#FxsDZmcsc5~~-8A)8K~rW;
zsb8YRs%af+mAd3e_1dk$OM~6AmuJq(T01q!>-n5uuL|w1t_cw#J>qd*Qw!&BJnm5B
z=90j0)a8lzJN@e0tRHUP%3L+|lI7B#!byDD4_Ot%*1b8kXCDvSv(om;yQTXR<C^8_
z>rUVLw{LEJdj903DMfx>*>jgkJY2d#c;eyew=VJZTJKLUyS?g^o{#XI@`=UwTTRxQ
zdRl2rS!d5|KhdSl(`B7~v&~7#i_F}+6|SGsd*hWdqlKAI|A)==_cpv4j~A}p|M(@J
z@}dUe$tU#p2-o*bi}#*+y?n84z>}^MdS0o4SB@+S5#V%nJrenHis9}DqBFI`&fMLb
zG%-YH;#<>aD*5#v*6!_l@^5zA#r?+9?q~~$hi%*>JCSS2x&sgWbhwTPo84`T6%tvU
zWNV|re{$;z_Lij3O|P9e(&sGMY8aNe+|$eIs@3x~Q-ZW!&e`f_DH+@ncq~I*@S69n
zjEAk$H@RpmovSt1=vc<a2p!SAIiaCfo}Bs}6j&L*Xv(E1a6s3?LR#{qdAzsiV%GyA
zuY085HpU(Q9ozm{G=6EzG!6CAkji+L6BaismQD?8R#?3CvPyRElv9?Ar(AH-Fui7V
z`|{o1kNeu!BpsB=V-;#F5V@dWk=9cll)dI+Rm6njk3VjZ6Jud&bTHv4IW(Qg??JWV
z)bB?peP3$zOhmTc?DMUviWKprz`Vn*ojMX1{DS9XO`Uql^ZAnCQ(EeG%I}o_(x3C(
zW8&|=-+jOJik|PA?V~pN<dZ|utlY<%8jmuBp7PnAD3Qp#J2Yo)>AF`^9RVs#d5!Ge
zWt&g1I&M_TW2uhtnE3v^spOjnGdjxe2LGGYRW15ocYocPV6mR}&h_zXkJp&8+)3uS
z_j9Lrk?r!AK5Uhut{Ytx*1c(pxH>gvs%oKafq{!N%WjP@mc!{L{%YA0|7@Oab$4t%
zao~fD?%_TA?pIp$A3m_aR<GWL{eBlq+ulkGe{ZWFVJQ<=f2w$(py)VhL6L69nMHbU
z<QC|#pAyy(eC6ekk=t`wC3S6magf)`Ia|Ffk7nqKd56B$Pv&~ua@labaoLToB8vsR
zpBUU6TX$@@xhF6B#g;h-?=v00Euv-guE3RLQtWlr@FQyjbUNOAYT03X?{3NM*6oaJ
zcYU{;+U%C&pZH4dfQEkq*9F~<_U0qP3$zP%h)uQC5EE+_Ug4DOscEdFq@?7@HTjK#
zAWPpPi%F9i6~*dbW*kkCt5g2&Cp|+gXvG@aR5hikX*O>e^uJ9xwLl<k(Hu+NmCv*-
z+ZMd#N_x58vwgX3>}!+$l>86R7dEp_yp(WsU8FM8RFNBZr-?^T;Lj4c{a(<mqQE+W
zpZ{%fLdKkbZa<@0C(g*ySa9uMh})LgQAXCQj?enM>7B{hS@YXwp0BT3KY?w+CM~~s
z{r1CctE{6}M`g)Q;@i7?`Gp##NelIS?$4M~;K;R3JlNjHDPmspO75NVivvP@HnF%~
zxlpp@#su#N+t)Ld{eD^XbV=o*#aDLTw_p$#PJZvP-`4!z8mqMmjgKAX82`Ic^SH#s
z{P|U<q(AmoT6b%1Slc&6yggaTsb1vksn36WTf#g888t#DI&Pk%kQtWcqLsz4ko{qa
zig4fu?dH3;)^;gW@-2LM(KhwCb9bHVYtzR<%a_FZ9-AA<bU(3_Pm%e?2gxrPvraZ0
zV7nM}QX+cm3d@H<QNNbud^Z1H=A86$w&}+cYW;b6(P|mTXBU22Kl5T1?+P_OwldrL
z=ksSvwXqgx9Z;*}ZLU3E{I_dUi$Cvzl(@zP*U$T`j%3d|^gZIS!LG{V`8g*xm@7^T
ze=I7ia<aQI<8*z}q8|6e`-d)H)6sEw&|%sov*6rG+ZB^v-m(%ul6o~=%g;MuQWaOr
zk&{J_Iqq%rC_M2*eWlI3nSa9*Plla6eL5shL%QDTPOi@3{$nTX_*TkzRWG~u`ON1%
z{(3Cyx^se*+~Sv-%qxiS&i37EHYY*uBcsKkxRqKP*KF_I@4VdY=*=5PnqKYRqH?`Y
zjY}yzk>Tgk)ahFdc!S<P(1=@bu65GeH;j)TF*on6=3QR4`1_4b2b@~G7XR+Lk(aSj
z>AGyxx-+HjXX{tH#4ak@suBJo#x(1@jEk?=3jIT}r(#zIx2^oj^UjweIXX~l&wF!`
z*}4%){0?dRgAzRjjU8Lt`{qu5t$IY_^17KiCpd5CeBJcr{8Zj~WeHuH?pK|KTd&?S
zo@3$AQX0za!X%=+Z?R%xgZ-0S&z@MR=GrOm_AqX?c5dw5rlDS+H6`FOqhVk4<pBF*
z${ow*%rQxRw@kgSIGy3G71zDQ1rD6QHtKxd)V+Q}ZdQ+`_<w)7D<(F4p0D5JFOlj!
z?t5w8zqu~qygf$_IJKphJ+<vPsy^B1@Vl_8)=2L+d2cdH7_Amp`vg8S{B^+M_^jJ)
z3s=Q$U!3=7$>-~W+Vv0Jlj{$2vlZ)1SnzIIxu2cwbf05aTMwBy-AhrqP&)PHx80qu
z)7R|Yo}yCl_SugT<9`A>rd<2Cgl|bkPu*_~UDKL?+7N?#DZQWAl<&^dvPkFr#A4;+
zw@!ia<VQZCGcoHF9zH2zW%B!YCeHr<tAYvhx>Nkb4f^j)j){9R&yaU-igxtOdc!r2
z!eSQxw@0nZU&V5}`IXt;H!8pH*W2>RdN^8GTq!G7n^ohyn<qf{GndD+)7PWYw&Z6^
zT-+Cy-22A;qhsTvKb>g`E$qi$pNwBQ^N+IUj2`*@Y%HNYyR46MM}!<RxWrUs?Rn<(
z+$(2g)7GdQy^z1@WLMJZDh}3(`MdA@UEEY(c1_-V%I^Ow7X10UxapC(?0ywt!#r^X
z#XaY@e`YFCT<SUZZ&fc-k(!~8<`4P!qdsdS*ZjI8Zs|KmTqye0eaAbVe8LlV&%CQ0
zUHUtGk@xG9Z2rkDtC&BT{C<3|>FD>zhga6w`2=3IX*X<tzDo0I&Xj8Pr^T_J%LCud
zpQ?9l+q?QY&n+85D}St0<&5zUE%D(#zGcIo$J=i{`CG8Edl%0o;m!9St!CI7p^$r}
zilsEfZAP$b#Qv;D95;^c?<|>^T%gY}A%chN_(Kn#A{zrfMJb8wq+WTAfF+OfirD()
zbutdtT<o}``JO>0@cB2Me-7KN^7^H#>+i@~c|Q;7Sjd-B@0M{wSTdu3leXy`t2Fn=
zIVGH|=__*uoMyTT9WQ#oB~!F;V%*HtLRzjh*^kc8pPsnlcsJ{G>ylZTXJ%>~d&K+c
z@FLl!w*i}CMSfPXES5B0vp~*2boWIS`KIF;ipz~QMRT~ft(KlTv-v>WkK1`x(q8<s
zzt+?(6SJz?FneR(Bh7mE(`&obw@Ug}iaE$TPVc^;z$cUGl)YlVX{1G|tm1sbsDP3=
zF~20e@B2@k^Zm$v$7ick8DbRKpWUnb^kdOe|D$IXT`Kw%^X~ZJQ~X;4x|gkvON@J7
z-CuklV(E(&ys%a{WQAAYanr@Y3=9l?3=9l%pcP)J#hH`4)aAj`<!jXA1VPi~3(R@f
zE@ow50Abn52l-{|i&7FpVT-`P&2}k~vpNjF+)DVI4RYr&9}r+?Ztf{GFz`tBX71ee
zY<;fxrl=Dkkr|6cg0wboPFnE4H^1KIiTi)K^BWuey-#mF`#0zRC#9-g5pp{B)-hgT
zxXo~tp=y54Hxu`~snr=CDmzoBMH~3`-b%EKwa`;OU7vQh>h_Hj>vGO{cPDR~k*9W8
z?bgm+oQiy|&jqvf_SQ3>Q{)%g9I59NCXmY5X)-rG&nh6j%fe&6kVo;uHy1B-J${;6
zdzWjG-mc8OM%>F2Z?$T>Mo#dK@A*De=<Qae>(}<%^Tf#~ePEgxm1fDd(tgSO{nME9
zE;g$@;B)i&vV22*XR~{L+F{2nvMlowntXHqx$A%3ckcb?Gs}KN{w-~^4=~)>|I6F*
zq3!|0)2jBXnD@=e11-E#yIUgs>)!WgOOyWTM(Mc}&3Y>7cWe0}j>VCAjaw3T2k*B&
z(X-j6<w!x8MV*S?>uZ-c|2!PXqG)*TQ&-EH1#V9jWQ|t;QMOlERv#(XG*N2yQ$f3n
zZDsj$o+^3F71|aV$K}8Bb&Bz)O_6?%ac)MJb=E7m-u?67#08!GA8Pld983Gjs$y<(
z+I!(yH&#n4VLREyFJHRMoOHzKw0?wcU+|X&2Q#hCr(Lf7aqExt%%@5-FJ)|g=_C|f
zdD5BrRfbh;rm^E3zP^q<Dbup+IVv5bCTLEQoZ9X&<*7(gep^^{;hmpcqF(B&V@%%e
zo_EyfO>^7yMFC~YmUFchX<KMd;raTu<LkdA&yPJ~<q-HL`|?EisqV5T*-M=izfR9&
zSRdrU@F}pYdVa3x1Mx|#Q)+F4N|w%doOFcugyu&EZM6!QHy#grJRh;BE#?nAHmCmA
z?6jOk)1C8oxxbs_$+~i3q+Ry1&?VK$%7tE%oS%YzrZu^DG+oj9euAqglGSvRZ?xX}
zD!HQDI{zgSjRbwR{Xbn&zpo_Y>$Ke2na4tX!_B@{S04E#^nbONN8aW=MvA7V4d?9W
zKaw(Satf>QrmFja`!o9NT$ukPHH8Wt`S@ge{eo6+o4GGGt8wpNF@Mv%zw$iu|H%28
zy}w&&706zr&sxfqzM%Zr!e2kPZ@ZtqQKV0vC!XP5mb1}4lmDD4Qtn&JzB=Y#4!FIc
z(fWdU|GT9N#U8NQ{C;?@?(d@6TT57%*Yg+L7Lb+7Rmn>@qV#mhisj7vB9Bgz{<E|E
zNzR{2Ut6B~`5td??y;XEkvDfn!VK2mHV627)O%aKt+wnf(A?-%m$BWVOD9IjRV$3i
zL%*KGImpe;;Hfs>^QF;$K5SOM)o^r$;anp&L$?$+m!JtMt$Ybgf)kY%R|y>m4CHFo
z5O4};(Qum5py0$Iq@1E87^uX}E;L(GJb$M0j^pe4Cj4Aq|B#cje~}0$^M*NsI+Mf=
zn}xFKJATK=3&wifTbEF;c)Q7ZD#!czi|^}BE}Bu`$#a@fQdEnxYop<#J{2i0Pkmh>
zJ=v<_XT?dCrq>o+_WOHAH`P<G@$*9FUn%oi&WY+wf8wanqw8*UBc4-sHd7BnIP0I{
zSySaU>8lh<?$MvUvEGdLTrSUr7habQe;nJ^?Ok`p&v263v=)W=cU;~zzU7y%584@*
z8OQbH*mRrkh9}!!GOVoppjO^<($n?IOSOm3=DwbJy>f-}u@fJ|o@O{$z4_Fz+*FAz
zxlGC7!4ani^7~b|GwowFvZqPi>#u)RC6#P7Uv3rehNww1ZfQsaZJFj#za%1YYB$4T
zWzYFOYgwNam}-2~_E*|+chX}e+la3jy7%kr_(JxUuT${UdH8per@Y>C{y(lV0q=zq
z@14x&%=(=bz2NQvoxP?EuJ$LJ<Id?Qg~|mg%oe#~q4b>DtGhUNpI4#1{zZ@YtJ>V*
zXQrH$U%c<rq0f&?mcH<Lyz#_=teK%K?YozS&8*JwkY?SgCsE2!q;a!8?(=~FnPUR-
zvkw;BPS4;-{4?qN%DndVYC$C~MLYBA1!k=k2s)G%fU>~%SK0Gl#Y_wgJ6IVQl)wwx
z?993Ap{sj)r{w$JHV`;=|FfpwRJBHzSxdD4PA+fFGvKt!(6-`Sc%pZG@4=1Mw>>pi
z+^;Q*y27NbTA6tC`|js*^JVwTG}LO<&hborFnxx{RKdebR=9i!I(F*E%sILuvzHz7
z%ePa=*)A2QyW;8t{>MHxveB(exMa^Qe9ypJd!>E_*OJg1DLYxFhqm+Sc6dxUB<ek-
zMNdq}@o%Ts(~8HL8mjHlAyrSe6`kgCQWXhRkL7)M!7A%Y{{xfsIfpzi<ZQXkE3q>x
zh4EVPjjny>ix%*P-(6@NdOz&FP_tj@jAs`nv$m9S_$}HXcXgKh4ephvk1Z+63Xl%i
zDd6L?F!1}a`ZErCa;<Z^-}V^KOmzvEzU0)k<07WByJm145}%d%LF01D8N+2qCU8#w
zEHQNw*Pi`BN3FKM%-YC0b#C`_m#M9L*>zd>{8)MBLj3Me>!;Q@EdFaeQ=8X*{dwzu
zs*<NaT!}9|_xxS<9=TOzg16##b0xP1N2@5#@iF9kvs(PnlEN3^^>5FuXWf&gom08~
zX@(KE-O2DNA#+bZoxN=Oj@PHo9ofDkdh6l&lb#g|u)SF<!E>fco&DU#xz%n_nk%1j
z)v@NM$g{0J7WF5u_?LHv#~0-<9${W<#rA*N5xR+`{`{Tyi)Eh$&M|0AV4qYh>{{+L
zE8@ICqHx<6xjjltZSHv+PSiM4A0Tn)u}=_-<;*?$w^O-<4|C~g@9+OsoEXv8E-C!$
z1mo>mozB4gf*pN(`FYFR-Ndb(58qw*&-Z!#<>xVdoZH1)Z4XY5P-vg`{jhY!;@S@r
z3jchQta-U&{mZ-ydsj7IxjQp{^4+BGufBcC={c2WG$TZD;nTir;px|GPycRyY9GMk
zjkE@R@<{_@P-A5Ce}g_IUQlZUz9@b2EMrAe(BexF4)A7V5@ALxT}N4r%)rY40*nj{
z3`-idm>4EAvM5@GhHyewv18MYx|9}K`vGQ<b~SlCnnBA%Cr>aDV~%D8sg<6*la*Tv
zxBAI1O{AHP*da<MunU;uRvHOjxya7I5XHy9AP@Bx$ZJa)FSAc>Fqg3_&PXgs#p^Ys
z<rfSxC`RP+gN&Gb-;~`16eb{y2v)RFTW$shSrpB+(jd*&sVQlAZAWczA_uJg<U%tw
zW00jFjBYVX8x+Oj<C6=`WNpDMQak~NQqn>7L(;=KT}a5=oAY9^9nwrbccTAqBNGFI
zkut*D5Zzgm>&;btKrRO10B=?{P;~Gx@GxkzFfiyFGcf2exSC`-7Hb;@<oe_o1iASH
jn7Ae;mRXo*`Xv^G8l;7!WcyoqdZrfymzf46dfEa2KS$+%

diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl
index 565ee15..5d3e524 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl
@@ -58,10 +58,12 @@ if {$::dispatch::connected} {
 OPTRACE "design_1_reg_decalage_0_0_synth_1" START { ROLLUP_AUTO }
 set_param chipscope.maxJobs 2
 set_param tcl.statsThreshold 360
+set_msg_config  -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}}  -suppress  -regexp
+set_msg_config  -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}}  -suppress  -regexp
 set_param project.vivado.isBlockSynthRun true
 OPTRACE "Creating in-memory project" START { }
 set_param ips.modRefOverrideMrefDirPath {{/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/mref}}
-create_project -in_memory -part xc7z010iclg225-1L
+create_project -in_memory -part xc7a200tsbg484-1
 
 set_param project.singleFileAddWarning.threshold 0
 set_param project.compositeFile.enableAutoGeneration 0
@@ -72,6 +74,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado
 set_property XPM_LIBRARIES XPM_CDC [current_project]
 set_property default_lib xil_defaultlib [current_project]
 set_property target_language Verilog [current_project]
+set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project]
 update_ip_catalog
 set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project]
 set_property ip_cache_permissions {read write} [current_project]
@@ -96,7 +99,7 @@ set_param ips.enableIPCacheLiteLoad 1
 close [open __synthesis_is_running__ w]
 
 OPTRACE "synth_design" START { }
-synth_design -top design_1_reg_decalage_0_0 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context
+synth_design -top design_1_reg_decalage_0_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context
 OPTRACE "synth_design" END { }
 if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
  send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds
index 0cab5af..909e055 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds
@@ -1,10 +1,10 @@
 #-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Thu Nov 14 05:13:55 2024
-# Process ID: 7818
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:26:27 2024
+# Process ID: 14006
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1
 # Command line: vivado -log design_1_reg_decalage_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_reg_decalage_0_0.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds
@@ -19,23 +19,23 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11968 MB
+# Available Virtual :12355 MB
 #-----------------------------------------------------------
 source design_1_reg_decalage_0_0.tcl -notrace
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 INFO: [IP_Flow 19-1704] No user IP repositories specified
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
-Command: synth_design -top design_1_reg_decalage_0_0 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context
+Command: synth_design -top design_1_reg_decalage_0_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context
 Starting synth_design
-Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Device 21-403] Loading part xc7z010iclg225-1L
-INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
 INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
-INFO: [Synth 8-7075] Helper process launched with PID 7866
+INFO: [Synth 8-7075] Helper process launched with PID 14088
 ---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2336.625 ; gain = 411.746 ; free physical = 159 ; free virtual = 8412
+Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2430.270 ; gain = 412.715 ; free physical = 108 ; free virtual = 8762
 ---------------------------------------------------------------------------------
 INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v:53]
 INFO: [Synth 8-638] synthesizing module 'reg_decalage' [/home/hogtest/Projets/Cours FPGA/Labo1B/reg_decalage.vhd:14]
@@ -47,48 +47,48 @@ INFO: [Synth 8-256] done synthesizing module '\reg ' (0#1) [/home/hogtest/Projet
 INFO: [Synth 8-256] done synthesizing module 'reg_decalage' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/reg_decalage.vhd:14]
 INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v:53]
 ---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2414.594 ; gain = 489.715 ; free physical = 138 ; free virtual = 8234
+Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2515.238 ; gain = 497.684 ; free physical = 103 ; free virtual = 8586
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2429.438 ; gain = 504.559 ; free physical = 138 ; free virtual = 8234
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2533.051 ; gain = 515.496 ; free physical = 90 ; free virtual = 8574
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2429.438 ; gain = 504.559 ; free physical = 138 ; free virtual = 8234
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2533.051 ; gain = 515.496 ; free physical = 90 ; free virtual = 8574
 ---------------------------------------------------------------------------------
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2429.438 ; gain = 0.000 ; free physical = 138 ; free virtual = 8234
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2533.051 ; gain = 0.000 ; free physical = 91 ; free virtual = 8578
 INFO: [Project 1-570] Preparing netlist for logic optimization
 
 Processing XDC Constraints
 Initializing timing engine
 Completed Processing XDC Constraints
 
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2532.188 ; gain = 0.000 ; free physical = 116 ; free virtual = 8220
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2628.801 ; gain = 0.000 ; free physical = 173 ; free virtual = 8565
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
-Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2532.188 ; gain = 0.000 ; free physical = 116 ; free virtual = 8220
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2628.801 ; gain = 0.000 ; free physical = 173 ; free virtual = 8566
 INFO: [Designutils 20-5008] Incremental synthesis strategy off
 ---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2532.188 ; gain = 607.309 ; free physical = 110 ; free virtual = 8217
+Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2628.801 ; gain = 611.246 ; free physical = 149 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Loading Part and Timing Information
 ---------------------------------------------------------------------------------
-Loading part: xc7z010iclg225-1L
+Loading part: xc7a200tsbg484-1
 ---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8217
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 149 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying 'set_property' XDC Constraints
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8217
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 149 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8218
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 145 ; free virtual = 8551
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start RTL Component Statistics 
@@ -106,8 +106,8 @@ Finished RTL Component Statistics
 Start Part Resource Summary
 ---------------------------------------------------------------------------------
 Part Resources:
-DSPs: 80 (col length:40)
-BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
+DSPs: 740 (col length:100)
+BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
 ---------------------------------------------------------------------------------
 Finished Part Resource Summary
 ---------------------------------------------------------------------------------
@@ -116,25 +116,25 @@ Start Cross Boundary and Area Optimization
 ---------------------------------------------------------------------------------
 WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
 ---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 104 ; free virtual = 8214
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8548
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying XDC Timing Constraints
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 164 ; free virtual = 8215
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Timing Optimization
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 164 ; free virtual = 8215
+Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8552
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Technology Mapping
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 149 ; free virtual = 8200
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 127 ; free virtual = 8540
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start IO Insertion
@@ -152,37 +152,37 @@ Start Final Netlist Cleanup
 Finished Final Netlist Cleanup
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Instances
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Rebuilding User Hierarchy
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Ports
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Nets
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Writing Synthesis Report
@@ -204,16 +204,16 @@ Report Cell Usage:
 |4     |FDRE |    11|
 +------+-----+------+
 ---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543
 ---------------------------------------------------------------------------------
 Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2540.191 ; gain = 512.562 ; free physical = 438 ; free virtual = 8489
-Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.199 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2636.805 ; gain = 523.500 ; free physical = 129 ; free virtual = 8543
+Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.812 ; gain = 619.250 ; free physical = 130 ; free virtual = 8543
 INFO: [Project 1-571] Translating synthesized netlist
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2540.199 ; gain = 0.000 ; free physical = 438 ; free virtual = 8489
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2636.812 ; gain = 0.000 ; free physical = 110 ; free virtual = 8541
 INFO: [Project 1-570] Preparing netlist for logic optimization
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.219 ; gain = 0.000 ; free physical = 774 ; free virtual = 8827
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2692.832 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
@@ -224,7 +224,7 @@ synth_design completed successfully
 INFO: [Common 17-600] The following parameters have non-default value.
 tcl.statsThreshold
 INFO: [Coretcl 2-1174] Renamed 2 cell refs.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2620.230 ; gain = 0.000 ; free physical = 774 ; free virtual = 8827
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2716.844 ; gain = 0.000 ; free physical = 791 ; free virtual = 9179
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp' has been generated.
 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_reg_decalage_0_0_utilization_synth.rpt -pb design_1_reg_decalage_0_0_utilization_synth.pb
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 05:14:27 2024...
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:27:01 2024...
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.pb
index 4ae54fd6432e1c369ca7eecb58837912711a0c87..edbb594b91f422934906b6c0df3eca629badf554 100644
GIT binary patch
delta 194
zcmaFBIE{&mi^Isk$VAUj&uAitNo-NG$5URe>&iB7g%}tPIP4W>C}Q?#6#<F#N-{7o
zFx-`7s4#I~Dg|P%l?Rc3<ry0KoR2Di*k@Hi<Xkm|hHcJI)j;fCBM^Dlh=IY<W#UX-
zKLy99_8^hBE+BHRD+7aqW2+m8-Rlh^?|L&ZG&n5v0kJ>%@VbWtxEjSfdpP>}x%#XP
R1d09)WMF8pKN<vL0{}ErE^Gh*

delta 140
zcmbQn^nj6ri_OTu$VAU@B9}>Q1E>2_UIqpR+qXgt3<n(c3Ntixy0wbDT*PhLE6KpX
zz;IWRp<%b{QYjF7tvrbQE6>0X;dE31#6GJEBIl|xFcdgFRRghmjX>mGBL;>AP7`PA
ZN-;P*wFim3bperkT^Se{99rE#YyfnhALjr7

diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt
index 1b7ca4a..5e0620b 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
-| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
-| Date         : Thu Nov 14 05:14:27 2024
+| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+| Date         : Thu Nov 28 16:27:01 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_utilization -file design_1_reg_decalage_0_0_utilization_synth.rpt -pb design_1_reg_decalage_0_0_utilization_synth.pb
 | Design       : design_1_reg_decalage_0_0
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Synthesized
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -31,14 +31,14 @@ Table of Contents
 +-------------------------+------+-------+------------+-----------+-------+
 |        Site Type        | Used | Fixed | Prohibited | Available | Util% |
 +-------------------------+------+-------+------------+-----------+-------+
-| Slice LUTs*             |    6 |     0 |          0 |     17600 |  0.03 |
-|   LUT as Logic          |    6 |     0 |          0 |     17600 |  0.03 |
-|   LUT as Memory         |    0 |     0 |          0 |      6000 |  0.00 |
-| Slice Registers         |   11 |     0 |          0 |     35200 |  0.03 |
-|   Register as Flip Flop |   11 |     0 |          0 |     35200 |  0.03 |
-|   Register as Latch     |    0 |     0 |          0 |     35200 |  0.00 |
-| F7 Muxes                |    0 |     0 |          0 |      8800 |  0.00 |
-| F8 Muxes                |    0 |     0 |          0 |      4400 |  0.00 |
+| Slice LUTs*             |    6 |     0 |          0 |    134600 | <0.01 |
+|   LUT as Logic          |    6 |     0 |          0 |    134600 | <0.01 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |   11 |     0 |          0 |    269200 | <0.01 |
+|   Register as Flip Flop |   11 |     0 |          0 |    269200 | <0.01 |
+|   Register as Latch     |    0 |     0 |          0 |    269200 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     67300 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     33650 |  0.00 |
 +-------------------------+------+-------+------------+-----------+-------+
 * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
 Warning! LUT value is adjusted to account for LUT combining.
@@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +----------------+------+-------+------------+-----------+-------+
 |    Site Type   | Used | Fixed | Prohibited | Available | Util% |
 +----------------+------+-------+------------+-----------+-------+
-| Block RAM Tile |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB36/FIFO* |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB18       |    0 |     0 |          0 |       120 |  0.00 |
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
 +----------------+------+-------+------------+-----------+-------+
 * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
 
@@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------+------+-------+------------+-----------+-------+
 | Site Type | Used | Fixed | Prohibited | Available | Util% |
 +-----------+------+-------+------------+-----------+-------+
-| DSPs      |    0 |     0 |          0 |        80 |  0.00 |
+| DSPs      |    0 |     0 |          0 |       740 |  0.00 |
 +-----------+------+-------+------------+-----------+-------+
 
 
@@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------------------------+------+-------+------------+-----------+-------+
 |          Site Type          | Used | Fixed | Prohibited | Available | Util% |
 +-----------------------------+------+-------+------------+-----------+-------+
-| Bonded IOB                  |    0 |     0 |          0 |        54 |  0.00 |
-| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
-| Bonded IOPADs               |    0 |     0 |          0 |       130 |  0.00 |
-| PHY_CONTROL                 |    0 |     0 |          0 |         2 |  0.00 |
-| PHASER_REF                  |    0 |     0 |          0 |         2 |  0.00 |
-| OUT_FIFO                    |    0 |     0 |          0 |         8 |  0.00 |
-| IN_FIFO                     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYCTRL                  |    0 |     0 |          0 |         2 |  0.00 |
-| IBUFDS                      |    0 |     0 |          0 |        54 |  0.00 |
-| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |         8 |  0.00 |
-| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       100 |  0.00 |
-| ILOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
-| OLOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
+| Bonded IOB                  |    0 |     0 |          0 |       285 |  0.00 |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
 +-----------------------------+------+-------+------------+-----------+-------+
 
 
@@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 |  Site Type | Used | Fixed | Prohibited | Available | Util% |
 +------------+------+-------+------------+-----------+-------+
 | BUFGCTRL   |    0 |     0 |          0 |        32 |  0.00 |
-| BUFIO      |    0 |     0 |          0 |         8 |  0.00 |
-| MMCME2_ADV |    0 |     0 |          0 |         2 |  0.00 |
-| PLLE2_ADV  |    0 |     0 |          0 |         2 |  0.00 |
-| BUFMRCE    |    0 |     0 |          0 |         4 |  0.00 |
-| BUFHCE     |    0 |     0 |          0 |        48 |  0.00 |
-| BUFR       |    0 |     0 |          0 |         8 |  0.00 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |        10 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
 +------------+------+-------+------------+-----------+-------+
 
 
@@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 | EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
 | FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
 | ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
 | STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
 | XADC        |    0 |     0 |          0 |         1 |  0.00 |
 +-------------+------+-------+------------+-----------+-------+
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml
index 74cba0f..54df7e7 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml
@@ -1,11 +1,14 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="design_1_reg_decalage_0_0_synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731557632">
+<GenRun Id="design_1_reg_decalage_0_0_synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807584">
+  <File Type="VDS-TIMINGSUMMARY" Name="design_1_reg_decalage_0_0_timing_summary_synth.rpt"/>
   <File Type="RDS-DCP" Name="design_1_reg_decalage_0_0.dcp"/>
   <File Type="RDS-UTIL-PB" Name="design_1_reg_decalage_0_0_utilization_synth.pb"/>
-  <File Type="PA-TCL" Name="design_1_reg_decalage_0_0.tcl"/>
   <File Type="RDS-UTIL" Name="design_1_reg_decalage_0_0_utilization_synth.rpt"/>
+  <File Type="VDS-TIMING-PB" Name="design_1_reg_decalage_0_0_timing_summary_synth.pb"/>
+  <File Type="PA-TCL" Name="design_1_reg_decalage_0_0.tcl"/>
   <File Type="REPORTS-TCL" Name="design_1_reg_decalage_0_0_reports.tcl"/>
   <File Type="RDS-RDS" Name="design_1_reg_decalage_0_0.vds"/>
+  <File Type="RDS-PROPCONSTRS" Name="design_1_reg_decalage_0_0_drc_synth.rpt"/>
   <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/design_1_reg_decalage_0_0" RelGenDir="$PGENDIR/design_1_reg_decalage_0_0">
     <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci">
       <FileInfo>
@@ -13,7 +16,6 @@
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
-        <Attr Name="ProcessingOrder" Val="EARLY"/>
       </FileInfo>
     </File>
     <Config>
@@ -28,7 +30,6 @@
         <Attr Name="UsedIn" Val="synthesis"/>
         <Attr Name="UsedIn" Val="implementation"/>
         <Attr Name="UsedIn" Val="simulation"/>
-        <Attr Name="ProcessingOrder" Val="EARLY"/>
       </FileInfo>
     </File>
     <Config>
@@ -43,7 +44,9 @@
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+      <Desc>Vivado Synthesis Defaults</Desc>
+    </StratHandle>
     <Step Id="synth_design"/>
   </Strategy>
 </GenRun>
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf
index 00cd5e5..2b65bc2 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf
@@ -6,7 +6,7 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
@@ -22,12 +22,12 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00
 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00
-eof:2245874392
+eof:1425733881
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou
index 7d3d69e..3ebf48e 100644
--- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou
+++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou
@@ -1,10 +1,10 @@
 #-----------------------------------------------------------
-# Vivado v2024.1 (64-bit)
-# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
-# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
-# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Thu Nov 14 05:13:55 2024
-# Process ID: 7818
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:26:27 2024
+# Process ID: 14006
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1
 # Command line: vivado -log design_1_reg_decalage_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_reg_decalage_0_0.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds
@@ -19,6 +19,6 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11968 MB
+# Available Virtual :12355 MB
 #-----------------------------------------------------------
 source design_1_reg_decalage_0_0.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.pb
index fa4f6e0e7473a4d56d8e867e174dce4f02445b14..e329962b9d1079a1c26224d8c78478dc19cb32de 100644
GIT binary patch
delta 1700
zcmeyohVjE1#tpoTj0-07F)A|WbLCDpVl?GVG%_$KDNaf^u`tm!oLtKIh!rd{Iff}q
z3e1XPGc?yVHnGfLC}6E%oxsQ-z{KS``2mxnh7gxPMY1_q5zK%nHX}n_69Z#p#nF?s
zn9YQ-D4txx{Fc#gauSOv2Rj$5p^1To#pHP`(Tv8Ef3fIFm>3)A8JQa>SSzF_X67l_
zDwr4=>6sgvPCh6m!en4E`Js$3leyXEEY>}25~hZxdPc?;NNO$3^~@|xAZm?4YMD(f
z%qCxSt=;^bN1k!=3LCk}Dtt*2rpCs42BwAxjUbauEX^h#6cc8&ocusWgxS>GWb#GV
zx03~2<tJa`k0)MBE#uqCul*0mLaZ=i=VCR`GcYhPV&P)4G&Ex4VzDqax7d7D@DroF
znURH_g@GYL4J#M3p}8?ck(ue_lL0N0vqa7iP-nI|R5XN90%{n-PiBUOdPXK7KZ#7P
zH4tSsH8leJ=$817$@|=d<b3iIQ!?|?6$%oIN~{#nqja*PTkT{A$xaC~V>3Mq15;#k
zE%l5{4M2g6V(wZ6q0Kzf%NdE+v7O&^vZS2B<U8t;cr`JZm_p*x)DY~0E^EonYvesy
zvWmHwREq_;v{;KP^GY&|qPZdi5{pU{f>Mj~ON)|Ii><g^f&+@J6wFNw6f~0aa};t?
z^U_N)tPBkdG`XCD9DPA@#;9@%L5{voh87Bj1_ofJv6+IYf#zgKxBktqRX#E?8cm)k
zCV}6%#+DH0npl9GyID=Mnvv0La)PVK<O*E^K80z8#MLI9WHNPbKBSjOv{oZ?sCx~-
z?rk!B$;xOnd4d=Lw;I8`X$;afSxZT1^9hS`M$)xz?y_zsQQPK=uB?ok3+ysU)CY3u
z=I1;GjFT0-MM-z*<azEwo7r4)NwjtIMb{M4gS2+CfX{u*lxAvVtY>Nf&VNiuQDE$w
zkL({qBdj@=(HK&?nHX>K6K0eLXKq6y)Dp<h08#>(7*4(_n7nzazbK=;nWd4Qg)yo^
zb4Yn+X=rY-`D8#kvz)o1nVyA-35sb5MUxHvMK>ph+-2l8vQRKIvobQbGBBL%9i{;Q
D-J{C9

delta 1707
zcmeychVk<n#tpoTj0-38F)A__aOF)lVl?HeGB7mAOwLI+GBVXQ^qE}3_=puOG&!0n
zOA5@2Vly<?H8!!#U?^a%V4c9oAi%`sHu*l2qNXsHU`4VySP|TWC^jQQT@wRiWYsZ~
zHJHsru&JJ0$o!VkcXB+7DLWe%i@AlF+2lDa(TpaO6Lp0rbF)fG7#o}EnHiZXSSzF_
zX67l_Dwr4=>Y1CEO}^+W!e~1AqL>JiiQ(o9);(+zCWa<@rj{m1N-Zq)%neN^U-T7a
zw3xh+Png-r*ktlYncB@ydE^-<J2(YS{>rT{VPa&dXJTxD&}V93qGxJqiK=h&M;Rr?
z$uIdmiBu1=XYzCZ1M*Pgjo7(Z4fG5Q42)R0m<^3BjM%tXEI{sgC@ix1iQqj(c~fH}
zJwpo%RE36S5QRntn;!}X5L7f7WLk=-1EU1gG=#6r49xY64M4sUVKkh)flq|l$k2T9
zN13;iuZr)O+$%36?~|XHl9`vTP>@(uVx@o)vy&5LYbRStc1oC<80Z;V8X_5OXsTyy
zXatTERHK(G2yJGQUd~9Qp3NU+f*2=vi%Alv-U8(O&AswTEJ6ibOsd5KTw1Kfm3bu@
zMiE?LlNnXS>@5rwG?Mdk6mnAY(n~U|Obj%+oPr#Ei>(w4jZmc&f*gIF3@sE)48Sa7
zGX*09O|X}HC4@G=Q2EF-*;x+1yO<12AgRm{l*-<2R@SU$WHg<esH=<DJ4|LUZKh!V
z{MAk-N85hAL}Ii}z91&bWMr^;qQP}GCPT}~3w<SUyKbY1Ftdp<B=4A5Sc054Sw%@`
z^HGa(MzS>b%1druV4X##W(lFq>2~>KX@+I_G_ssM+g)fgvr8^nHctknpR;bMEM(YR
zJDJz#K4$co8XD=Dni)+-j~)Zxd{j#;G4m*zp3Q%Kg&E}`IocAX=rMtoQJ{E#C@eDh
ziJ<@HN&cdY@}`z%dPat*ip|YUz~z*Mk@@6@!Y!M<0v|KUn;9AC85tX(n2M@UWOG8u
WT}Ez069ofPD?<}2BlF1~VHyCu5XoEs

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst
index 286a41b..5aa1024 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst
index 286a41b..5aa1024 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst
index 286a41b..5aa1024 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst
index 286a41b..5aa1024 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst
index 286a41b..5aa1024 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst
index da7f850..1f77c63 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst
@@ -1,10 +1,25 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7805" HostCore="8" HostMemory="8102396">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="11123" HostCore="8" HostMemory="8102392">
     </Process>
 </ProcessHandle>
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="9127" HostCore="8" HostMemory="8102396">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="12488" HostCore="8" HostMemory="8102392">
+    </Process>
+</ProcessHandle>
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13568" HostCore="8" HostMemory="8102392">
+    </Process>
+</ProcessHandle>
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="14621" HostCore="8" HostMemory="8102392">
+    </Process>
+</ProcessHandle>
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="16444" HostCore="8" HostMemory="8102392">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst
index 983c116..68a38f4 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="9169">
+    <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="16486">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.error.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.end.rst
similarity index 100%
rename from Vivado/labo1b/labo1b.runs/impl_1/.vivado.error.rst
rename to Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.end.rst
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst
deleted file mode 100644
index e69de29..0000000
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt b/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt
index 329f48a..c984abb 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt
@@ -1,9 +1,9 @@
 -------------------------------------
 | Tool Version : Vivado v.2024.1.2
-| Date         : Thu Nov 14 13:36:52 2024
+| Date         : Thu Nov 28 16:32:04 2024
 | Host         : hogtest
 | Design       : design_1
-| Device       : xc7z010i-clg225-1L-I-
+| Device       : xc7a200t-sbg484-1--
 -------------------------------------
 
 For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit
new file mode 100644
index 0000000000000000000000000000000000000000..e707567f18715cb5a163d6ba7c15e694e7dda5fe
GIT binary patch
literal 9730767
zcmZSJ<o^JH3=E8k3??b5#hK}O@rLo`MTrFksYTYI#i>P}F17{{ZctzymReMtnV)BC
zWME{XXQ*eyki@`io@iuXP*R+fZen4=kj%ghlG8Ud)HkwVNMYbKG_x``wK6qfNM)E(
z74x3}U|?X_EvUrc0#mkXW@wrM0|SErlL8|Hm}Y>`3=Lo!EC*q;gV-Q!z?1-%GhkF;
zWMZ&?YQT5^%5Pv~PEMF?08!5gR?7gAV_`I4Sis1@z{+R<<})xDFhlGCslkU07@+Qg
zn8^rIYrx>Z5TGg(0CMjr9`qr=Jjh%~q<aLwX_`)MC(=!Ha?PkUqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Uh0l
z0-zfkX=yDz%;#TAOCK;W(8CYNJ|N0I3hpE%%6w!85yM7u56FD^^~7i*Uk%6(g1T7=
zs=%p$DD!dZ9-I>Lrv|6HNO2VlDT+tcj)uT!2#kinXb6mkzz7Ke{?kL>qZ~uu3!^?5
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S_)u0&-^ujZ<(sWH{a*i_^2C5`!`X_|6PUXQ4Ps0EIWo84ZEa5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON0A~nrFmT|MqpE~}09B0}HEc8lMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@RphXC9(ZW#5%@kmw+>B8ZMnhmU1V%$(Gz3ON
zK>pY;2m=TFeqg~bJ?LbaUky4Z4Y-2{L@~|XC*?(RD`;<l0Ddbt@JnOJHeiU*S3oR<
zzV;0Y+Xgx#5C{a3HUbJprAI?xGz3ONU^E0qLtr!nMnhnzgup;Ib_s+NOFIDtqtc@x
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*Oq=W#W
zrA36~NwJG8wS1Sz(l(eh4R{z1rob3=%V-FUhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjD`RaA;5^H28r*;!oa|S#0RTH;uDfbS5Iwzmm=6i1_mTIfklQ89}<S>3+Zt4L0g@f
zn8=q0hYtg8{S?YGp5up@z(6}Ypcc@~4-AknhFUX9jfTKz2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4FNia
zfC7!}!e+kwW9nLp%?@hXK=12hXzd?t=F2~zW{5E`V6%goHqhF9YPxmQtkDn{4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2cVIjag2>m-4-82Y;Y1B!hAut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFwh~uw{M_bfXjXd2L=WP5XPlo_=>9xR<9{6U|>*a8mz7xb=PPJjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2cs1V@QrLWBj^ssKQ-APEIdt`O!5J*UFqD!10d5P4`cY{H_!~rA^
zj50?<U^E1<h5(m7s&E4;ca%380;3_oz`!7-hZ+o|)^Vu12Op2rd_Ne}kJj;nFCC4#
zXfy;yLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Wp7#LSRWIFo~UzxPx7M@QE@qFb=Gvm>RgK=KujTqa->I0vv}CZ0fQaxTxs|
z28Ii~7kH`b!a-_U3j^yQb=k;u)@YoJ+_)e0`Dh4?hQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2v8UTG8ZW{fS`6ZCW1=HQ^3Rl*2e~=!JJ`1@SLT!
zHy9NZXk<kK*jz>h2o2_p5~Cq78UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd{=he*IAOwh|K)0|RJEUWq{o
z1cs_VFx@Q-@)f2q)kPSoZX(D)7X}swB?cu11_s)g53+;)Sb&B8c2e6$P@GWP%z-p-
z=*P)$ixCEfp<kbX>Jkth$dpZ8yGQF2>V_v}ra6F@!U^y}O;Lo>qtpO~0I0#m!~kxz
z44^AUt;P%ixoZ$HW(Y+Kl945WgVT+HgOkC7gOh`kfq{e5(~}{H;pan9Nd_XDeW0eU
z<N=0&03-)8GBEtU$G~X&e#Qv~hDDx8GDDWh0WP~lwi6jD<olD6^8y3I0|o{L4p7L#
z%;9ACmmtQ+AOPdzraM4V3JeT<2@DO3Kx`;xWMD|T#=!8t`xhevgZfRV=qNQB0;3@?
z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz5qY0noyF$zCEAk187tfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7$G6x;K0DZ
z0KyEM^G1l52fsHLFfb@Ift)Eh6GV>U(GVC7fzc2c4S~@R7!85Z5Eu;sT8DrD@>xN&
zwv5{5)9`>RYCDw_^SDVp;*#b^OOfLG;iVQd#|ZKfXD)~w#e*pXxcP~9O#|^tM^%o7
zz_17b*qMJ!NyK{xJU~Xg@{yvF>cPTeN@CD~j$0*B%kWo@h!$#;?*f6tKg33TIATM9
zYCS!6<P&>GY;aP;<FFc<a<kZDC^uu&1Vji>qdsBJN0>LtA~ys;F+sgiDt2RX-7%_f
zGz1212!JvPRUOAJPF3SZ4P#({4JDEt7VJ~W);g*Y5&{&*0mK*tDK3g&kFqEV0rbW&
zjE_zew&Va|C8H{+8UnC5!fB`|)pH(BtI#C~x(!{!D1S5rMnhmU1V%%EY9RnC{b4jA
zg9)nR_)5r#QF#I(01IOn4O0%|<Dy~eFleTMixZezG2Ar71YrI^r*U}$rVf`p1AhWe
zsZoj15Eu=C(GVC7fzc2c4FNib027*hr1H_Uk8-IK0*D1g5c3G}A*!&DtOM8XLU%J3
z*9{3F#CjFT`gY>^IJ`H2al%0CrPw%}K8S=7T@J{kBk_D}o?u;oO_Y9O5I@k*zEPV-
zLtr!nMnhmU1V%$(kcNPZ1NfK}2L=X421XYK1|s>meKT-%Jlqes9XB*&lo}Ws7#KjE
zL?#9%B?boK`MCTykZU9$e!%6jQSs3b7!85Z5Eu=C(GVB~6odee8U>oMX&o*ji`e`&
zDmG$6Kt`R)ULPG)q;i0c8aEmOqaiRF0)r$32%N>iv3QWUb0jzeG5v_wx2(VLO4Cqs
zv@t-#z#TQ3s1QIDSOin!6`~9rRWup`!!QIm7#N_t1sf1q2CF}L2khRt(Lorj5i%+`
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd7214FLtt
zt+cgZ)b!C17!85Z5FkDTxIhy|j4Vt{AR4c>NI$&Nqmon$f#n(u9SjT&9gPH6uW&d~
z&C*eW@P+^+Dj+0Yg#x@Tc;&&nC-JL5l_i)lQI*r&d^GDuxuYR~5duV{SqHA~7}`h{
zAh=$MWD|$61|kYCrsZh<hn%g0COFEaBm`h-7P^#(pi$gZSW84iekACQ;jch}Q4!=x
zSOkICI5689GzqlzU_=;!V!&WikK(w2=dggn2XVj`b_Yp}j;&x17#fIn5NW2sX#GKC
zNRh6L+%jGK9O-tA>L4=&m_Q{L0|Nt6{Q$}mAdGCH^k2*)0MXSTs~=^P9s;oZLUgzi
z=*N@pf>9l$gaEd95{5-0l0n$iAxV!ii3|a>pk-oWYTy8uUPxsZ$SCyi<}b%AyFltj
zG4(?L7Du3?zVMkYa2%iPs2oi~0GwHHn=FAowt`#fs0@W6z(gp6K+P9GE8C#LqZExo
zfS72J=%kV5qsC%}fP(@tQNqBHg{gH^gcc#d)W*TU!ZC@^U>B%MnMw=$N6o|xfh&wG
zC5%igpvD)0c;VQKse4p}79kMQ$kGBn@fLIrF@6icgZlWDjLK3Y1e(~mlms{@5MdO@
zd?FN$DxpFMTx;k1Cdxg<k%57s5x;R9qxZ+-4<M?^UTf!DCcr%zWFCR~L}EWk(I}>U
z2>fS|Ce{)*Vik_6qbvmeGyMNgnF*u1sT~5NaYF47rQE##4AR8rlhLw^^57=Q1ji1c
z9ULsgtk33XC(6K4MHGiXg+oUL3xg6914Dxle#1uRPw<Bi^<?=O_(K^OOalm;C_tdC
zOxT1`6~u)A|9}2ahX1DVgjJ8m3E?oJj*9=r#{B<B<AgeaNi9=AaWYzVQ7be@%^3}W
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~z%Mjqa
zBQPxeMH5dk(Zo~?Lz#s+IxqyOB+$S`C4)wmF%6R2N0x_2eLETgqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@y
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1n3(A1`G_0pB-A?D=;uHfUp5W10w?i0~ZpT8N?pNknkA{
z;Q);zR*+i^7!(+p_c1USFdSfHU|?l5U|0a985ke0ty>CG1Hw=}ydd@{9z1b58W*E+
NF`5QO)4)(k0|3h^WYPct

literal 0
HcmV?d00001

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl
index a04c9f1..b532050 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl
@@ -97,6 +97,8 @@ proc step_failed { step } {
 OPTRACE "impl_1" END { }
 }
 
+set_msg_config  -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}}  -suppress  -regexp
+set_msg_config  -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}}  -suppress  -regexp
 
 OPTRACE "impl_1" START { ROLLUP_1 }
 OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO }
@@ -106,6 +108,7 @@ set ACTIVE_STEP write_bitstream
 set rc [catch {
   create_msg_db write_bitstream.pb
   set_param chipscope.maxJobs 2
+  set_param tcl.statsThreshold 360
   set_param runs.launchOptions { -jobs 4  }
   open_checkpoint design_1_wrapper_routed.dcp
   set_property webtalk.parent_dir {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/wt} [current_project]
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
index 7ebcb82..7927226 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:36:33 2024
-# Process ID: 7847
+# Start of session at: Thu Nov 28 16:31:41 2024
+# Process ID: 14663
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -19,23 +19,23 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11470 MB
+# Available Virtual :12349 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 INFO: [IP_Flow 19-1704] No user IP repositories specified
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
-Command: link_design -top design_1_wrapper -part xc7z010iclg225-1L
+Command: link_design -top design_1_wrapper -part xc7a200tsbg484-1
 Design is defaulting to srcset: sources_1
 Design is defaulting to constrset: constrs_1
-INFO: [Device 21-403] Loading part xc7z010iclg225-1L
-INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
 INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0'
 INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0'
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1946.828 ; gain = 0.000 ; free physical = 902 ; free virtual = 10298
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2038.219 ; gain = 0.000 ; free physical = 1845 ; free virtual = 10861
 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
 INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
-INFO: [Project 1-479] Netlist was created with Vivado 2024.1
+INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2
 INFO: [Project 1-570] Preparing netlist for logic optimization
 Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst'
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst'
@@ -44,29 +44,19 @@ INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets
 INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54]
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst'
 Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
-CRITICAL WARNING: [Common 17-69] Command failed: 'R4' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:8]
-CRITICAL WARNING: [Common 17-69] Command failed: 'T14' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:22]
-CRITICAL WARNING: [Common 17-69] Command failed: 'T15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:23]
-CRITICAL WARNING: [Common 17-69] Command failed: 'T16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:24]
-CRITICAL WARNING: [Common 17-69] Command failed: 'U16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:25]
-CRITICAL WARNING: [Common 17-69] Command failed: 'V15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:26]
-CRITICAL WARNING: [Common 17-69] Command failed: 'W16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:27]
-CRITICAL WARNING: [Common 17-69] Command failed: 'W15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:28]
-CRITICAL WARNING: [Common 17-69] Command failed: 'Y13' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:29]
-CRITICAL WARNING: [Common 17-69] Command failed: 'B22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:33]
-CRITICAL WARNING: [Common 17-69] Command failed: 'D22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:34]
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2624.246 ; gain = 0.000 ; free physical = 353 ; free virtual = 9762
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2763.770 ; gain = 0.000 ; free physical = 1299 ; free virtual = 10336
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
-15 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+15 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 link_design completed successfully
-link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2624.246 ; gain = 991.535 ; free physical = 353 ; free virtual = 9762
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
 Command: opt_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
 Running DRC as a precondition to command opt_design
 
 Starting DRC Task
@@ -74,112 +64,112 @@ INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Project 1-461] DRC finished with 0 Errors
 INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
 
-Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.51 . Memory (MB): peak = 2688.277 ; gain = 64.031 ; free physical = 336 ; free virtual = 9745
+Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2827.801 ; gain = 64.031 ; free physical = 1311 ; free virtual = 10348
 
 Starting Cache Timing Information Task
 INFO: [Timing 38-35] Done setting XDC timing constraints.
-Ending Cache Timing Information Task | Checksum: 250df70fd
+Ending Cache Timing Information Task | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2688.277 ; gain = 0.000 ; free physical = 335 ; free virtual = 9745
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2827.801 ; gain = 0.000 ; free physical = 1311 ; free virtual = 10348
 
 Starting Logic Optimization Task
 
 Phase 1 Initialization
 
 Phase 1.1 Core Generation And Design Setup
-Phase 1.1 Core Generation And Design Setup | Checksum: 250df70fd
+Phase 1.1 Core Generation And Design Setup | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
 
 Phase 1.2 Setup Constraints And Sort Netlist
-Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250df70fd
+Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
-Phase 1 Initialization | Checksum: 250df70fd
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
+Phase 1 Initialization | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
 
 Phase 2 Timer Update And Timing Data Collection
 
 Phase 2.1 Timer Update
-Phase 2.1 Timer Update | Checksum: 250df70fd
+Phase 2.1 Timer Update | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
 
 Phase 2.2 Timing Data Collection
-Phase 2.2 Timing Data Collection | Checksum: 250df70fd
+Phase 2.2 Timing Data Collection | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Phase 2 Timer Update And Timing Data Collection | Checksum: 250df70fd
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
+Phase 2 Timer Update And Timing Data Collection | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
 
 Phase 3 Retarget
 INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
 INFO: [Opt 31-49] Retargeted 0 cell(s).
-Phase 3 Retarget | Checksum: 250df70fd
+Phase 3 Retarget | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Retarget | Checksum: 250df70fd
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Retarget | Checksum: 256e02795
 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
 INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
 
 Phase 4 Constant propagation
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Phase 4 Constant propagation | Checksum: 250df70fd
+Phase 4 Constant propagation | Checksum: 256e02795
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Constant propagation | Checksum: 250df70fd
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Constant propagation | Checksum: 256e02795
 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
 
 Phase 5 Sweep
-Phase 5 Sweep | Checksum: 2690de14c
+Phase 5 Sweep | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Sweep | Checksum: 2690de14c
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Sweep | Checksum: 26f0e97e4
 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
 
 Phase 6 BUFG optimization
-Phase 6 BUFG optimization | Checksum: 2690de14c
+Phase 6 BUFG optimization | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-BUFG optimization | Checksum: 2690de14c
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+BUFG optimization | Checksum: 26f0e97e4
 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
 
 Phase 7 Shift Register Optimization
 INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
-Phase 7 Shift Register Optimization | Checksum: 2690de14c
+Phase 7 Shift Register Optimization | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Shift Register Optimization | Checksum: 2690de14c
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Shift Register Optimization | Checksum: 26f0e97e4
 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
 
 Phase 8 Post Processing Netlist
-Phase 8 Post Processing Netlist | Checksum: 2690de14c
+Phase 8 Post Processing Netlist | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Post Processing Netlist | Checksum: 2690de14c
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Post Processing Netlist | Checksum: 26f0e97e4
 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
 
 Phase 9 Finalization
 
 Phase 9.1 Finalizing Design Cores and Updating Shapes
-Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2690de14c
+Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 
 Phase 9.2 Verifying Netlist Connectivity
 
 Starting Connectivity Check Task
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Phase 9.2 Verifying Netlist Connectivity | Checksum: 2690de14c
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Phase 9.2 Verifying Netlist Connectivity | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Phase 9 Finalization | Checksum: 2690de14c
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Phase 9 Finalization | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 Opt_design Change Summary
 =========================
 
@@ -196,29 +186,31 @@ Opt_design Change Summary
 -------------------------------------------------------------------------------------------------------------------------
 
 
-Ending Logic Optimization Task | Checksum: 2690de14c
+Ending Logic Optimization Task | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 
 Starting Power Optimization Task
 INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
-Ending Power Optimization Task | Checksum: 2690de14c
+Ending Power Optimization Task | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 
 Starting Final Cleanup Task
-Ending Final Cleanup Task | Checksum: 2690de14c
+Ending Final Cleanup Task | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 
 Starting Netlist Obfuscation Task
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
-Ending Netlist Obfuscation Task | Checksum: 2690de14c
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Ending Netlist Obfuscation Task | Checksum: 26f0e97e4
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
 INFO: [Common 17-83] Releasing license: Implementation
-34 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+35 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 opt_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
 INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx
 Command: report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx
 INFO: [IP_Flow 19-1839] IP Catalog is up to date.
@@ -226,20 +218,20 @@ INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt.
 report_drc completed successfully
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
-Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
+Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10048
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated.
 Command: place_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
 INFO: [Common 17-83] Releasing license: Implementation
 INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
@@ -255,54 +247,54 @@ Starting Placer Task
 Phase 1 Placer Initialization
 
 Phase 1.1 Placer Initialization Netlist Sorting
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
-Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 230d9d08a
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 236da8722
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
 
 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
 INFO: [Timing 38-35] Done setting XDC timing constraints.
-Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1ef0c3055
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1bc38e117
 
-Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 140 ; free virtual = 9432
+Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
 
 Phase 1.3 Build Placer Netlist Model
-Phase 1.3 Build Placer Netlist Model | Checksum: 24050593c
+Phase 1.3 Build Placer Netlist Model | Checksum: 1eb442b17
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9429
+Time (s): cpu = 00:00:00.94 ; elapsed = 00:00:00.28 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
 
 Phase 1.4 Constrain Clocks/Macros
-Phase 1.4 Constrain Clocks/Macros | Checksum: 24050593c
+Phase 1.4 Constrain Clocks/Macros | Checksum: 1eb442b17
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437
-Phase 1 Placer Initialization | Checksum: 24050593c
+Time (s): cpu = 00:00:00.95 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
+Phase 1 Placer Initialization | Checksum: 1eb442b17
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437
+Time (s): cpu = 00:00:00.97 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 983 ; free virtual = 10031
 
 Phase 2 Global Placement
 
 Phase 2.1 Floorplanning
-Phase 2.1 Floorplanning | Checksum: 2a6b39e8b
+Phase 2.1 Floorplanning | Checksum: 1c493d4b9
 
-Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
 
 Phase 2.2 Update Timing before SLR Path Opt
-Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2a217b8a6
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2495728f0
 
-Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
 
 Phase 2.3 Post-Processing in Floorplanning
-Phase 2.3 Post-Processing in Floorplanning | Checksum: 2a217b8a6
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 2495728f0
 
-Time (s): cpu = 00:00:00.79 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
 
 Phase 2.4 Global Placement Core
 
 Phase 2.4.1 UpdateTiming Before Physical Synthesis
-Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 25c43c498
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 236cb21d7
 
-Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.26 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9438
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.52 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 943 ; free virtual = 9992
 
 Phase 2.4.2 Physical Synthesis In Placer
 INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
@@ -317,7 +309,7 @@ INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was
 INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
 INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
 INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 939 ; free virtual = 9991
 
 Summary of Physical Synthesis Optimizations
 ============================================
@@ -339,55 +331,55 @@ Summary of Physical Synthesis Optimizations
 -----------------------------------------------------------------------------------------------------------------------------------------------------------
 
 
-Phase 2.4.2 Physical Synthesis In Placer | Checksum: 25c43c498
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 236cb21d7
 
-Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.54 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441
-Phase 2.4 Global Placement Core | Checksum: 22fb7f765
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+Phase 2.4 Global Placement Core | Checksum: 1ef8b2f73
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446
-Phase 2 Global Placement | Checksum: 22fb7f765
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+Phase 2 Global Placement | Checksum: 1ef8b2f73
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
 
 Phase 3 Detail Placement
 
 Phase 3.1 Commit Multi Column Macros
-Phase 3.1 Commit Multi Column Macros | Checksum: 25ac10194
+Phase 3.1 Commit Multi Column Macros | Checksum: 1d486398a
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.69 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.9 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
 
 Phase 3.2 Commit Most Macros & LUTRAMs
-Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2278c4f69
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2966bbfaa
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 150 ; free virtual = 9444
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.92 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
 
 Phase 3.3 Area Swap Optimization
-Phase 3.3 Area Swap Optimization | Checksum: 2e6dac979
+Phase 3.3 Area Swap Optimization | Checksum: 1ff89d11b
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
 
 Phase 3.4 Pipeline Register Optimization
-Phase 3.4 Pipeline Register Optimization | Checksum: 2e4d933a3
+Phase 3.4 Pipeline Register Optimization | Checksum: 2376f352c
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
 
 Phase 3.5 Small Shape Detail Placement
-Phase 3.5 Small Shape Detail Placement | Checksum: 295821a43
+Phase 3.5 Small Shape Detail Placement | Checksum: 1e3efe8b9
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.78 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 3.6 Re-assign LUT pins
-Phase 3.6 Re-assign LUT pins | Checksum: 295821a43
+Phase 3.6 Re-assign LUT pins | Checksum: 1e3efe8b9
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 3.7 Pipeline Register Optimization
-Phase 3.7 Pipeline Register Optimization | Checksum: 274242b16
+Phase 3.7 Pipeline Register Optimization | Checksum: 21c0a8caa
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
-Phase 3 Detail Placement | Checksum: 274242b16
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 3 Detail Placement | Checksum: 21c0a8caa
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 4 Post Placement Optimization and Clean-Up
 
@@ -395,7 +387,7 @@ Phase 4.1 Post Commit Optimization
 INFO: [Timing 38-35] Done setting XDC timing constraints.
 
 Phase 4.1.1 Post Placement Optimization
-Post Placement Optimization Initialization | Checksum: 28d31213d
+Post Placement Optimization Initialization | Checksum: 1f5099abb
 
 Phase 4.1.1.1 BUFG Insertion
 
@@ -403,33 +395,33 @@ Starting Physical Synthesis Task
 
 Phase 1 Physical Synthesis Initialization
 INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs
-INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.670 | TNS=0.000 |
-Phase 1 Physical Synthesis Initialization | Checksum: 1b5106441
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.716 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 1654a0736
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0.
-Ending Physical Synthesis Task | Checksum: 1e2cf2294
+Ending Physical Synthesis Task | Checksum: 19a6df91d
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
-Phase 4.1.1.1 BUFG Insertion | Checksum: 28d31213d
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.1.1.1 BUFG Insertion | Checksum: 1f5099abb
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.84 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 4.1.1.2 Post Placement Timing Optimization
-INFO: [Place 30-746] Post Placement Timing Summary WNS=0.670. For the most accurate timing information please run report_timing.
-Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1e50d54a6
+INFO: [Place 30-746] Post Placement Timing Summary WNS=0.716. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1ef975e62
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
-Phase 4.1 Post Commit Optimization | Checksum: 1e50d54a6
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.1 Post Commit Optimization | Checksum: 1ef975e62
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 4.2 Post Placement Cleanup
-Phase 4.2 Post Placement Cleanup | Checksum: 1e50d54a6
+Phase 4.2 Post Placement Cleanup | Checksum: 1ef975e62
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 4.3 Placer Reporting
 
@@ -448,72 +440,76 @@ INFO: [Place 30-612] Post-Placement Estimated Congestion
 |       West|                1x1|                1x1|
 |___________|___________________|___________________|
 
-Phase 4.3.1 Print Estimated Congestion | Checksum: 1e50d54a6
+Phase 4.3.1 Print Estimated Congestion | Checksum: 1ef975e62
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
-Phase 4.3 Placer Reporting | Checksum: 1e50d54a6
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.3 Placer Reporting | Checksum: 1ef975e62
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
 Phase 4.4 Final Placement Cleanup
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
-Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ab14c66f
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1b59ed02b
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
-Ending Placer Task | Checksum: 170a2839b
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Ending Placer Task | Checksum: 128415a24
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
-68 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+70 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 place_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
 INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel.
 Running report generation with 3 threads.
 INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt
-report_control_sets: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb
 INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt
-report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
+report_io: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9431
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 135 ; free virtual = 9431
-Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9430
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated.
 Command: phys_opt_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
 
 Starting Initial Update Timing Task
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
-INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.670 | TNS= 0.000 | 
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.716 | TNS= 0.000 | 
 INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped.
 INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
 INFO: [Common 17-83] Releasing license: Implementation
-79 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+82 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 phys_opt_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 123 ; free virtual = 9419
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated.
 Command: route_design
-Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
 Running DRC as a precondition to command route_design
 INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
@@ -524,30 +520,30 @@ Starting Routing Task
 INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs
 
 Phase 1 Build RT Design
-Checksum: PlaceDB: a6bc7693 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 6998572b
-Post Restoration Checksum: NetGraph: 6eb65909 | NumContArr: b80e0518 | Constraints: c2a8fa9d | Timing: c2a8fa9d
-Phase 1 Build RT Design | Checksum: 2ac16535b
+Checksum: PlaceDB: 2fd8b8e3 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 981aeb64
+Post Restoration Checksum: NetGraph: e7093645 | NumContArr: 7eee71f2 | Constraints: c2a8fa9d | Timing: c2a8fa9d
+Phase 1 Build RT Design | Checksum: 2eb499d71
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 124 ; free virtual = 9335
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 691 ; free virtual = 9755
 
 Phase 2 Router Initialization
 
 Phase 2.1 Fix Topology Constraints
-Phase 2.1 Fix Topology Constraints | Checksum: 2ac16535b
+Phase 2.1 Fix Topology Constraints | Checksum: 2eb499d71
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755
 
 Phase 2.2 Pre Route Cleanup
-Phase 2.2 Pre Route Cleanup | Checksum: 2ac16535b
+Phase 2.2 Pre Route Cleanup | Checksum: 2eb499d71
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755
  Number of Nodes with overlaps = 0
 
 Phase 2.3 Update Timing
-Phase 2.3 Update Timing | Checksum: 254d5c1cf
+Phase 2.3 Update Timing | Checksum: 1ef724d83
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 117 ; free virtual = 9312
-INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.554  | TNS=0.000  | WHS=-0.081 | THS=-0.315 |
+Time (s): cpu = 00:00:36 ; elapsed = 00:00:29 . Memory (MB): peak = 3447.844 ; gain = 234.703 ; free physical = 616 ; free virtual = 9684
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.651  | TNS=0.000  | WHS=-0.121 | THS=-0.525 |
 
 
 Router Utilization Summary
@@ -562,78 +558,71 @@ Router Utilization Summary
   Number of Partially Routed Nets     = 0
   Number of Node Overlaps             = 0
 
-Phase 2 Router Initialization | Checksum: 2831a6c13
+Phase 2 Router Initialization | Checksum: 27c63ec9c
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
 
 Phase 3 Global Routing
-Phase 3 Global Routing | Checksum: 2831a6c13
+Phase 3 Global Routing | Checksum: 27c63ec9c
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
 
 Phase 4 Initial Routing
 
 Phase 4.1 Initial Net Routing Pass
-Phase 4.1 Initial Net Routing Pass | Checksum: 302d7982a
+Phase 4.1 Initial Net Routing Pass | Checksum: 2a761ba40
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
-Phase 4 Initial Routing | Checksum: 302d7982a
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+Phase 4 Initial Routing | Checksum: 2a761ba40
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
 
 Phase 5 Rip-up And Reroute
 
 Phase 5.1 Global Iteration 0
  Number of Nodes with overlaps = 1
  Number of Nodes with overlaps = 0
-INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.445  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.634  | TNS=0.000  | WHS=N/A    | THS=N/A    |
 
-Phase 5.1 Global Iteration 0 | Checksum: 29e81f649
+Phase 5.1 Global Iteration 0 | Checksum: 2985bcbb7
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
-Phase 5 Rip-up And Reroute | Checksum: 29e81f649
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+Phase 5 Rip-up And Reroute | Checksum: 2985bcbb7
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
 
 Phase 6 Delay and Skew Optimization
 
 Phase 6.1 Delay CleanUp
+Phase 6.1 Delay CleanUp | Checksum: 2985bcbb7
 
-Phase 6.1.1 Update Timing
-Phase 6.1.1 Update Timing | Checksum: 2bae5a207
-
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
-INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451  | TNS=0.000  | WHS=N/A    | THS=N/A    |
-
-Phase 6.1 Delay CleanUp | Checksum: 2bae5a207
-
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
 
 Phase 6.2 Clock Skew Optimization
-Phase 6.2 Clock Skew Optimization | Checksum: 2bae5a207
+Phase 6.2 Clock Skew Optimization | Checksum: 2985bcbb7
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
-Phase 6 Delay and Skew Optimization | Checksum: 2bae5a207
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+Phase 6 Delay and Skew Optimization | Checksum: 2985bcbb7
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
 
 Phase 7 Post Hold Fix
 
 Phase 7.1 Hold Fix Iter
-INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451  | TNS=0.000  | WHS=0.184  | THS=0.000  |
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.735  | TNS=0.000  | WHS=0.182  | THS=0.000  |
 
-Phase 7.1 Hold Fix Iter | Checksum: 27bbfa417
+Phase 7.1 Hold Fix Iter | Checksum: 280f4f3e6
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
-Phase 7 Post Hold Fix | Checksum: 27bbfa417
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+Phase 7 Post Hold Fix | Checksum: 280f4f3e6
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
 
 Phase 8 Route finalize
 
 Router Utilization Summary
-  Global Vertical Routing Utilization    = 0.0250563 %
-  Global Horizontal Routing Utilization  = 0.0066636 %
+  Global Vertical Routing Utilization    = 0.0172892 %
+  Global Horizontal Routing Utilization  = 0.00115664 %
   Routable Net Status*
   *Does not include unroutable nets such as driverless and loadless.
   Run report_route_status for detailed report.
@@ -643,50 +632,51 @@ Router Utilization Summary
   Number of Partially Routed Nets     = 0
   Number of Node Overlaps             = 0
 
-Phase 8 Route finalize | Checksum: 27bbfa417
+Phase 8 Route finalize | Checksum: 280f4f3e6
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
 
 Phase 9 Verifying routed nets
 
  Verification completed successfully
-Phase 9 Verifying routed nets | Checksum: 27bbfa417
+Phase 9 Verifying routed nets | Checksum: 280f4f3e6
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
 
 Phase 10 Depositing Routes
-Phase 10 Depositing Routes | Checksum: 1ecfb1d61
+Phase 10 Depositing Routes | Checksum: 26c826477
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
 
 Phase 11 Post Process Routing
-Phase 11 Post Process Routing | Checksum: 1ecfb1d61
+Phase 11 Post Process Routing | Checksum: 26c826477
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
 
 Phase 12 Post Router Timing
-INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451  | TNS=0.000  | WHS=0.184  | THS=0.000  |
+INFO: [Route 35-57] Estimated Timing Summary | WNS=0.735  | TNS=0.000  | WHS=0.182  | THS=0.000  |
 
 INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
-Phase 12 Post Router Timing | Checksum: 1ecfb1d61
+Phase 12 Post Router Timing | Checksum: 26c826477
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
-Total Elapsed time in route_design: 5.99 secs
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+Total Elapsed time in route_design: 29.29 secs
 
 Phase 13 Post-Route Event Processing
-Phase 13 Post-Route Event Processing | Checksum: d68495c0
+Phase 13 Post-Route Event Processing | Checksum: 123c604a5
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
 INFO: [Route 35-16] Router Completed Successfully
-Ending Routing Task | Checksum: d68495c0
+Ending Routing Task | Checksum: 123c604a5
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
 
 Routing Is Done.
 INFO: [Common 17-83] Releasing license: Implementation
-94 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+97 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 route_design completed successfully
-route_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 76.961 ; free physical = 132 ; free virtual = 9315
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
 INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
 Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
 INFO: [IP_Flow 19-1839] IP Catalog is up to date.
@@ -701,46 +691,46 @@ INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/ho
 report_methodology completed successfully
 INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation 
 INFO: [Timing 38-35] Done setting XDC timing constraints.
-INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max.
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
 INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
 INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel.
 Running report generation with 3 threads.
-INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb
 INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt
 INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb
 INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx
-INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max.
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
 INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
 INFO: [Vivado 12-24828] Executing command : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx
 Command: report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx
 Running Vector-less Activity Propagation...
 
 Finished Running Vector-less Activity Propagation
-114 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
+118 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
 report_power completed successfully
 INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt
 WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid.
 WARNING: [Device 21-2174] Failed to initialize Virtual grid.
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267
-Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266
-Write Physdb Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Write Physdb Complete: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated.
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024...
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:32:37 2024...
 #-----------------------------------------------------------
 # Vivado v2024.1.2 (64-bit)
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:37:11 2024
-# Process ID: 9169
+# Start of session at: Thu Nov 28 16:35:19 2024
+# Process ID: 16486
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -755,62 +745,64 @@ INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024...
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11482 MB
+# Available Virtual :12272 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
 Command: open_checkpoint design_1_wrapper_routed.dcp
 
 Starting open_checkpoint Task
 
-Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1571.242 ; gain = 0.000 ; free physical = 1525 ; free virtual = 10623
-INFO: [Device 21-403] Loading part xc7z010iclg225-1L
-INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1922.414 ; gain = 0.000 ; free physical = 1198 ; free virtual = 10305
+Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1634.656 ; gain = 0.000 ; free physical = 2212 ; free virtual = 11300
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2012.367 ; gain = 0.000 ; free physical = 1859 ; free virtual = 10956
 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
 INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
 INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2
 INFO: [Project 1-570] Preparing netlist for logic optimization
-Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1985.258 ; gain = 1.000 ; free physical = 1114 ; free virtual = 10220
+Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2080.148 ; gain = 0.000 ; free physical = 1772 ; free virtual = 10869
 INFO: [Timing 38-478] Restoring timing data from binary archive.
 INFO: [Timing 38-479] Binary timing data restore complete.
 INFO: [Project 1-856] Restoring constraints from binary archive.
 INFO: [Project 1-853] Binary constraint restore complete.
 INFO: [Designutils 20-5722] Start Reading Physical Databases.
 Reading placement.
-Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
+Read Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
 Reading placer database...
-Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
-Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
-Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
+Read Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
+Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
+Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
 Reading routing.
-Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
-Read Physdb Files: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
-Restored from archive | CPU: 0.050000 secs | Memory: 1.109970 MB |
-Finished XDEF File Restore: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2549.773 ; gain = 5.938 ; free physical = 630 ; free virtual = 9737
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737
+Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
+Read Physdb Files: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
+Restored from archive | CPU: 0.050000 secs | Memory: 1.109596 MB |
+Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2689.500 ; gain = 6.938 ; free physical = 1253 ; free virtual = 10350
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
 INFO: [Project 1-604] Checkpoint was created with Vivado v2024.1.2 (64-bit) build 5164865
-open_checkpoint: Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 2556.742 ; gain = 985.500 ; free physical = 630 ; free virtual = 9737
 Command: write_bitstream -force design_1_wrapper.bit
-Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
 Running DRC as a precondition to command write_bitstream
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 INFO: [IP_Flow 19-1704] No user IP repositories specified
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
 INFO: [DRC 23-27] Running DRC with 8 threads
-ERROR: [DRC NSTD-1] Unspecified I/O Standard: 11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: clk, btnD, btnC, and led[7:0].
-ERROR: [DRC UCIO-1] Unconstrained Logical Port: 11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: clk, btnD, btnC, and led[7:0].
-WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration.
-INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings
+INFO: [Vivado 12-3199] DRC finished with 0 Errors
 INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
-ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
+INFO: [Designutils 20-2272] Running write_bitstream with 8 threads.
+Loading data files...
+Loading site data...
+Loading route data...
+Processing options...
+Creating bitmap...
+Creating bitstream...
+Writing bitstream ./design_1_wrapper.bit...
+INFO: [Vivado 12-1842] Bitgen Completed Successfully.
+INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory.
 INFO: [Common 17-83] Releasing license: Implementation
-21 Infos, 1 Warnings, 0 Critical Warnings and 3 Errors encountered.
-write_bitstream failed
-write_bitstream: Time (s): cpu = 00:00:01 ; elapsed = 00:00:11 . Memory (MB): peak = 2803.207 ; gain = 246.465 ; free physical = 540 ; free virtual = 9648
-ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors.
-
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:38 2024...
+24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+write_bitstream completed successfully
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:35:55 2024...
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi
similarity index 69%
rename from Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi
rename to Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi
index b45f2bc..ce92940 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:36:33 2024
-# Process ID: 7847
+# Start of session at: Thu Nov 28 16:16:03 2024
+# Process ID: 11165
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -19,9 +19,10 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11470 MB
+# Available Virtual :13191 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
+create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1629.680 ; gain = 0.023 ; free physical = 1353 ; free virtual = 12216
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 INFO: [IP_Flow 19-1704] No user IP repositories specified
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
@@ -32,7 +33,7 @@ INFO: [Device 21-403] Loading part xc7z010iclg225-1L
 INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
 INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0'
 INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0'
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1946.828 ; gain = 0.000 ; free physical = 902 ; free virtual = 10298
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1983.734 ; gain = 0.000 ; free physical = 1024 ; free virtual = 11888
 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
 INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
 INFO: [Project 1-479] Netlist was created with Vivado 2024.1
@@ -42,6 +43,7 @@ Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b
 Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst'
 INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54]
 INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54]
+get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2663.152 ; gain = 533.766 ; free physical = 598 ; free virtual = 11462
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst'
 Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
 CRITICAL WARNING: [Common 17-69] Command failed: 'R4' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:8]
@@ -57,13 +59,13 @@ CRITICAL WARNING: [Common 17-69] Command failed: 'B22' is not a valid site or pa
 CRITICAL WARNING: [Common 17-69] Command failed: 'D22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:34]
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2624.246 ; gain = 0.000 ; free physical = 353 ; free virtual = 9762
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2663.152 ; gain = 0.000 ; free physical = 597 ; free virtual = 11461
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
 15 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
 link_design completed successfully
-link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2624.246 ; gain = 991.535 ; free physical = 353 ; free virtual = 9762
+link_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2663.152 ; gain = 1024.566 ; free physical = 597 ; free virtual = 11461
 Command: opt_design
 Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
 INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i'
@@ -74,13 +76,13 @@ INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Project 1-461] DRC finished with 0 Errors
 INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
 
-Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.51 . Memory (MB): peak = 2688.277 ; gain = 64.031 ; free physical = 336 ; free virtual = 9745
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.88 . Memory (MB): peak = 2727.184 ; gain = 64.031 ; free physical = 587 ; free virtual = 11451
 
 Starting Cache Timing Information Task
 INFO: [Timing 38-35] Done setting XDC timing constraints.
 Ending Cache Timing Information Task | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2688.277 ; gain = 0.000 ; free physical = 335 ; free virtual = 9745
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2727.184 ; gain = 0.000 ; free physical = 587 ; free virtual = 11451
 
 Starting Logic Optimization Task
 
@@ -89,30 +91,30 @@ Phase 1 Initialization
 Phase 1.1 Core Generation And Design Setup
 Phase 1.1 Core Generation And Design Setup | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148
 
 Phase 1.2 Setup Constraints And Sort Netlist
 Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148
 Phase 1 Initialization | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148
 
 Phase 2 Timer Update And Timing Data Collection
 
 Phase 2.1 Timer Update
 Phase 2.1 Timer Update | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Phase 2.2 Timing Data Collection
 Phase 2.2 Timing Data Collection | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Phase 2 Timer Update And Timing Data Collection | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Phase 3 Retarget
 INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
@@ -120,7 +122,7 @@ INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
 INFO: [Opt 31-49] Retargeted 0 cell(s).
 Phase 3 Retarget | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Retarget | Checksum: 250df70fd
 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
 INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
@@ -129,21 +131,21 @@ Phase 4 Constant propagation
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
 Phase 4 Constant propagation | Checksum: 250df70fd
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Constant propagation | Checksum: 250df70fd
 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
 
 Phase 5 Sweep
 Phase 5 Sweep | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Sweep | Checksum: 2690de14c
 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
 
 Phase 6 BUFG optimization
 Phase 6 BUFG optimization | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 BUFG optimization | Checksum: 2690de14c
 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
 
@@ -151,14 +153,14 @@ Phase 7 Shift Register Optimization
 INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
 Phase 7 Shift Register Optimization | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Shift Register Optimization | Checksum: 2690de14c
 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
 
 Phase 8 Post Processing Netlist
 Phase 8 Post Processing Netlist | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Post Processing Netlist | Checksum: 2690de14c
 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
 
@@ -167,19 +169,19 @@ Phase 9 Finalization
 Phase 9.1 Finalizing Design Cores and Updating Shapes
 Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Phase 9.2 Verifying Netlist Connectivity
 
 Starting Connectivity Check Task
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Phase 9.2 Verifying Netlist Connectivity | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Phase 9 Finalization | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Opt_design Change Summary
 =========================
 
@@ -198,24 +200,24 @@ Opt_design Change Summary
 
 Ending Logic Optimization Task | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Starting Power Optimization Task
 INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
 Ending Power Optimization Task | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Starting Final Cleanup Task
 Ending Final Cleanup Task | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 
 Starting Netlist Obfuscation Task
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 Ending Netlist Obfuscation Task | Checksum: 2690de14c
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149
 INFO: [Common 17-83] Releasing license: Implementation
 34 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
 opt_design completed successfully
@@ -226,16 +228,16 @@ INFO: [DRC 23-27] Running DRC with 8 threads
 INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt.
 report_drc completed successfully
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
-Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
-Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436
+Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145
+Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11146
+Write Physdb Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11146
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated.
 Command: place_design
 Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
@@ -255,54 +257,54 @@ Starting Placer Task
 Phase 1 Placer Initialization
 
 Phase 1.1 Placer Initialization Netlist Sorting
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147
 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 230d9d08a
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147
 
 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
 INFO: [Timing 38-35] Done setting XDC timing constraints.
 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1ef0c3055
 
-Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 140 ; free virtual = 9432
+Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147
 
 Phase 1.3 Build Placer Netlist Model
 Phase 1.3 Build Placer Netlist Model | Checksum: 24050593c
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9429
+Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147
 
 Phase 1.4 Constrain Clocks/Macros
 Phase 1.4 Constrain Clocks/Macros | Checksum: 24050593c
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437
+Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147
 Phase 1 Placer Initialization | Checksum: 24050593c
 
-Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437
+Time (s): cpu = 00:00:00.88 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147
 
 Phase 2 Global Placement
 
 Phase 2.1 Floorplanning
 Phase 2.1 Floorplanning | Checksum: 2a6b39e8b
 
-Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145
 
 Phase 2.2 Update Timing before SLR Path Opt
 Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2a217b8a6
 
-Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145
 
 Phase 2.3 Post-Processing in Floorplanning
 Phase 2.3 Post-Processing in Floorplanning | Checksum: 2a217b8a6
 
-Time (s): cpu = 00:00:00.79 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145
 
 Phase 2.4 Global Placement Core
 
 Phase 2.4.1 UpdateTiming Before Physical Synthesis
 Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 25c43c498
 
-Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.26 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9438
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.55 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11142
 
 Phase 2.4.2 Physical Synthesis In Placer
 INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
@@ -317,7 +319,7 @@ INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was
 INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
 INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
 INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Summary of Physical Synthesis Optimizations
 ============================================
@@ -341,53 +343,53 @@ Summary of Physical Synthesis Optimizations
 
 Phase 2.4.2 Physical Synthesis In Placer | Checksum: 25c43c498
 
-Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.54 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 2.4 Global Placement Core | Checksum: 22fb7f765
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 2 Global Placement | Checksum: 22fb7f765
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11142
 
 Phase 3 Detail Placement
 
 Phase 3.1 Commit Multi Column Macros
 Phase 3.1 Commit Multi Column Macros | Checksum: 25ac10194
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.69 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142
 
 Phase 3.2 Commit Most Macros & LUTRAMs
 Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2278c4f69
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 150 ; free virtual = 9444
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142
 
 Phase 3.3 Area Swap Optimization
 Phase 3.3 Area Swap Optimization | Checksum: 2e6dac979
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142
 
 Phase 3.4 Pipeline Register Optimization
 Phase 3.4 Pipeline Register Optimization | Checksum: 2e4d933a3
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142
 
 Phase 3.5 Small Shape Detail Placement
 Phase 3.5 Small Shape Detail Placement | Checksum: 295821a43
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.78 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 3.6 Re-assign LUT pins
 Phase 3.6 Re-assign LUT pins | Checksum: 295821a43
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 3.7 Pipeline Register Optimization
 Phase 3.7 Pipeline Register Optimization | Checksum: 274242b16
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 3 Detail Placement | Checksum: 274242b16
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 4 Post Placement Optimization and Clean-Up
 
@@ -406,30 +408,30 @@ INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximu
 INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.670 | TNS=0.000 |
 Phase 1 Physical Synthesis Initialization | Checksum: 1b5106441
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0.
 Ending Physical Synthesis Task | Checksum: 1e2cf2294
 
-Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 4.1.1.1 BUFG Insertion | Checksum: 28d31213d
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.84 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 4.1.1.2 Post Placement Timing Optimization
 INFO: [Place 30-746] Post Placement Timing Summary WNS=0.670. For the most accurate timing information please run report_timing.
 Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1e50d54a6
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 4.1 Post Commit Optimization | Checksum: 1e50d54a6
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 4.2 Post Placement Cleanup
 Phase 4.2 Post Placement Cleanup | Checksum: 1e50d54a6
 
-Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 4.3 Placer Reporting
 
@@ -450,41 +452,41 @@ INFO: [Place 30-612] Post-Placement Estimated Congestion
 
 Phase 4.3.1 Print Estimated Congestion | Checksum: 1e50d54a6
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 4.3 Placer Reporting | Checksum: 1e50d54a6
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
 Phase 4.4 Final Placement Cleanup
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ab14c66f
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 Ending Placer Task | Checksum: 170a2839b
 
-Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 68 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
 place_design completed successfully
 INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel.
 Running report generation with 3 threads.
 INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt
-report_control_sets: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439
+report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143
 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb
 INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt
-report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
+report_io: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 275 ; free virtual = 11139
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9431
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 135 ; free virtual = 9431
-Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9430
+Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139
+Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11140
+Write Physdb Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11140
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated.
 Command: phys_opt_design
 Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
@@ -492,7 +494,7 @@ INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc
 
 Starting Initial Update Timing Task
 
-Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131
 INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.670 | TNS= 0.000 | 
 INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped.
 INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
@@ -500,16 +502,16 @@ INFO: [Common 17-83] Releasing license: Implementation
 79 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
 phys_opt_design completed successfully
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420
-Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 123 ; free virtual = 9419
+Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 258 ; free virtual = 11123
+Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 258 ; free virtual = 11123
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 257 ; free virtual = 11123
+Write Physdb Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 257 ; free virtual = 11123
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated.
 Command: route_design
 Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i'
@@ -528,25 +530,25 @@ Checksum: PlaceDB: a6bc7693 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 6998572b
 Post Restoration Checksum: NetGraph: 6eb65909 | NumContArr: b80e0518 | Constraints: c2a8fa9d | Timing: c2a8fa9d
 Phase 1 Build RT Design | Checksum: 2ac16535b
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 124 ; free virtual = 9335
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024
 
 Phase 2 Router Initialization
 
 Phase 2.1 Fix Topology Constraints
 Phase 2.1 Fix Topology Constraints | Checksum: 2ac16535b
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024
 
 Phase 2.2 Pre Route Cleanup
 Phase 2.2 Pre Route Cleanup | Checksum: 2ac16535b
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024
  Number of Nodes with overlaps = 0
 
 Phase 2.3 Update Timing
 Phase 2.3 Update Timing | Checksum: 254d5c1cf
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 117 ; free virtual = 9312
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.554  | TNS=0.000  | WHS=-0.081 | THS=-0.315 |
 
 
@@ -564,22 +566,22 @@ Router Utilization Summary
 
 Phase 2 Router Initialization | Checksum: 2831a6c13
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 3 Global Routing
 Phase 3 Global Routing | Checksum: 2831a6c13
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 4 Initial Routing
 
 Phase 4.1 Initial Net Routing Pass
 Phase 4.1 Initial Net Routing Pass | Checksum: 302d7982a
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 Phase 4 Initial Routing | Checksum: 302d7982a
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 5 Rip-up And Reroute
 
@@ -590,10 +592,10 @@ INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.445  | TNS=0.000  | WHS
 
 Phase 5.1 Global Iteration 0 | Checksum: 29e81f649
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 Phase 5 Rip-up And Reroute | Checksum: 29e81f649
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 6 Delay and Skew Optimization
 
@@ -602,20 +604,20 @@ Phase 6.1 Delay CleanUp
 Phase 6.1.1 Update Timing
 Phase 6.1.1 Update Timing | Checksum: 2bae5a207
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451  | TNS=0.000  | WHS=N/A    | THS=N/A    |
 
 Phase 6.1 Delay CleanUp | Checksum: 2bae5a207
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 6.2 Clock Skew Optimization
 Phase 6.2 Clock Skew Optimization | Checksum: 2bae5a207
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 Phase 6 Delay and Skew Optimization | Checksum: 2bae5a207
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 7 Post Hold Fix
 
@@ -624,10 +626,10 @@ INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451  | TNS=0.000  | WHS
 
 Phase 7.1 Hold Fix Iter | Checksum: 27bbfa417
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 Phase 7 Post Hold Fix | Checksum: 27bbfa417
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 8 Route finalize
 
@@ -645,24 +647,24 @@ Router Utilization Summary
 
 Phase 8 Route finalize | Checksum: 27bbfa417
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 9 Verifying routed nets
 
  Verification completed successfully
 Phase 9 Verifying routed nets | Checksum: 27bbfa417
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 10 Depositing Routes
 Phase 10 Depositing Routes | Checksum: 1ecfb1d61
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 11 Post Process Routing
 Phase 11 Post Process Routing | Checksum: 1ecfb1d61
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Phase 12 Post Router Timing
 INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451  | TNS=0.000  | WHS=0.184  | THS=0.000  |
@@ -670,23 +672,23 @@ INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451  | TNS=0.000  | WHS=0.1
 INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
 Phase 12 Post Router Timing | Checksum: 1ecfb1d61
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311
-Total Elapsed time in route_design: 5.99 secs
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
+Total Elapsed time in route_design: 10.29 secs
 
 Phase 13 Post-Route Event Processing
 Phase 13 Post-Route Event Processing | Checksum: d68495c0
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 INFO: [Route 35-16] Router Completed Successfully
 Ending Routing Task | Checksum: d68495c0
 
-Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315
+Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012
 
 Routing Is Done.
 INFO: [Common 17-83] Releasing license: Implementation
 94 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered.
 route_design completed successfully
-route_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 76.961 ; free physical = 132 ; free virtual = 9315
+route_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:11 . Memory (MB): peak = 3135.477 ; gain = 77.547 ; free physical = 147 ; free virtual = 11012
 INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
 Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
 INFO: [IP_Flow 19-1839] IP Catalog is up to date.
@@ -705,9 +707,9 @@ INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max.
 INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
 INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel.
 Running report generation with 3 threads.
-INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb
 INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt
 INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb
 INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx
 INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max.
 INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
@@ -722,15 +724,15 @@ INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file desig
 WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid.
 WARNING: [Device 21-2174] Failed to initialize Virtual grid.
 INFO: [Timing 38-480] Writing timing data to binary archive.
-Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
-Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
-Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971
 Writing XDEF routing.
 Writing XDEF routing logical nets.
 Writing XDEF routing special nets.
-Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267
-Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267
-Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266
-Write Physdb Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266
+Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 216 ; free virtual = 10971
+Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 216 ; free virtual = 10971
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 215 ; free virtual = 10970
+Write Physdb Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 215 ; free virtual = 10970
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated.
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024...
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:16:50 2024...
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi
new file mode 100644
index 0000000..c9a5e26
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi
@@ -0,0 +1,726 @@
+#-----------------------------------------------------------
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:31:41 2024
+# Process ID: 14663
+# Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
+# Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
+# Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
+# Journal file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou
+# Running On        :hogtest
+# Platform          :unknown
+# Operating System  :unknown
+# Processor Detail  :11th Gen Intel(R) Core(TM) i5-1140G7 @ 1.10GHz
+# CPU Frequency     :1804.800 MHz
+# CPU Physical cores:4
+# CPU Logical cores :8
+# Host memory       :8296 MB
+# Swap memory       :8296 MB
+# Total Virtual     :16593 MB
+# Available Virtual :12349 MB
+#-----------------------------------------------------------
+source design_1_wrapper.tcl -notrace
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
+Command: link_design -top design_1_wrapper -part xc7a200tsbg484-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0'
+INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0'
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2038.219 ; gain = 0.000 ; free physical = 1845 ; free virtual = 10861
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst'
+Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst'
+Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54]
+INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54]
+Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst'
+Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
+Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc]
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2763.770 ; gain = 0.000 ; free physical = 1299 ; free virtual = 10336
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+15 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2827.801 ; gain = 64.031 ; free physical = 1311 ; free virtual = 10348
+
+Starting Cache Timing Information Task
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Ending Cache Timing Information Task | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2827.801 ; gain = 0.000 ; free physical = 1311 ; free virtual = 10348
+
+Starting Logic Optimization Task
+
+Phase 1 Initialization
+
+Phase 1.1 Core Generation And Design Setup
+Phase 1.1 Core Generation And Design Setup | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
+
+Phase 1.2 Setup Constraints And Sort Netlist
+Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
+Phase 1 Initialization | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042
+
+Phase 2 Timer Update And Timing Data Collection
+
+Phase 2.1 Timer Update
+Phase 2.1 Timer Update | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
+
+Phase 2.2 Timing Data Collection
+Phase 2.2 Timing Data Collection | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
+Phase 2 Timer Update And Timing Data Collection | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041
+
+Phase 3 Retarget
+INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 3 Retarget | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Retarget | Checksum: 256e02795
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
+INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
+
+Phase 4 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 4 Constant propagation | Checksum: 256e02795
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Constant propagation | Checksum: 256e02795
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 5 Sweep
+Phase 5 Sweep | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Sweep | Checksum: 26f0e97e4
+INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
+
+Phase 6 BUFG optimization
+Phase 6 BUFG optimization | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+BUFG optimization | Checksum: 26f0e97e4
+INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
+
+Phase 7 Shift Register Optimization
+INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
+Phase 7 Shift Register Optimization | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Shift Register Optimization | Checksum: 26f0e97e4
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Phase 8 Post Processing Netlist
+Phase 8 Post Processing Netlist | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Post Processing Netlist | Checksum: 26f0e97e4
+INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
+
+Phase 9 Finalization
+
+Phase 9.1 Finalizing Design Cores and Updating Shapes
+Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+
+Phase 9.2 Verifying Netlist Connectivity
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Phase 9.2 Verifying Netlist Connectivity | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Phase 9 Finalization | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Opt_design Change Summary
+=========================
+
+
+-------------------------------------------------------------------------------------------------------------------------
+|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
+-------------------------------------------------------------------------------------------------------------------------
+|  Retarget                     |               0  |               0  |                                              1  |
+|  Constant propagation         |               0  |               0  |                                              0  |
+|  Sweep                        |               0  |               0  |                                              0  |
+|  BUFG optimization            |               0  |               0  |                                              0  |
+|  Shift Register Optimization  |               0  |               0  |                                              0  |
+|  Post Processing Netlist      |               0  |               0  |                                              0  |
+-------------------------------------------------------------------------------------------------------------------------
+
+
+Ending Logic Optimization Task | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+
+Starting Final Cleanup Task
+Ending Final Cleanup Task | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+
+Starting Netlist Obfuscation Task
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+Ending Netlist Obfuscation Task | Checksum: 26f0e97e4
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043
+INFO: [Common 17-83] Releasing license: Implementation
+35 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx
+Command: report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt.
+report_drc completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10048
+INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated.
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-83] Releasing license: Implementation
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs
+
+Starting Placer Task
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 236da8722
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1bc38e117
+
+Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 1eb442b17
+
+Time (s): cpu = 00:00:00.94 ; elapsed = 00:00:00.28 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 1eb442b17
+
+Time (s): cpu = 00:00:00.95 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031
+Phase 1 Placer Initialization | Checksum: 1eb442b17
+
+Time (s): cpu = 00:00:00.97 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 983 ; free virtual = 10031
+
+Phase 2 Global Placement
+
+Phase 2.1 Floorplanning
+Phase 2.1 Floorplanning | Checksum: 1c493d4b9
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
+
+Phase 2.2 Update Timing before SLR Path Opt
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2495728f0
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
+
+Phase 2.3 Post-Processing in Floorplanning
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 2495728f0
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027
+
+Phase 2.4 Global Placement Core
+
+Phase 2.4.1 UpdateTiming Before Physical Synthesis
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 236cb21d7
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.52 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 943 ; free virtual = 9992
+
+Phase 2.4.2 Physical Synthesis In Placer
+INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
+INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT
+INFO: [Physopt 32-65] No nets found for high-fanout optimization.
+INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance.
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+INFO: [Physopt 32-670] No setup violation found.  DSP Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register to Pipeline Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
+INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 939 ; free virtual = 9991
+
+Summary of Physical Synthesis Optimizations
+============================================
+
+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  Optimization                                     |  Added Cells  |  Removed Cells  |  Optimized Cells/Nets  |  Dont Touch  |  Iterations  |  Elapsed   |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  LUT Combining                                    |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Retime                                           |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Very High Fanout                                 |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  DSP Register                                     |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register to Pipeline                       |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register                                   |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  BRAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  URAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Dynamic/Static Region Interface Net Replication  |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Total                                            |            0  |              0  |                     0  |           0  |           4  |  00:00:00  |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 236cb21d7
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+Phase 2.4 Global Placement Core | Checksum: 1ef8b2f73
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+Phase 2 Global Placement | Checksum: 1ef8b2f73
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 1d486398a
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.9 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2966bbfaa
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.92 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1ff89d11b
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 2376f352c
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 1e3efe8b9
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 1e3efe8b9
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 21c0a8caa
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 3 Detail Placement | Checksum: 21c0a8caa
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 1f5099abb
+
+Phase 4.1.1.1 BUFG Insertion
+
+Starting Physical Synthesis Task
+
+Phase 1 Physical Synthesis Initialization
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.716 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 1654a0736
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0.
+Ending Physical Synthesis Task | Checksum: 19a6df91d
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.1.1.1 BUFG Insertion | Checksum: 1f5099abb
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 4.1.1.2 Post Placement Timing Optimization
+INFO: [Place 30-746] Post Placement Timing Summary WNS=0.716. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1ef975e62
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.1 Post Commit Optimization | Checksum: 1ef975e62
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 1ef975e62
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 4.3 Placer Reporting
+
+Phase 4.3.1 Print Estimated Congestion
+INFO: [Place 30-612] Post-Placement Estimated Congestion 
+ ____________________________________________________
+|           | Global Congestion | Short Congestion  |
+| Direction | Region Size       | Region Size       |
+|___________|___________________|___________________|
+|      North|                1x1|                1x1|
+|___________|___________________|___________________|
+|      South|                1x1|                1x1|
+|___________|___________________|___________________|
+|       East|                1x1|                1x1|
+|___________|___________________|___________________|
+|       West|                1x1|                1x1|
+|___________|___________________|___________________|
+
+Phase 4.3.1 Print Estimated Congestion | Checksum: 1ef975e62
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4.3 Placer Reporting | Checksum: 1ef975e62
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Phase 4.4 Final Placement Cleanup
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1b59ed02b
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Ending Placer Task | Checksum: 128415a24
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+70 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb
+INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated.
+Command: phys_opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+
+Starting Initial Update Timing Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.716 | TNS= 0.000 | 
+INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped.
+INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
+INFO: [Common 17-83] Releasing license: Implementation
+82 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+phys_opt_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974
+Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974
+INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated.
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs
+
+Phase 1 Build RT Design
+Checksum: PlaceDB: 2fd8b8e3 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 981aeb64
+Post Restoration Checksum: NetGraph: e7093645 | NumContArr: 7eee71f2 | Constraints: c2a8fa9d | Timing: c2a8fa9d
+Phase 1 Build RT Design | Checksum: 2eb499d71
+
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 691 ; free virtual = 9755
+
+Phase 2 Router Initialization
+
+Phase 2.1 Fix Topology Constraints
+Phase 2.1 Fix Topology Constraints | Checksum: 2eb499d71
+
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755
+
+Phase 2.2 Pre Route Cleanup
+Phase 2.2 Pre Route Cleanup | Checksum: 2eb499d71
+
+Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755
+ Number of Nodes with overlaps = 0
+
+Phase 2.3 Update Timing
+Phase 2.3 Update Timing | Checksum: 1ef724d83
+
+Time (s): cpu = 00:00:36 ; elapsed = 00:00:29 . Memory (MB): peak = 3447.844 ; gain = 234.703 ; free physical = 616 ; free virtual = 9684
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.651  | TNS=0.000  | WHS=-0.121 | THS=-0.525 |
+
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0 %
+  Global Horizontal Routing Utilization  = 0 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 15
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 15
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 2 Router Initialization | Checksum: 27c63ec9c
+
+Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+
+Phase 3 Global Routing
+Phase 3 Global Routing | Checksum: 27c63ec9c
+
+Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+
+Phase 4 Initial Routing
+
+Phase 4.1 Initial Net Routing Pass
+Phase 4.1 Initial Net Routing Pass | Checksum: 2a761ba40
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+Phase 4 Initial Routing | Checksum: 2a761ba40
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+
+Phase 5 Rip-up And Reroute
+
+Phase 5.1 Global Iteration 0
+ Number of Nodes with overlaps = 1
+ Number of Nodes with overlaps = 0
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.634  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 5.1 Global Iteration 0 | Checksum: 2985bcbb7
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+Phase 5 Rip-up And Reroute | Checksum: 2985bcbb7
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+
+Phase 6 Delay and Skew Optimization
+
+Phase 6.1 Delay CleanUp
+Phase 6.1 Delay CleanUp | Checksum: 2985bcbb7
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+
+Phase 6.2 Clock Skew Optimization
+Phase 6.2 Clock Skew Optimization | Checksum: 2985bcbb7
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+Phase 6 Delay and Skew Optimization | Checksum: 2985bcbb7
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681
+
+Phase 7 Post Hold Fix
+
+Phase 7.1 Hold Fix Iter
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.735  | TNS=0.000  | WHS=0.182  | THS=0.000  |
+
+Phase 7.1 Hold Fix Iter | Checksum: 280f4f3e6
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+Phase 7 Post Hold Fix | Checksum: 280f4f3e6
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+
+Phase 8 Route finalize
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.0172892 %
+  Global Horizontal Routing Utilization  = 0.00115664 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 0
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 0
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 8 Route finalize | Checksum: 280f4f3e6
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681
+
+Phase 9 Verifying routed nets
+
+ Verification completed successfully
+Phase 9 Verifying routed nets | Checksum: 280f4f3e6
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+
+Phase 10 Depositing Routes
+Phase 10 Depositing Routes | Checksum: 26c826477
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+
+Phase 11 Post Process Routing
+Phase 11 Post Process Routing | Checksum: 26c826477
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+
+Phase 12 Post Router Timing
+INFO: [Route 35-57] Estimated Timing Summary | WNS=0.735  | TNS=0.000  | WHS=0.182  | THS=0.000  |
+
+INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
+Phase 12 Post Router Timing | Checksum: 26c826477
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+Total Elapsed time in route_design: 29.29 secs
+
+Phase 13 Post-Route Event Processing
+Phase 13 Post-Route Event Processing | Checksum: 123c604a5
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+INFO: [Route 35-16] Router Completed Successfully
+Ending Routing Task | Checksum: 123c604a5
+
+Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680
+
+Routing Is Done.
+INFO: [Common 17-83] Releasing license: Implementation
+97 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+route_design completed successfully
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
+Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt.
+report_drc completed successfully
+INFO: [Vivado 12-24828] Executing command : report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx
+Command: report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [DRC 23-133] Running Methodology with 8 threads
+INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt.
+report_methodology completed successfully
+INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation 
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt
+INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb
+INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+INFO: [Vivado 12-24828] Executing command : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx
+Command: report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx
+Running Vector-less Activity Propagation...
+
+Finished Running Vector-less Activity Propagation
+118 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+report_power completed successfully
+INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt
+WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid.
+WARNING: [Device 21-2174] Failed to initialize Virtual grid.
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+Write Physdb Complete: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683
+INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated.
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:32:37 2024...
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt
index e1dbd00..7a43862 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:37:00 2024
+| Date         : Thu Nov 28 16:32:36 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx
 | Design       : design_1_wrapper
-| Device       : 7z010i-clg225
-| Speed File   : -1L  PRODUCTION 1.12 2019-11-22
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
 | Design State : Routed
 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpx
index acbced8a8ff0941943cbdc3133ebb47580d968c0..eec61b99012c1ebf0c84877402a8d421f199d22c 100644
GIT binary patch
delta 128
zcmeC>?B<-{$2fDM{}INclSLRUeSElB0uqZ#gj`%w%QBNwZOs#n3=B#X6ao^HvlG)(
zZHtrAO)N|l6oLy<Q&Vhp4HYy3g8W@VokKkR{S*xKjEohG3=A!F4a{^6jVI4y%;q+-
gP%t#JGB&a@Hk&NKbcZ97fq{XGN!M`mSEd<^0D>JOB>(^b

delta 130
zcmeC??B$%`$2e=E{}IMxlSLRUeSNuD0uqZ#gj`)x%QBNwZOy9;3=J|B6ao^HvlG)(
zZIg4-jf_kc6oLy<Q&Vhp4Sf_e0)qTqLY+fA{rwaS^$d*^j0_Adbqx)5jf^JGWX$F^
iG*K`#wlX%iGBB9T&vb_~ih+TFi&@vuXY*&K8H@nUZzHe(

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt
index 38d42df..401a6be 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt
@@ -1,15 +1,14 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------------
-| Tool Version      : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date              : Thu Nov 14 13:37:01 2024
-| Host              : hogtest running 64-bit unknown
-| Command           : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt
-| Design            : design_1_wrapper
-| Device            : 7z010i-clg225
-| Speed File        : -1L  PRODUCTION 1.12 2019-11-22
-| Temperature Grade : I
-| Design State      : Routed
---------------------------------------------------------------------------------------------------------------------------------------------------
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
+| Date         : Thu Nov 28 16:32:37 2024
+| Host         : hogtest running 64-bit unknown
+| Command      : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt
+| Design       : design_1_wrapper
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+| Design State : Routed
+---------------------------------------------------------------------------------------------------------------------------------------------
 
 Clock Utilization Report
 
@@ -22,7 +21,8 @@ Table of Contents
 5. Clock Regions : Global Clock Summary
 6. Device Cell Placement Summary for Global Clock g0
 7. Device Cell Placement Summary for Global Clock g1
-8. Clock Region Cell Placement per Global Clock: Region X1Y0
+8. Clock Region Cell Placement per Global Clock: Region X0Y1
+9. Clock Region Cell Placement per Global Clock: Region X1Y2
 
 1. Clock Primitive Utilization
 ------------------------------
@@ -31,12 +31,12 @@ Table of Contents
 | Type     | Used | Available | LOC | Clock Region | Pblock |
 +----------+------+-----------+-----+--------------+--------+
 | BUFGCTRL |    2 |        32 |   0 |            0 |      0 |
-| BUFH     |    0 |        48 |   0 |            0 |      0 |
-| BUFIO    |    0 |         8 |   0 |            0 |      0 |
-| BUFMR    |    0 |         4 |   0 |            0 |      0 |
-| BUFR     |    0 |         8 |   0 |            0 |      0 |
-| MMCM     |    0 |         2 |   0 |            0 |      0 |
-| PLL      |    1 |         2 |   0 |            0 |      0 |
+| BUFH     |    0 |       120 |   0 |            0 |      0 |
+| BUFIO    |    0 |        40 |   0 |            0 |      0 |
+| BUFMR    |    0 |        20 |   0 |            0 |      0 |
+| BUFR     |    0 |        40 |   0 |            0 |      0 |
+| MMCM     |    0 |        10 |   0 |            0 |      0 |
+| PLL      |    1 |        10 |   0 |            0 |      0 |
 +----------+------+-----------+-----+--------------+--------+
 
 
@@ -59,8 +59,8 @@ Table of Contents
 +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+
 | Source Id | Global Id | Driver Type/Pin    | Constraint | Site           | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock                  | Driver Pin                                        | Net                                                     |
 +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+
-| src0      | g0        | PLLE2_ADV/CLKOUT0  | None       | PLLE2_ADV_X0Y0 | X1Y0         |           1 |               0 |               2.500 | clk_out1_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0  | design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 |
-| src1      | g1        | PLLE2_ADV/CLKFBOUT | None       | PLLE2_ADV_X0Y0 | X1Y0         |           1 |               0 |              10.000 | clkfbout_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT | design_1_i/clk_wiz_0/inst/clkfbout_design_1_clk_wiz_0_1 |
+| src0      | g0        | PLLE2_ADV/CLKOUT0  | None       | PLLE2_ADV_X1Y2 | X1Y2         |           1 |               0 |               2.500 | clk_out1_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0  | design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 |
+| src1      | g1        | PLLE2_ADV/CLKFBOUT | None       | PLLE2_ADV_X1Y2 | X1Y2         |           1 |               0 |              10.000 | clkfbout_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT | design_1_i/clk_wiz_0/inst/clkfbout_design_1_clk_wiz_0_1 |
 +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+
 * Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
 ** Non-Clock Loads column represents cell count of non-clock pin loads
@@ -74,10 +74,16 @@ Table of Contents
 +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
 | Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail |
 +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
-| X0Y0              |    0 |    12 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |  1100 |    0 |   400 |    0 |    20 |    0 |    10 |    0 |    20 |
-| X1Y0              |    2 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    1 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |   11 |  1100 |   11 |   350 |    0 |    40 |    0 |    20 |    0 |    20 |
-| X0Y1              |    0 |    12 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |  1100 |    0 |   400 |    0 |    20 |    0 |    10 |    0 |    20 |
-| X1Y1              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  1100 |    0 |   350 |    0 |    40 |    0 |    20 |    0 |    20 |
+| X0Y0              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2700 |    0 |   800 |    0 |    60 |    0 |    30 |    0 |    60 |
+| X1Y0              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2500 |    0 |   800 |    0 |    40 |    0 |    20 |    0 |    40 |
+| X0Y1              |    1 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |   11 |  4200 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y1              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4000 |    0 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y2              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  3600 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y2              |    1 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    1 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4000 |    0 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y3              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  3600 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y3              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4000 |    0 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y4              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     1 |    0 |    50 |    0 |    50 |    0 |  2550 |    0 |   750 |    0 |    50 |    0 |    25 |    0 |    60 |
+| X1Y4              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2500 |    0 |   800 |    0 |    40 |    0 |    20 |    0 |    40 |
 +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
 * Global Clock column represents track count; while other columns represents cell counts
 
@@ -89,6 +95,9 @@ All Modules
 +----+----+----+
 |    | X0 | X1 |
 +----+----+----+
+| Y4 |  0 |  0 |
+| Y3 |  0 |  0 |
+| Y2 |  0 |  0 |
 | Y1 |  0 |  0 |
 | Y0 |  0 |  0 |
 +----+----+----+
@@ -108,12 +117,15 @@ All Modules
 **** GT Loads column represents load cell count of GT types
 
 
-+----+----+-----+-----------------------+
-|    | X0 | X1  | HORIZONTAL PROG DELAY |
-+----+----+-----+-----------------------+
-| Y1 |  0 |   0 |                     - |
-| Y0 |  0 |  11 |                     0 |
-+----+----+-----+-----------------------+
++----+-----+----+-----------------------+
+|    | X0  | X1 | HORIZONTAL PROG DELAY |
++----+-----+----+-----------------------+
+| Y4 |   0 |  0 |                     - |
+| Y3 |   0 |  0 |                     - |
+| Y2 |   0 |  0 |                     - |
+| Y1 |  11 |  0 |                     0 |
+| Y0 |   0 |  0 |                     - |
++----+-----+----+-----------------------+
 
 
 7. Device Cell Placement Summary for Global Clock g1
@@ -133,18 +145,33 @@ All Modules
 +----+----+----+-----------------------+
 |    | X0 | X1 | HORIZONTAL PROG DELAY |
 +----+----+----+-----------------------+
+| Y4 |  0 |  0 |                     - |
+| Y3 |  0 |  0 |                     - |
+| Y2 |  0 |  1 |                     0 |
 | Y1 |  0 |  0 |                     - |
-| Y0 |  0 |  1 |                     0 |
+| Y0 |  0 |  0 |                     - |
 +----+----+----+-----------------------+
 
 
-8. Clock Region Cell Placement per Global Clock: Region X1Y0
+8. Clock Region Cell Placement per Global Clock: Region X0Y1
+------------------------------------------------------------
+
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+
+| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net                                |
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+
+| g0        | n/a   | BUFG/O          | None       |          11 |               0 | 11 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | design_1_i/clk_wiz_0/inst/clk_out1 |
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts
+
+
+9. Clock Region Cell Placement per Global Clock: Region X1Y2
 ------------------------------------------------------------
 
 +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+
 | Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net                                                         |
 +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+
-| g0        | n/a   | BUFG/O          | None       |          11 |               0 | 11 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | design_1_i/clk_wiz_0/inst/clk_out1                          |
 | g1        | n/a   | BUFG/O          | None       |           1 |               0 |  0 |           0 |    0 |   0 |  0 |    0 |   1 |       0 | design_1_i/clk_wiz_0/inst/clkfbout_buf_design_1_clk_wiz_0_1 |
 +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+
 * Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
@@ -160,11 +187,11 @@ set_property LOC BUFGCTRL_X0Y0 [get_cells design_1_i/clk_wiz_0/inst/clkout1_buf]
 # Location of IO Primitives which is load of clock spine
 
 # Location of clock ports
-set_property LOC IOB_X0Y28 [get_ports clk]
+set_property LOC IOB_X1Y124 [get_ports clk]
 
 # Clock net "design_1_i/clk_wiz_0/inst/clk_out1" driven by instance "design_1_i/clk_wiz_0/inst/clkout1_buf" located at site "BUFGCTRL_X0Y0"
 #startgroup
 create_pblock {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}
 add_cells_to_pblock [get_pblocks  {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="design_1_i/clk_wiz_0/inst/clk_out1"}]]]
-resize_pblock [get_pblocks {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0}
+resize_pblock [get_pblocks {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1}
 #endgroup
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt
index bf6f5b4..417d7ed 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt
@@ -1,11 +1,11 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:36:53 2024
+| Date         : Thu Nov 28 16:32:05 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt
 | Design       : design_1_wrapper
-| Device       : xc7z010i
+| Device       : xc7a200t
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
 Control Set Information
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.pb
index 0158a2ad826bcd75c8436a6a29252340aee67559..8ebaa788697aa1bbb8ab2b30bd329d93fcb4a328 100644
GIT binary patch
delta 12
TcmY#Yogl}~ut1*SfGPt35h?<t

delta 12
TcmY#Yogl}~v_PKmfGPt35j+B?

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt
index fbdcca3..7277967 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:36:51 2024
+| Date         : Thu Nov 28 16:32:02 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx
 | Design       : design_1_wrapper
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Synthesized
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -24,30 +24,12 @@ Table of Contents
       Design limits: <entire design considered>
            Ruledeck: default
              Max violations: <unlimited>
-             Violations found: 3
-+--------+------------------+----------------------------+------------+
-| Rule   | Severity         | Description                | Violations |
-+--------+------------------+----------------------------+------------+
-| NSTD-1 | Critical Warning | Unspecified I/O Standard   | 1          |
-| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1          |
-| ZPS7-1 | Warning          | PS7 block required         | 1          |
-+--------+------------------+----------------------------+------------+
+             Violations found: 0
++------+----------+-------------+------------+
+| Rule | Severity | Description | Violations |
++------+----------+-------------+------------+
++------+----------+-------------+------------+
 
 2. REPORT DETAILS
 -----------------
-NSTD-1#1 Critical Warning
-Unspecified I/O Standard  
-11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0].
-Related violations: <none>
-
-UCIO-1#1 Critical Warning
-Unconstrained Logical Port  
-11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: btnC, btnD, clk, led[7:0].
-Related violations: <none>
-
-ZPS7-1#1 Warning
-PS7 block required  
-The PS7 cell must be used in this Zynq design in order to enable correct default configuration.
-Related violations: <none>
-
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpx
index 0d00fa0e1d8f41078489865417d58b7fee2b44a9..9b5e25b7109e6691ab1f0e6668ebd5d443d0d306 100644
GIT binary patch
delta 34
pcmaE*m_5N?hM|C=f}w$tp@WfO0wcpDW^R`tXN92D;?kUwVgRF-34j0q

literal 4330
zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DfVDsVBlgl)H4#2OGz!xOwWrq
zj4v-rEGS4Viccv@j?XVBNlno!DyWe7|NsAg{tV^<h6;uTMy3u%#tDoJlbE?(f}9ng
zW<6kMVBlaBV3HDWF3K#)Ois*E2v01^%gjqxV)F|QanUu@k_gQ!E=WzzOv_A7QSj9F
zR|qai%u7iuN-^Sqs8BYvU~uYYH#AhpFD+5XPg5{7Qpm|q2OCz9UsO`8P+FV{)>#bI
zsi5KM9~|Q7=i(UTqNz}pm{Xdnpzh-8<{0V|qOPNmnO9trnwSDIDiNf&NFlKp<j>R;
z1*l7sA)53QLNYRo6><|R6_OKSmL%urm89mCWaj57<QFMq<|XIn79^HrCS~SimQ*T~
zXO?6rlw_nTB;_X-r6?5Sm!}qi)FtQV<)tQ<WR`&xC8ni;*m>y+1*t`8`9-;jdC93d
z3LrNm<|t(5m87N@fz*M`S4gcWDN4;vRY*=OPA!HyBqcF7F+EkGBp+;7N@`hVaw^Cg
zkj)?m<>#g5l@x>I%QG^QGZZpQ6f%nyppHmQ(NhS?S4hq;DoRZ*Q78fVu`Dw`ClTZ+
z9cUO-DkSFQpoD5MG&mrMLZLh}Cr2SIF*65bOL}TvYEfcIszRbdQf5hUNl|KIu0m;E
zPHJ&6*c{aO%1A5&sVpr{ErQwxi+gwsLLx0CH7zp_>fFSfocwYm)02x*!48H5c_~^V
zLN%yZK_f4}M4>1(IX^cyH7_MKMN<b9^ANv-1QYX8tQ3k<OX3TP@(WUnN-7nCUBg_1
zJVPQCs-Y>iRv|h)wFI06k~311vx^lV=`&VOLBY>I#MMe6JR>zvp|m(NFC82$VVPx#
zDftRPrFq2)nR#hNiNz&FrO73wMX3rJse0*p3OR|TdC3{^MIZ$s$vFy8hiK|3B&MXG
zxD6D>i3)lp$vFyXnK`KniFqkdHHjrqkz$2Jg@U3~-HiPFY=yM^B8Bpz%#zf2M06CF
zq!xfBK#3$Xw;(4qH#M&W5{5;kd3p)~MfpiNsksW^Ol75zRFdbc10r2?6q0kYbrf<^
zQ=-kS3}W@X4vgl;(cCzi8wWf$8pJ|!r6H6yg3`uN+5}3QLTR&DE^sD})my~IWM~K?
zj6Sj1aq)8S2rx@AC+B1<FlaGw@o{hoFiWu{mE^fV`J7O`GnmiI!3$EJlbQnJ&cRd1
zhdO)u>l$iFh2|ya=M|R}C4!1`1s`bb9gtsCV#EPa1Fq#?u;HoY!L>$SKB(NrR%7Sn
zCqv3IxJ@Mr8b1Ecn$T)%1lQsPnRyDZ;GuObUYuEys^H`A3~FpZBD_QaUX6pA9mLn;
zD9)x$4Gu|r!=?r&p$eaiuL>W{YUDPUQPM25<e^oAc{Hmf=7AdW1JYO~Dyt2O`uRJk
zyU!@VEX5A($SAQz1q7Sx8fpmz1e+@)<>V)4D-@*`mSz^ErWkQR<iOQ&d`L#B0!U4A
zYEF(qZfS9eLQ*QI{!LNH%!Bk#qAK$W6`%<`6VxM4Ni70(R#Nj4lX6nweaw{9w8YY!
U5>Ta(mYH5!1g@#|yl8C!02I~IAOHXW

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.pb
index 0158a2ad826bcd75c8436a6a29252340aee67559..8ebaa788697aa1bbb8ab2b30bd329d93fcb4a328 100644
GIT binary patch
delta 12
TcmY#Yogl}~ut1*SfGPt35h?<t

delta 12
TcmY#Yogl}~v_PKmfGPt35j+B?

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt
index fad725a..fb47850 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:37:00 2024
+| Date         : Thu Nov 28 16:32:35 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx
 | Design       : design_1_wrapper
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Fully Routed
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -24,30 +24,12 @@ Table of Contents
       Design limits: <entire design considered>
            Ruledeck: default
              Max violations: <unlimited>
-             Violations found: 3
-+--------+------------------+----------------------------+------------+
-| Rule   | Severity         | Description                | Violations |
-+--------+------------------+----------------------------+------------+
-| NSTD-1 | Critical Warning | Unspecified I/O Standard   | 1          |
-| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1          |
-| ZPS7-1 | Warning          | PS7 block required         | 1          |
-+--------+------------------+----------------------------+------------+
+             Violations found: 0
++------+----------+-------------+------------+
+| Rule | Severity | Description | Violations |
++------+----------+-------------+------------+
++------+----------+-------------+------------+
 
 2. REPORT DETAILS
 -----------------
-NSTD-1#1 Critical Warning
-Unspecified I/O Standard  
-11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0].
-Related violations: <none>
-
-UCIO-1#1 Critical Warning
-Unconstrained Logical Port  
-11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: btnC, btnD, clk, led[7:0].
-Related violations: <none>
-
-ZPS7-1#1 Warning
-PS7 block required  
-The PS7 cell must be used in this Zynq design in order to enable correct default configuration.
-Related violations: <none>
-
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpx
index 34e6afbf76e39d0f481fb71a20e1c899783ff35f..ce02959879e232dd1fa66762e24c5f225df53f37 100644
GIT binary patch
delta 34
pcmaE@m@^?jhM|C=f}w$tp@WfO0wcpDW^R`tXN92D;?kUwVgRG|34;It

literal 4331
zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DfVPwVBlgl)H4#2Pf0D#OwWrq
zj4v-rEGS4Viccv@jxWkDElEw$D=Mgv`2YX^fBp>S0)`5P21ce1M#c$@43n6-U4ony
zpoTqQXJFu96kw7Pa4yO$$xKenQ3y{g%FE14S7P%E4sp>n)RG9zD=tV)&P>ZpO;PaF
z_g4rmNz6-0EJ`urfT&P5v|w=RW;Zlc$S*BX$WK!+G*ZaPPX`-TkY7|%tWa8<3f5T+
z)v2K2=^q^8=;z`X<f5rimY7qTs-W)T>gE{g6QZu8keOFplA4$TGAa?Iw@4we8068^
z6a}bDk|CP(6hbmGixqMcD;1IxVU{H4=ar=9m1O4UDdZO^WacI3=N2TEWF}?iWR_Ga
zlxLP?D3oNRDkSA67NsZ@<d>%wfz&1E=jEj)mt>ZK6eXsmf!KNJ3I(Y}Y57IDiFwJX
zItm~+B<3h&=9Q$T7lG7)%~wdRC@D(KO;t!vEKV(kIwU1AH!(d`p(Gz{S4wJGW^yXX
z8j#H(2j%Cb=9LtK<jXTMlQR@DOB6DT6`+ntP0>>b$yZ3uFDgn+E>S1}`LQfBKPM67
zDII7SR4OFq<e-FVF*G<JsY0PVGbcwOEip3(WJ`K#UTRTdNvcAkLQ-Z)aY<2XVy;4I
zUQTLpG1wf`_{vBu1F0-6PA!7k28(-m3_>C;B{eNG59-{+oSgh}B-4|NQo#;}1bHc1
zB0@E&SV1E%zeJ%ZH90>wH#ILMHAPbg6!Q?jg9H=vQmhn;Q%m9tit-Cmi%KdLf?dO0
zgFHhb6{?{rwpJlJJ+%a!2a+>Vle3EzAn7w!PeH-YKg88aAv_~BPocCpGcO$+En%5u
zi7ELCL8W=c3YmFnMTx~FMWx9lrA4U<8mW5edI~v-rFqF2@kJm7A;~!kP={#hC?uw&
zptubb#)%4gCCNDoX_+~x3W<3sP&J7qP?2JVM1_K)RNajH{A`7^{33<&qRf)ictms*
zm!uYeB|wQJGq)foH8(Y{1QLctrFnV^0Y&*qIjOk{;7nzukW`ZAtOFulbQF?vvUL=4
zQd6SMtqfxIybg@!#?jn3ni~f^HyXr3a-|`ZHiFW|P}&4an?h-`ST1lTj@4Vl#$;#+
zB8)z<*>Ul5@CYzVF(>C_D==s=aPe_)2{22sB$ed3K>3_dzB8E5%fSm$o|Bpa;?BWS
z$A>z5`s*5MNrmPm=jRod6eWU+a|Iu0?H!O`RAR&dQ3I~!U$Eh+<-xT^UOuSY##UqJ
z<R?SQGPq483K~BC&YI9_YXsNg1(|sYu;8I}Enb{ilB(e2?+j{eKq9<E0bY%RnjOT~
z<0#IiO$`o7d&8y%C!q?Ti?0eF&1&Q}m{HO!wB(^xgLyQoCFX$|@&nRXCMv59iu(CG
zsK3uBz%0cM?Z_yxMFj+#>l$ha1q7QbB<18MXDbw?7M5lfrKT8hK;*#HaePQdsscz&
za%xVFLT+hsi9%8;sQyh+$jpQEPogUG3KgIUJQLI-PDw2SbyiaI5|eUL;eE`M)U?FX
VoDxu_kd~QVS_H1C^}J|p0RRUi(wP7N

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt
index 0233ef6..e00756b 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt
@@ -1,15 +1,15 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ----------------------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version              : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date                      : Thu Nov 14 13:36:53 2024
+| Date                      : Thu Nov 28 16:32:05 2024
 | Host                      : hogtest running 64-bit unknown
 | Command                   : report_io -file design_1_wrapper_io_placed.rpt
 | Design                    : design_1_wrapper
-| Device                    : xc7z010i
-| Speed File                : -1L
-| Package                   : clg225
-| Package Version           : FINAL 2012-11-02
-| Package Pin Delay Version : VERS. 2.0 2012-11-02
+| Device                    : xc7a200t
+| Speed File                : -1
+| Package                   : sbg484
+| Package Version           : FINAL 2012-06-12
+| Package Pin Delay Version : VERS. 2.0 2012-06-12
 ----------------------------------------------------------------------------------------------------------------------------------------------------------
 
 IO Information
@@ -32,235 +32,494 @@ Table of Contents
 2. IO Assignments by Package Pin
 --------------------------------
 
-+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
-| Pin Number | Signal Name | Bank Type  | Pin Name                | Use         | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization |
-+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
-| A1         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| A2         |             |            | PS_DDR_DQ1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A3         |             |            | PS_DDR_DQ7_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A4         |             |            | PS_DDR_DQ5_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A5         |             |            | PS_MIO1_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A6         |             |            | VCCO_MIO0_500           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| A7         |             |            | PS_MIO3_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A8         |             |            | PS_MIO2_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A9         |             |            | PS_MIO5_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A10        |             |            | PS_MIO6_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A11        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| A12        |             |            | PS_MIO52_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A13        |             |            | PS_MIO38_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A14        |             |            | PS_MIO35_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| A15        |             |            | PS_MIO28_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B1         |             |            | PS_DDR_DM0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B2         |             |            | PS_DDR_DQS_N0_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B3         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| B4         |             |            | PS_DDR_DQ4_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B5         |             |            | PS_MIO9_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B6         |             |            | PS_MIO8_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B7         |             |            | PS_MIO12_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| B9         |             |            | PS_MIO14_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B10        |             |            | PS_MIO11_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B11        |             |            | PS_SRST_B_501           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B12        |             |            | PS_MIO48_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B13        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| B14        |             |            | PS_MIO36_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| B15        |             |            | PS_MIO30_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C1         |             |            | PS_DDR_DQ3_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C2         |             |            | PS_DDR_DQS_P0_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C3         |             |            | PS_DDR_DQ6_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C4         |             |            | PS_DDR_DQ2_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| C6         |             |            | PS_MIO13_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C7         |             |            | PS_CLK_500              | PSS Clock   |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C8         |             |            | PS_MIO4_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C9         |             |            | PS_POR_B_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C10        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| C11        |             |            | PS_MIO33_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C12        |             |            | PS_MIO31_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C13        |             |            | PS_MIO53_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C14        |             |            | PS_MIO37_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| C15        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| D1         |             |            | PS_DDR_DQ9_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D2         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| D3         |             |            | PS_DDR_DM1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D4         |             |            | PS_DDR_DQ0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D5         |             | Dedicated  | INIT_B_0                | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D6         |             |            | PS_MIO10_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D7         |             |            | VCCO_MIO0_500           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| D8         |             |            | PS_MIO0_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D9         |             |            | PS_MIO7_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D10        |             |            | PS_MIO15_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D11        |             |            | PS_MIO29_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D12        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| D13        |             |            | PS_MIO49_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D14        |             |            | PS_MIO39_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| D15        |             |            | PS_MIO34_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E1         |             |            | PS_DDR_DQ8_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E2         |             |            | PS_DDR_DQ10_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E3         |             |            | PS_DDR_DQ11_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E4         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| E5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| E6         |             | Dedicated  | VCCBATT_0               | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E7         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| E8         |             |            | RSVDGND                 | GND         |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| E10        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E11        |             | High Range | IO_L2P_T0_AD8P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E12        |             | High Range | IO_L2N_T0_AD8N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E13        |             | High Range | IO_L1N_T0_AD0N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| E14        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| E15        |             |            | PS_MIO32_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F1         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| F2         |             |            | PS_DDR_DQS_N1_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F3         |             |            | PS_DDR_DQ12_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F4         |             |            | PS_DDR_VREF0_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F5         |             |            | VCCPLL                  | PSS VCCPLL  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F6         |             | Dedicated  | CFGBVS_0                | Config      |             |       0 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
-| F7         |             | Dedicated  | VCCADC_0                | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F8         |             | Dedicated  | GNDADC_0                | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F9         |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| F11        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
-| F12        |             | High Range | IO_L1P_T0_AD0P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F13        |             | High Range | IO_L3P_T0_DQS_AD1P_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F14        |             | High Range | IO_L3N_T0_DQS_AD1N_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| F15        | btnU        | High Range | IO_L5N_T0_AD9N_35       | INPUT       | LVCMOS12    |      35 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
-| G1         |             |            | PS_DDR_DQ13_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G2         |             |            | PS_DDR_DQS_P1_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G3         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| G4         |             |            | RSVDVCC1                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| G6         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G7         |             | Dedicated  | VP_0                    | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G8         |             | Dedicated  | VREFN_0                 | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G9         |             | Dedicated  | TCK_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G10        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G11        |             | High Range | IO_L1P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G12        |             | High Range | IO_L2P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| G14        |             | High Range | IO_L3P_T0_DQS_PUDC_B_34 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| G15        |             | High Range | IO_L5P_T0_AD9P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H1         |             |            | PS_DDR_DQ14_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H2         |             |            | PS_DDR_DQ15_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H3         |             |            | PS_DDR_VRP_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H4         |             |            | RSVDVCC3                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H5         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H6         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| H7         |             | Dedicated  | VREFP_0                 | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H8         |             | Dedicated  | VN_0                    | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H9         |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| H10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| H11        |             | High Range | IO_L6P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H12        |             | High Range | IO_L1N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H13        |             | High Range | IO_L2N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H14        |             | High Range | IO_L3N_T0_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| H15        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
-| J1         |             |            | PS_DDR_A10_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J2         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| J3         |             |            | PS_DDR_VRN_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J4         |             |            | RSVDVCC2                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| J6         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J7         |             | Dedicated  | DXP_0                   | Temp Sensor |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J8         |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| J9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| J10        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J11        |             | High Range | IO_L6N_T0_VREF_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J12        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| J13        |             | High Range | IO_L5P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J14        |             | High Range | IO_L5N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| J15        |             | High Range | IO_L4P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K1         |             |            | PS_DDR_A14_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K2         |             |            | PS_DDR_A13_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K3         |             |            | PS_DDR_ODT_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K4         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| K5         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K6         |             | Dedicated  | PROGRAM_B_0             | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K7         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| K8         |             | Dedicated  | VCCO_0                  | VCCO        |             |       0 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
-| K9         |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| K10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| K11        | clk         | High Range | IO_L11P_T1_SRCC_34      | INPUT       | LVCMOS18*   |      34 |            |      |                     |                 NONE |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| K12        |             | High Range | IO_L11N_T1_SRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K13        |             | High Range | IO_L10P_T1_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| K14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| K15        |             | High Range | IO_L4N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L1         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| L2         |             |            | PS_DDR_A11_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L3         |             |            | PS_DDR_CKE_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L4         |             |            | PS_DDR_DRST_B_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| L6         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L7         |             | Dedicated  | TDI_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L8         |             | Dedicated  | TDO_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L9         |             | Dedicated  | TMS_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L10        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L11        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| L12        |             | High Range | IO_L12P_T1_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L13        |             | High Range | IO_L10N_T1_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L14        |             | High Range | IO_L9P_T1_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| L15        |             | High Range | IO_L8P_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M1         |             |            | PS_DDR_A2_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M2         |             |            | PS_DDR_A12_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M3         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| M4         |             |            | PS_DDR_A3_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M5         |             |            | PS_DDR_A7_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M6         |             |            | PS_DDR_BA0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M7         |             | Dedicated  | DONE_0                  | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| M9         |             | High Range | IO_L19P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M10        | led[5]      | High Range | IO_L21P_T3_DQS_34       | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| M11        | led[4]      | High Range | IO_L21N_T3_DQS_34       | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| M12        |             | High Range | IO_L12N_T1_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M13        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| M14        |             | High Range | IO_L9N_T1_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| M15        |             | High Range | IO_L8N_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N1         |             |            | PS_DDR_A1_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N2         |             |            | PS_DDR_CKN_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N3         |             |            | PS_DDR_CKP_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N4         |             |            | PS_DDR_A9_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| N6         |             |            | PS_DDR_BA2_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N7         | led[3]      | High Range | IO_L22P_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| N8         | led[2]      | High Range | IO_L22N_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| N9         |             | High Range | IO_L19N_T3_VREF_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N10        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| N11        |             | High Range | IO_L13P_T2_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N12        |             | High Range | IO_L13N_T2_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N13        |             | High Range | IO_L7P_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N14        |             | High Range | IO_L7N_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| N15        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| P1         |             |            | PS_DDR_A0_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P2         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| P3         |             |            | PS_DDR_A4_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P4         |             |            | PS_DDR_A5_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P5         |             |            | PS_DDR_A6_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P6         |             |            | PS_DDR_A8_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P7         |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| P8         | led[1]      | High Range | IO_L23P_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| P9         | led[0]      | High Range | IO_L23N_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| P10        | btnD        | High Range | IO_L24P_T3_34           | INPUT       | LVCMOS18*   |      34 |            |      |                     |                 NONE |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| P11        |             | High Range | IO_L16P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P12        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| P13        |             | High Range | IO_L18P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P14        |             | High Range | IO_L18N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| P15        |             | High Range | IO_L15P_T2_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R1         |             |            | PS_DDR_BA1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R2         |             |            | PS_DDR_CS_B_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R3         |             |            | PS_DDR_WE_B_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R4         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
-| R5         |             |            | PS_DDR_CAS_B_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R6         |             |            | PS_DDR_RAS_B_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R7         | led[7]      | High Range | IO_L20P_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| R8         | led[6]      | High Range | IO_L20N_T3_34           | OUTPUT      | LVCMOS18*   |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| R9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
-| R10        | btnC        | High Range | IO_L24N_T3_34           | INPUT       | LVCMOS18*   |      34 |            |      |                     |                 NONE |         | UNFIXED    |           |          |      | NONE             |              |                   |              |
-| R11        |             | High Range | IO_L16N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R12        |             | High Range | IO_L17P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R13        |             | High Range | IO_L17N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-| R14        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
-| R15        |             | High Range | IO_L15N_T2_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
-+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| Pin Number | Signal Name | Bank Type  | Pin Name                     | Use           | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization |
++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| A1         |             | High Range | IO_L1N_T0_AD4N_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A2         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A3         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A4         |             |            | MGTPTXN0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A6         |             |            | MGTPTXN2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A8         |             |            | MGTPRXN0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A10        |             |            | MGTPRXN2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A13        |             | High Range | IO_L10P_T1_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A14        |             | High Range | IO_L10N_T1_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A15        |             | High Range | IO_L9P_T1_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A16        |             | High Range | IO_L9N_T1_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A17        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| A18        |             | High Range | IO_L17P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A19        |             | High Range | IO_L17N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A20        |             | High Range | IO_L16N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A21        |             | High Range | IO_L21N_T3_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A22        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA1        |             | High Range | IO_L7P_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA2        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA3        |             | High Range | IO_L9N_T1_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA4        |             | High Range | IO_L11N_T1_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA5        |             | High Range | IO_L10P_T1_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA6        |             | High Range | IO_L18N_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA7        |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AA8        |             | High Range | IO_L22P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA9        |             | High Range | IO_L8P_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA10       |             | High Range | IO_L9P_T1_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA11       |             | High Range | IO_L9N_T1_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA12       |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA13       |             | High Range | IO_L3P_T0_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA14       |             | High Range | IO_L5N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA15       |             | High Range | IO_L4P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA16       |             | High Range | IO_L1N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA17       |             | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| AA18       |             | High Range | IO_L17P_T2_A14_D30_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA19       |             | High Range | IO_L15P_T2_DQS_RDWR_B_14     | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA20       |             | High Range | IO_L8P_T1_D11_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA21       |             | High Range | IO_L8N_T1_D12_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA22       |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB1        |             | High Range | IO_L7N_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB2        |             | High Range | IO_L8N_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB3        |             | High Range | IO_L8P_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB4        |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AB5        |             | High Range | IO_L10N_T1_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB6        |             | High Range | IO_L20N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB7        |             | High Range | IO_L20P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB8        |             | High Range | IO_L22N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB9        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB10       |             | High Range | IO_L8N_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB11       |             | High Range | IO_L7P_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB12       |             | High Range | IO_L7N_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB13       |             | High Range | IO_L3N_T0_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB14       |             | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| AB15       |             | High Range | IO_L4N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB16       |             | High Range | IO_L2P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB17       |             | High Range | IO_L2N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB18       |             | High Range | IO_L17N_T2_A13_D29_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB19       |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB20       |             | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB21       |             | High Range | IO_L10P_T1_D14_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB22       |             | High Range | IO_L10N_T1_D15_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B1         |             | High Range | IO_L1P_T0_AD4P_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B2         |             | High Range | IO_L2N_T0_AD12N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B3         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B4         |             |            | MGTPTXP0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B5         |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B6         |             |            | MGTPTXP2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B7         |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B8         |             |            | MGTPRXP0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B9         |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B10        |             |            | MGTPRXP2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B11        |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B13        |             | High Range | IO_L8N_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B14        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| B15        |             | High Range | IO_L7P_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B16        |             | High Range | IO_L7N_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B17        |             | High Range | IO_L11P_T1_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B18        |             | High Range | IO_L11N_T1_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B19        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B20        |             | High Range | IO_L16P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B21        |             | High Range | IO_L21P_T3_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B22        | btnC        | High Range | IO_L20N_T3_16                | INPUT         | LVCMOS12    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C1         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| C2         |             | High Range | IO_L2P_T0_AD12P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C3         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C4         |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C5         |             |            | MGTPTXN1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C6         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C7         |             |            | MGTPTXN3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C8         |             |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C9         |             |            | MGTPRXN3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C10        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C11        |             |            | MGTPRXN1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C13        |             | High Range | IO_L8P_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C14        |             | High Range | IO_L3P_T0_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C15        |             | High Range | IO_L3N_T0_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C16        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C17        |             | High Range | IO_L12N_T1_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C18        |             | High Range | IO_L13P_T2_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C19        |             | High Range | IO_L13N_T2_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C20        |             | High Range | IO_L19N_T3_VREF_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C21        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| C22        |             | High Range | IO_L20P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D1         |             | High Range | IO_L3N_T0_DQS_AD5N_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D2         |             | High Range | IO_L4N_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D3         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D4         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D5         |             |            | MGTPTXP1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D6         |             |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D7         |             |            | MGTPTXP3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D9         |             |            | MGTPRXP3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D10        |             |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D11        |             |            | MGTPRXP1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D13        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D14        |             | High Range | IO_L6P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D15        |             | High Range | IO_L6N_T0_VREF_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D16        |             | High Range | IO_L5N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D17        |             | High Range | IO_L12P_T1_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D18        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| D19        |             | High Range | IO_L14N_T2_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D20        |             | High Range | IO_L19P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D21        |             | High Range | IO_L23N_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D22        | btnD        | High Range | IO_L22N_T3_16                | INPUT         | LVCMOS12    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| E1         |             | High Range | IO_L3P_T0_DQS_AD5P_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E2         |             | High Range | IO_L4P_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E3         |             | High Range | IO_L6N_T0_VREF_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E4         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E6         |             |            | MGTREFCLK0N_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E8         |             |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E10        |             |            | MGTREFCLK1N_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E12        |             | Dedicated  | VCCBATT_0                    | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E13        |             | High Range | IO_L4P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E14        |             | High Range | IO_L4N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E15        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| E16        |             | High Range | IO_L5P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E17        |             | High Range | IO_L2N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E18        |             | High Range | IO_L15N_T2_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E19        |             | High Range | IO_L14P_T2_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E20        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E21        |             | High Range | IO_L23P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E22        |             | High Range | IO_L22P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F1         |             | High Range | IO_L5N_T0_AD13N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F2         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| F3         |             | High Range | IO_L6P_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F4         |             | High Range | IO_0_35                      | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F6         |             |            | MGTREFCLK0P_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F7         |             |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F8         |             |            | MGTRREF_216                  | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F9         |             |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F10        |             |            | MGTREFCLK1P_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F12        |             | Dedicated  | VCCO_0                       | VCCO          |             |       0 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| F13        |             | High Range | IO_L1P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F14        |             | High Range | IO_L1N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F15        | btnU        | High Range | IO_0_16                      | INPUT         | LVCMOS12    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| F16        |             | High Range | IO_L2P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F17        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F18        |             | High Range | IO_L15P_T2_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F19        |             | High Range | IO_L18P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F20        |             | High Range | IO_L18N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F21        |             | High Range | IO_25_16                     | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F22        |             | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    1.20 |            |           |          |      |                  |              |                   |              |
+| G1         |             | High Range | IO_L5P_T0_AD13P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G2         |             | High Range | IO_L8N_T1_AD14N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G3         |             | High Range | IO_L11N_T1_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G4         |             | High Range | IO_L12N_T1_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G6         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G10        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G11        |             | Dedicated  | DONE_0                       | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G13        |             | High Range | IO_L1N_T0_AD0N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G14        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G15        |             | High Range | IO_L2P_T0_AD8P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G16        |             | High Range | IO_L2N_T0_AD8N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G17        |             | High Range | IO_L4P_T0_15                 | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G18        |             | High Range | IO_L4N_T0_15                 | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G19        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| G20        |             | High Range | IO_L8N_T1_AD10N_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G21        |             | High Range | IO_L24P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G22        |             | High Range | IO_L24N_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H1         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H2         |             | High Range | IO_L8P_T1_AD14P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H3         |             | High Range | IO_L11P_T1_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H4         |             | High Range | IO_L12P_T1_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H5         |             | High Range | IO_L10N_T1_AD15N_35          | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H6         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| H7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H8         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H10        |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H12        |             |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| H13        |             | High Range | IO_L1P_T0_AD0P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H14        |             | High Range | IO_L3N_T0_DQS_AD1N_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H15        |             | High Range | IO_L5N_T0_AD9N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H16        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| H17        |             | High Range | IO_L6P_T0_15                 | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H18        |             | High Range | IO_L6N_T0_VREF_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H19        |             | High Range | IO_L12N_T1_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H20        |             | High Range | IO_L8P_T1_AD10P_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H21        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H22        |             | High Range | IO_L7N_T1_AD2N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J1         |             | High Range | IO_L7N_T1_AD6N_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J2         |             | High Range | IO_L9N_T1_DQS_AD7N_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J3         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| J4         |             | High Range | IO_L13N_T2_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J5         |             | High Range | IO_L10P_T1_AD15P_35          | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J6         |             | High Range | IO_L17N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J7         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J9         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J10        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J11        |             |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J13        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| J14        |             | High Range | IO_L3P_T0_DQS_AD1P_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J15        |             | High Range | IO_L5P_T0_AD9P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J16        |             | High Range | IO_0_15                      | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J17        |             | High Range | IO_L21N_T3_DQS_A18_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J18        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J19        |             | High Range | IO_L12P_T1_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J20        |             | High Range | IO_L11P_T1_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J21        |             | High Range | IO_L11N_T1_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J22        |             | High Range | IO_L7P_T1_AD2P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K1         |             | High Range | IO_L7P_T1_AD6P_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K2         |             | High Range | IO_L9P_T1_DQS_AD7P_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K3         |             | High Range | IO_L14N_T2_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K4         |             | High Range | IO_L13P_T2_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K6         |             | High Range | IO_L17P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K8         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K9         |             | Dedicated  | GNDADC_0                     | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K10        |             | Dedicated  | VCCADC_0                     | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K12        |             |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| K13        |             | High Range | IO_L19P_T3_A22_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K14        |             | High Range | IO_L19N_T3_A21_VREF_15       | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K15        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K16        |             | High Range | IO_L23N_T3_FWE_B_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K17        |             | High Range | IO_L21P_T3_DQS_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K18        |             | High Range | IO_L13P_T2_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K19        |             | High Range | IO_L13N_T2_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K20        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| K21        |             | High Range | IO_L9P_T1_DQS_AD3P_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K22        |             | High Range | IO_L9N_T1_DQS_AD3N_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L1         |             | High Range | IO_L15N_T2_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L2         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L3         |             | High Range | IO_L14P_T2_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L4         |             | High Range | IO_L18N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L5         |             | High Range | IO_L18P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L6         |             | High Range | IO_25_35                     | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L7         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L9         |             | Dedicated  | VREFN_0                      | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L10        |             | Dedicated  | VP_0                         | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L11        |             |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L12        |             | Dedicated  | CCLK_0                       | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L13        |             | High Range | IO_L20N_T3_A19_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L14        |             | High Range | IO_L22P_T3_A17_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L15        |             | High Range | IO_L22N_T3_A16_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L16        |             | High Range | IO_L23P_T3_FOE_B_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L17        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| L18        |             | High Range | IO_L16N_T2_A27_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L19        |             | High Range | IO_L14P_T2_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L20        |             | High Range | IO_L14N_T2_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L21        |             | High Range | IO_L10N_T1_AD11N_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L22        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M1         |             | High Range | IO_L15P_T2_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M2         |             | High Range | IO_L16N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M3         |             | High Range | IO_L16P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M4         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| M5         |             | High Range | IO_L23N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M6         |             | High Range | IO_L23P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M8         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M9         |             | Dedicated  | VN_0                         | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M10        |             | Dedicated  | VREFP_0                      | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M12        |             |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| M13        |             | High Range | IO_L20P_T3_A20_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M14        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| M15        |             | High Range | IO_L24P_T3_RS1_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M16        |             | High Range | IO_L24N_T3_RS0_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M17        |             | High Range | IO_25_15                     | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M18        |             | High Range | IO_L16P_T2_A28_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M19        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M20        |             | High Range | IO_L18N_T2_A23_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M21        |             | High Range | IO_L10P_T1_AD11P_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M22        |             | High Range | IO_L15N_T2_DQS_ADV_B_15      | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N1         |             | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| N2         |             | High Range | IO_L22N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N3         |             | High Range | IO_L19N_T3_VREF_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N4         |             | High Range | IO_L19P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N5         |             | High Range | IO_L24N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N6         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N7         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N9         |             | Dedicated  | DXN_0                        | Temp Sensor   |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N10        |             | Dedicated  | DXP_0                        | Temp Sensor   |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N11        |             |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N12        |             | Dedicated  | PROGRAM_B_0                  | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N13        |             | High Range | IO_L23P_T3_A03_D19_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N14        |             | High Range | IO_L23N_T3_A02_D18_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N15        |             | High Range | IO_25_14                     | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N16        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N17        |             | High Range | IO_L21P_T3_DQS_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N18        |             | High Range | IO_L17P_T2_A26_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N19        |             | High Range | IO_L17N_T2_A25_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N20        |             | High Range | IO_L18P_T2_A24_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N21        |             | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| N22        |             | High Range | IO_L15P_T2_DQS_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P1         |             | High Range | IO_L20N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P2         |             | High Range | IO_L22P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P3         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P4         |             | High Range | IO_L21N_T3_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P5         |             | High Range | IO_L21P_T3_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P6         |             | High Range | IO_L24P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P8         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P10        |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P12        |             |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| P13        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P14        |             | High Range | IO_L19P_T3_A10_D26_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P15        |             | High Range | IO_L22P_T3_A05_D21_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P16        |             | High Range | IO_L24P_T3_A01_D17_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P17        |             | High Range | IO_L21N_T3_DQS_A06_D22_14    | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P18        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| P19        |             | High Range | IO_L5P_T0_D06_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P20        |             | High Range | IO_0_14                      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P21        |             | High Range | IO_L2P_T0_D02_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P22        |             | High Range | IO_L1P_T0_D00_MOSI_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R1         |             | High Range | IO_L20P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R2         |             | High Range | IO_L3N_T0_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R3         |             | High Range | IO_L3P_T0_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R4         | clk         | High Range | IO_L13P_T2_MRCC_34           | INPUT         | LVCMOS33    |      34 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| R5         |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| R6         |             | High Range | IO_L17P_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R7         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R9         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R10        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R11        |             |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| R12        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R13        |             | Dedicated  | TDI_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R14        |             | High Range | IO_L19N_T3_A09_D25_VREF_14   | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R15        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| R16        |             | High Range | IO_L22N_T3_A04_D20_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R17        |             | High Range | IO_L24N_T3_A00_D16_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R18        |             | High Range | IO_L20P_T3_A08_D24_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R19        |             | High Range | IO_L5N_T0_D07_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R20        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R21        |             | High Range | IO_L2N_T0_D03_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R22        |             | High Range | IO_L1N_T0_D01_DIN_14         | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T1         |             | High Range | IO_L1P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T2         |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| T3         |             | High Range | IO_0_34                      | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T4         |             | High Range | IO_L13N_T2_MRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T5         |             | High Range | IO_L14P_T2_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T6         |             | High Range | IO_L17N_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T7         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T8         |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T9         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T10        |             |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T12        |             | Dedicated  | VCCO_0                       | VCCO          |             |       0 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| T13        |             | Dedicated  | TMS_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T14        | led[0]      | High Range | IO_L15P_T2_DQS_13            | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T15        | led[1]      | High Range | IO_L15N_T2_DQS_13            | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T16        | led[2]      | High Range | IO_L17P_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T17        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T18        |             | High Range | IO_L20N_T3_A07_D23_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T19        |             | High Range | IO_L6P_T0_FCS_B_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T20        |             | High Range | IO_L6N_T0_D08_VREF_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T21        |             | High Range | IO_L4P_T0_D04_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T22        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| U1         |             | High Range | IO_L1N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U2         |             | High Range | IO_L2P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U3         |             | High Range | IO_L6P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U4         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U5         |             | High Range | IO_L14N_T2_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U6         |             | High Range | IO_L16P_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U7         |             | High Range | IO_25_34                     | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U8         |             | Dedicated  | CFGBVS_0                     | Config        |             |       0 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| U9         |             | Dedicated  | M2_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U10        |             | Dedicated  | M1_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U11        |             | Dedicated  | M0_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U12        |             | Dedicated  | INIT_B_0                     | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U13        |             | Dedicated  | TDO_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U14        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U15        |             | High Range | IO_L14P_T2_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U16        | led[3]      | High Range | IO_L17N_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U17        |             | High Range | IO_L18P_T2_A12_D28_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U18        |             | High Range | IO_L18N_T2_A11_D27_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U19        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| U20        |             | High Range | IO_L11P_T1_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U21        |             | High Range | IO_L4N_T0_D05_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U22        |             | High Range | IO_L3P_T0_DQS_PUDC_B_14      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V1         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V2         |             | High Range | IO_L2N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V3         |             | High Range | IO_L6N_T0_VREF_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V4         |             | High Range | IO_L12P_T1_MRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V5         |             | High Range | IO_L16N_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V6         |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| V7         |             | High Range | IO_L19P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V8         |             | High Range | IO_L21N_T3_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V9         |             | High Range | IO_L21P_T3_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V10        |             | High Range | IO_L10P_T1_13                | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V11        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V12        |             | Dedicated  | TCK_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V13        |             | High Range | IO_L13P_T2_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V14        |             | High Range | IO_L13N_T2_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V15        | led[4]      | High Range | IO_L14N_T2_SRCC_13           | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V16        |             | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| V17        |             | High Range | IO_L16P_T2_CSI_B_14          | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V18        |             | High Range | IO_L14P_T2_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V19        |             | High Range | IO_L14N_T2_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V20        |             | High Range | IO_L11N_T1_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V21        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V22        |             | High Range | IO_L3N_T0_DQS_EMCCLK_14      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W1         |             | High Range | IO_L5P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W2         |             | High Range | IO_L4P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W3         |             | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| W4         |             | High Range | IO_L12N_T1_MRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W5         |             | High Range | IO_L15N_T2_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W6         |             | High Range | IO_L15P_T2_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W7         |             | High Range | IO_L19N_T3_VREF_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W8         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W9         |             | High Range | IO_L24P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W10        |             | High Range | IO_L10N_T1_13                | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W11        |             | High Range | IO_L12P_T1_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W12        |             | High Range | IO_L12N_T1_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W13        |             | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| W14        |             | High Range | IO_L6P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W15        | led[6]      | High Range | IO_L16P_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W16        | led[5]      | High Range | IO_L16N_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W17        |             | High Range | IO_L16N_T2_A15_D31_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W18        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W19        |             | High Range | IO_L12P_T1_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W20        |             | High Range | IO_L12N_T1_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W21        |             | High Range | IO_L7P_T1_D09_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W22        |             | High Range | IO_L7N_T1_D10_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y1         |             | High Range | IO_L5N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y2         |             | High Range | IO_L4N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y3         |             | High Range | IO_L9P_T1_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y4         |             | High Range | IO_L11P_T1_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y5         |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y6         |             | High Range | IO_L18P_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y7         |             | High Range | IO_L23N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y8         |             | High Range | IO_L23P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y9         |             | High Range | IO_L24N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y10        |             | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| Y11        |             | High Range | IO_L11P_T1_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y12        |             | High Range | IO_L11N_T1_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y13        | led[7]      | High Range | IO_L5P_T0_13                 | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y14        |             | High Range | IO_L6N_T0_VREF_13            | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y15        |             |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y16        |             | High Range | IO_L1P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y17        |             | High Range | IO_0_13                      | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y18        |             | High Range | IO_L13P_T2_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y19        |             | High Range | IO_L13N_T2_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y20        |             | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| Y21        |             | High Range | IO_L9P_T1_DQS_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y22        |             | High Range | IO_L9N_T1_DQS_D13_14         | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
 * Default value
 ** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements.
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt
index d71bb8c..1646f3a 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:37:00 2024
+| Date         : Thu Nov 28 16:32:36 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx
 | Design       : design_1_wrapper
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Fully Routed
 --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp
index 6d18e1f777d048584ab936361bc020e1ac37847e..b7fad007160f2be4db7032e85b3d10b13da99c1a 100644
GIT binary patch
delta 184639
zcmbQ=FF0d6cYT02Gm8iV0|N&GV@pk>>aH~og-i?#E}RSu><pX?Dai$T6}dT~A*>9{
zpL#sfk6!aEo^2q)@Symww#l4Z&KzoekE`aSFg%<w?I?4_Nl#^mzD$Fp8$SPP3wzX0
zI?DaLB)8+kJUd(6{De<8-UtOu-qVp;rT@j%v!dpO?-pIo_<Cch<*E_$k~ZluX!~W>
z>3bY<et+8~Rzl6?+o7OR@f#KH?^<vFD=?6{$928^M@izW7q%<AtF#w{6$&-#@m>)5
zaht0`h53Ql7xu@qA4bSAa{qFe_T=EinY;O7GV0QG9!t54Txs8NLp=3Et;G(mN1xoM
z_k7-TI_HqJj(1Qbi+*$c#RseIi@Fv>K0Ttmd`rK$hj&5Xk%xvCuU-E)IdAdOcU4_C
zRlSeT@G6w6ou$<k|EOh2>YTPDkMhS;O!8S*J?^+rcx{>I<87B72h2}f?zp5QKIn@3
zZ<%z-ll_Gf;uanqK~t|3T*=y;x&8m^Cw`$;(!I-6GyOi73v#zxntJ+9dRJe&{h8z(
z^&NLE)O<Isza_@|XZxECYj<VOI4At+Ppg&zmy2s8Ytr3&Oj18T?yfIa?q9Nde$n<F
z=O6IQvbkmE_2a<KM_Siz8O@B_eX&A)+x4ISE3#K8#ulDUSMw;Cx2Mxrq^Mcvl@iO!
zzDG`CtxbnxpRw<qX>>huMnq1b+m8iX&(?>`n$mw^p<$|$%B>HYpKoqv4`unfbm7Yr
zVLE&FZtpuCm*Vnj%Z?NCW_KO?wn<}$NAcX&^VdFcn_9#wulT_w++%jObxE4lt@ocZ
zX6%XUxb<sQZO!EmHS?UkF1LK2aP8GjKkgmYkIxi!3w>5>Tf5U|>EAt1YI?YDZhaz{
zuDjpnxSL_R$`!9i^P7swUUO}lU3&iZn$4nDZk{QVpIFb}yUzY!zg*Sc{U~X4ayOGM
zC@pT@&7{Q0%-B*h`2n*5SU{M?j8PDjiqkD@r=DeCU^v0Rz#uifP=ZBxaxN=>JtzS)
zo?tNlACXfLW@zE+qyPej2Ie42K_SWD+20cjk~Y*gG(X<F%)wwwhs$w|{KPd!d)Ck9
zE2yv8yGz|Nc1GjjiM@N}XDkTGmlx%Ewy{2yHTs0meFN#KALeozoHm!<ooIfm$vEiW
zN~Xeki%UA1l`LfyOD0cAW84uxci#@Jg?C(+Y}7dU#m=?Qg4?ap{-<|%!ruSqSHF^b
z$A7SKMXvdSg^eX&vO*m;PZt$aUwhi)$V|qyzXXd^(hsWa?v=0kGRbvq$2$Hg9exx4
z99x1KFz#%SaHwL_;@|^?!)~h|7fy&VFoe9EK2ecHyuKnO8J?5(&dtxiZL#gx{rAfi
zCe#*+&oG-L^W0zm9rw|$$tD{gm&&9!A9N_l*;+XJMwEBvWzA>1<0l2>Oq!%^Co_kk
zd+xy*hCe?m{*;lM)E+tM_{*%BYODXS@0Kfj^zP?V&zgJh<2KH}?Em@rlf&olwm%I%
z^#6VFyp;N%?-I8Dne^mlUi!~Hry|M=q~68(y_^2;W1fBG&xw1b&!?aK6q`Tk>B(1r
zPv`s+k3PA+@_$|V-~GQP<=Fjxu=%R@t|*^*llcG3@U&h0`C)qfC-L94zxG6_cH7KT
zz4_<!qyG97t$=N_j(tA5T=n<N{~nY1|94vXm>o;+|J?e+{nMm+z3`vypZ`5bJF@BI
z_9cI=hZ@bSm6+EO=*w$uZJqPQ;QW(t!++;Ff6vjGboI$Z&mRBNqNnAB)MlSanS9gW
z=eK#S0gsu!AJe^Ad@Op}=ATXGdZJ>ppUE${6@6~&{^axBFF(EP{9pdlxMTh48zm>b
z(_R)C&epfv9J8(9*rlIJ&9k=Fe>b0X^uO@?@J+t1PybXKeY5{#?xl8_{pa8P8&9g=
z+q%QHa`KVm|C1(b=KsoGeDwaa(^G8K?oV=8+c!r#wkBJxF6(3F&t&I-Ty>2VUA4co
z_~xv7Fzuy{-tzdHTmKj?l;WS8btS99e71k_w_<@Zy+fBLR}|b!KWtl8S8B`kJJ6!O
z=f=|=mv`^V+xkO%tu^axySk%lvy_)ld*}0Wd8Ls5Q~ob`Mzc4TZ{kk3H~J^P|5(kB
z4dr_nKR@mHd9V6>^80<C*FO`!ApHB~#&7qtx4gI9Dz*A>DOcLvxc1(#$*yL;&+h-2
zFx6g2{@mW@I_cm4n0pl&Chhl?oBwphpJUG-O78w&f3WK0{CYpJJw;}7*QD*=_-VI%
z{hoiF_wUuqZ;5>W=6rpk&N^eW*ZQBII4{&}UE8|iiRJvM6_YEw)z*|-NzE&<o-Uqr
z>PXa6i^<n{g_reBo?N@^>-za`N=!N`g&*7fe%=57sMJe~_2y=qc5j`?yk}zG=P%a+
z|5*C}obhE#;GX|=_2*@duKnKl{G~uPo5Vq1_F47Mh3z6G>`mks7{1@O&h}8CpH%d%
zzop)?yIN=S<h~X2pI>}Wx28RBVc`LZJSo<zf_oYlv7GBFsuW5*m+`>t;q}tMt?hk(
zr1(`X9R2e=+35G(-;ecuE;5_zX5<yzUU$&$PJ(%CM&5^gvCYgo4(8QMUA*!@`ZnA4
z2W-1For0T>Z;MUh&)YEDz{K>&wQu5ihk45`w?AWx{&(niLG#@y3sxR1zazXg>jUGh
z2iK%ec%AkQ+hBF)ON;mQkHz!!4+eO3yYckx)CxQEhJDt|_n%$aO$>VlT*`WT4;<Uo
z>9G0zt(E!fUY`FXX3u3l?d_cSqtojf%{$!J&N!cc{c`(%;rWRMc}y31gs<+j+-k6z
z_l#ZoN0Diw$D9_+-rcow$F1(X194B5NuRa<^HOegX5Q^T50}3z-sAOSyRMo2e)%tt
zC(3_so9lO`dZ)pmWfE@B)r<mUtMeCdSLf|I@chl|_n&KiO-PvhZQf!Rld>*hyRBEg
zbe*a%IJ56_YP-=+mRC=d?2lC3aal2Q`RVJ8(`^2=sb^?w*mXQo3p}f_{F_E&)-2n@
zCX6SXmnJR$7Q1%o$1kPx#7;P?rlkLC)IC4%P_S2|VfU%?1~TTl*~fmKs895s^Nr12
zHM3bI#HsvXxXd(_-(87+lr0MmDFn>;=BQ>~nVt6PW_|fK=GwUE#WD#RZ_NWPZkyee
zRBGY%oNxCoo6ZQ6^OugF<X_{ZRk~X=IAg2y2i3V3d8gGl%AQ{~`&_Mp?2Z%9AD8-<
zb!=ie=Biq1?(}NS&K<XRG77(XaCGAJYX`y>)L&gDv^Tm#XSQ8kXpY$gv(J4dCV_0p
zi-itYuP{&BymQl{dJC;zkN2;yoS*Zu#<*blX5Xb9%?Fr&?7Qn<6e=dF@=>tOMYT8i
zM9TfN&AmsXjygORvwm56By6&q#q7j}JS)zt8~?L0ZF{el5EFB5(LGD%>R<a7Pcbd)
zHpsbM+TDKhvQ6CHBbQw+ip9Ik`m-bS3;R+IHg~mI)jsXgUl+Zeu{pQCwfc`#K6jl&
z&mt8!Pt}FV;-^BEPI0^AHZy5TNRgD>@6zrQ3xtkV<^FcIGMuZh&nn<$1!qsMkDo8M
z8L!hjPK|G$m;POs{pR@T#FmgIDY@p$K4!sdo>yP0QM<!qB0qHtU-W{XpQgLaOpP+q
zQFwBdLFA}`lX-5g8jo`$hmRq5y>8l$!k;`&fjmk!1;O9a4q0Dr^KDt_7h&-x)G3fh
zx#WxXEY8;d?E-pNEHZcAT6Ke;XQo`hPs8mRv8yhhG>q6N>*fCRL{H$ZRym1v+hrx>
z>=qOy<OS>sS-yI^tk?5rI}%EFSPDeQ_6fzU^PYD8M%C8_uaLt57OvYC&6>?E9AEF{
zcT`>LRANiWqAKM_&e!h7ZMhRMH^l90wq(cq30^_&dNr?hEiqabe>$Nir0G<+O6hLi
z=rEO?5&2ixLa&5+268=KJn4$`Wz{UHM(y0lpf_cb&xBnKtc*O5zPzG(a-Yflii%5X
zm6~2pa@LC7>&(93-PY}|pNZc{wlBGSHEmaP{S?3NH*S~S75;XO|E|d1nSK6x5_8|J
z75~`R_12;O+l7nMGnR2XTHTG--{!8h&H3etnCZ#NSMIqiuYTSBbsO{Fo6cI>Rc*wK
z)g7QBFZ=Gy5nID&ew=aj;lMrRaplWzHvBgXQ=Pi#ljiBouOv2y+^G5VXHnH=*Y*0f
zic9NRj=8BWtvPh5KgBU;_K)lLpDq@<Y+$oV`pWES+ViRmmuctBm|y3jwp8(szUVgJ
zl=tsH1$JiM-`=xUTsJ&i@zIn3L*e!IhiBYT5m|lM_rwCLn)AN?KWt>|&Oh_M7J2T#
zhNkyBPkI;EOWb^?=DX};ikawdjh(x0Dupd;+$Ot1jHO;t_Ul^7pf8o)C#v7(^y(+=
zZ4{HzI^B>FVpX*}zu#<j$XoR=v&LQG3#TzluKe<a&EWpyQyb>Lncwy|MNy#7%O{_K
z+3sbIQihO5#G~X1r+nQGdfnN=v*w6z%#S%X6;bDIFzZiVbHiPSee(OACw+_8a~!p`
zoE`TubrGx9sk84-)W>I=>NDt-)*e5j7Zy@lE}FISifT<|k;bR*B3cE4FLpnhv~l63
z*kkblE4!oPkDTe+X})Wh<k7jWSO17$WYIVHv5qrMhvBbtw8K}gHhm^<N10!1Ex4{N
z53W@Fpx5j_ZOOB9-)^kUDOo#ze;d>Jv%gb3a}Qmpo1z(Ra>}*z2|HK)6-6#r)yXoa
zswS-5ZrXJ<?a6PhD}r24mrXJY_{QwLbKODqX(9h6oNo`zu5F9(nU&yjtNhGj=CDW0
z&L*h#1?5;U9FJJrr<px#_pykUh&8*8?X7zpl+&^;r2XG%Te-L2VlO{2DVDueyi(6W
z!EA%CUPb?*@-rus50)mJUuwyCG`HU9YS@b8$1}DqpZQWO;ZjL_i$V0Qy5%NfJZ?)T
zY(MZID0TlH<JD5!rI%!k8BC4uGWx7~HT`>9sP#dgkY5$-K4Pn0us@ozCQtp!(~>jF
zDF<12v_d~K3uaz^%VNB@mCt$^`ys7DpO?v9wd`xAdWpQxNp^@|_A4vCV*6{33)5FN
z*I(GJCYqJP<;<9Re979cM~z%k54rAhRqaUBP*bs1dwU^sBAfG8;Z?1=TDm;_Z)a|)
z;eIq{!>N|n){#m}6b<Hu)aqXkT{SmASLJlO3Db;_TK#5&RdW;6K&o3MZW(6&ihHsq
z=xs}uV&tzSA8yp$yZj~ACGYD;kn6tebG=rta^E)hQoYl^*z}<L2enqVd{~@cY4$fY
zX^z#MJ72k!_pQ+nE;*63LS>R_kQMWbFT#sw8=sxCZvBOaP5#rOU;4=0+P37fzpU8v
zi-%8Ke%t1y5<5+`!N*=V>W<2TlwD^NjI<WoY_)wDB;_)*$$Pf!D>LV(lXSjbTIsPj
z#WSpYd3XKfmuAf;SGasuPBFg9tLvB6esN-+afsDPA)~pBDTfreA~QED-%ZoF8T};v
z(|sk!$?xYae5oeWcVO3wFGf6nl~@1!U=#WDQQo6Zm6P?{Y}Xn0<h9R!o3zw~!%KB;
z^2V*HytDQn$+{)~^~O{$jqb*VJT9)VM`~#as(rgQ9rpXwUZ1kn<H9;e)7$s2{_eTD
zyTJ3`f#?~>zt5hxFlMP%|BZFrjU^$*cJdEPC52wUoOk6(c5C|51lz{W>ovz?+|CA+
ze_eOnY{kz@Us_C8{S-P<G<ka3n&>6!%ltd93H$2(wB&fEk=dJIzHK+pqKB(}WRo0!
zn{sUY$J4Ut(z(LFvzFeAs$V^QWmQ<vnx&_UPj#Ji0cC0Z{G)rB8T7&@{}NgLuVjPF
zZtK^<YRQ}C3xw-GdMH)wyKG`7lftaoHqi@O5A9{YuqxAq$He4|<BS$gm*DHOUH^$Q
z^Y#i%Seo!Cqm=#0w#6$?8*Ow=;4uwka8WL?dv)pi^~FzbiA1h37d7~3*jDd4tst|<
zr*EgF<t(wutPVz6{7?K;R&7?ltnO}F*m<noe`3kbX#ozp*H%0UF=4paIZ5a4rL{AB
z1RUP}m_EtxB7@6v?@c}4<r)jh#C%SgXD}?9{481}_S1c(*OT7QD_dzDx#3_g|C&B8
zkNj87v#-wkn7OIr@_T=;?E%a-uG=+E*EeM3Idz3Tn(>8W&5^*wvIrB2x$oVsHy3Yk
zS|$1aoY|-2`=4$;ad8P7_m$G9ja;$QnLe&~_FMXP$^A_aj~dS@oxIS={BxP{mFr60
zEG8@ZJ9D01{<5n^`tbKh8aJ*>{=K_A)r?*7?y?%`6Srn&Yp0yeTz4V6_{7(}lNKdx
z_-%6jLjAl~YL;gwm_ITUU<lg7_bcnfqm;xK>~lT_r02X%yRn+nE;4I@qQ?sPXVcj8
zoch0q-hZ+BgPwF`)dI)b2c_Bz>$dEEv*Hocl}1O7eTN=TUdkcmRHP`U6Ux!uoUpL`
z#O{~BieBteaC<RBZN<zD{O4Af9^dEO&+l?yqT|C<=i@4_^=Dg>Gi3Cxwp@Fv`|aG<
zpK0cww~BbUKfS<nWZGKOyIIRFh#nVK@}D1HAt=y$YUWGlw&={2C#J4WlaiU$aYx<z
zh)KhXO+UY<^ZNJMR66wD))#tTt2twn$qCP=XL%NKs(C-Z#h{ay-Fi<jJ!g9KYONI-
zd`&@>Cgm4At{6XGy)vsl#Ll8{pZ~NunH&#m<5vp%7S6hKIdz^m<Hb9FBsK_{hcm5G
zDe%8kQYL5ku|1(S<W|-0QlaFWg5t_b<Ak5DELWCH)Dc*n7MhXzpTBlrPG;^jU01^i
zQ@t{Of3@CYwx%j>@h7%~7auwA#4n#$q04@0SEqhN=WMp7sTN!p_<oy9)yHwk1aq5y
zpE&WY%Fp<9F&|BCmvlWk;<mkb??;o<Mha3_|JZM+E%P<2{uW<ryrRT(?~MN^cd}`C
zH}&K>T{vUmBDp&Kyz<5cw(n<mJm?E>n6@V~VRq=VtxvByznHlFo0)m5fz2izKW7pD
z-DVL{1%GEeUMA4-eA)H{)jTKmut&@4^&j>A=<rq7*5OWnuv^o&c>j*Ab7yRhw7D89
zTq?fhg;=Vjz}dk2QWxE}8ERe3d3v|)Q&9YgyPq^7$}1x|R2Bzaj=p`D=i&=>R^QvR
z?ibiDObCgxVyjwQxXS6I<W9xr{t%YF%>G#y-L?sD$SM1JBJ#;v=cTEf0zF<9?hff!
zzSpO8B|n^4zT&9<sU!*6NU!D62||W^fg+ZIPV;};9;|AO7h`^TCuQ@@Jf0hQQ$MT^
z{%|GPC)+HNqotaAXPM7-mas<}m#p8|9N09Ag>h=ey<5xPUX|Cln{rgAf8pZw46G?X
zJC0p*xz)VH^}*ArY&9R$3(mN(ggsgo3Mv6N9zIro`gqMJ%fyFoP8YNJajo0gBvtJ!
z(UlRp)I7xBzBB)q+05FTMLYM~{9iJKiE-t!FCQXW<OCgbljl!K5$5}9dg7CC@84Ut
zleOk#l<g^1UU)$JbVEkIqDo(7^8qgz)s*(q>IG2~pI*0I9k2hR@us|;t*`5mJKgh}
zUT*t-|1|gQs`@~IE4jDw+5Wy@+4Yq3hgHCvrIQ<Ug#FoBzG{nwvj5u06(z>%DEst0
z)0VQtiwvuxLTpPTnYp5_7`g@+zhF(ec)auZ(aUey?tZyb>M1bUt6X28dY@U{Y|GU%
zR+z37`H@^Jk*vKeKPouZENh0Vr@&?3-duxh@nidsg{)mEC$+9VY0<Tk&+M}d^*8!y
zPjbu@Pds%uQ}AAZVt0|k;>FgNPTXLY44xd=cRE03#Z`$^%Lx-t@xMwgUbDgf?ri=?
z8x1b^WvuDanHVI$eet=7TZQ|x+-FQY_4{Sw<-QI5)3`SCSa!v7U+#PG@I`~p&LH^|
zAKzn_`l>^%7xnaIPMamEZ&*K7<lDtd^<pa-4|%LeJv!-u;)er<=Yx$?`Iq?kdaaz;
zR5X{}N0&1wsM2Qdug(0?nmb#sTz==3VbXK#kwAB*|A!OR4%42k;-9okZKcdiBdfG1
z;j|^6E3)`k=cYdSw$k&5RM+FoWwHmG|28I1TAg-G?!=5)Jx2}q99VrzFm1`Fiu$Ur
z;W^ukihj$i>N#q==;79r8mAk3Lgf6<uiyKA+f+kS$)&RG*XyqK87NOZUd&a+e8<k6
zEBWIe(diR>lY+M@aCkobG^cUGO2(h7CePlpCCia#lFscLtSjWWKYqB%R`j{4Ok(S*
zV=nS1xlUZ_k3A6Ae@?SEvMB6rXK~O^+vyM9)E~}W@>WIEpSR`Fv}KvzCH9%~Pd(nb
z=Dz=}Q!{4uv<7um&srv%=w{T<KBs0!?Uo<yRU1y3s7Ns=dWV;BvN;3^2klyCI$;yY
z9iMB~><`%_I!W5Y`snIAy~5jre3KUi3T)Cz6IV#jDmoPyxt;Hz<jOzujAL}KYIJbi
z;GC|oqCU{eglm)k({D8_lEKXzORAfsrn*R;oHQr)z-xi{-EZUXh`hfy?}`gsjR~96
zv=48DK+$5Uv^zZ1D^h65l1~+W2RV$lPmubr@mYPAn~Sr2isgB~kC~x|r9(cZ2nzI{
zIzRD!?Cr;e^WTbFPoDkv3n-DEx;*jt?tnA7){{eh>I>2@8Gep3PGdh}W3qOo+?$IA
z-IEm5rmhy=nUH6FE%>O*TF<9%Pka}CV|aASN;Zd6e?56OXfS^YthD;%S<s+w`t!*r
z=jW4C4eXB3DL-2iTfr;&!awfXEq#Y%*>x|!&H8!Z-^^JxTfZItxx!{P$CJm~F6Mn$
zWyo;3BV$3c&hh)4^&B&2P4MD5utkN(^XXHUgfA1NJ$A2%S!1r{=8`OvV)@U{Vc(S}
zleK%h{wCOI?N?*@xg&Smkpe9<mt^S_&VPN5$F8K@Ig;;VeW)iyuD3>xG2zL#mB;e6
z)LafrrTqN+#F~jAF6)8k`YlPeBK<l$?{hvY<G!5nu_tM1eiT1%%7psVZ?Bu?8k>IT
znz}F3R-*sZY)i(yxp}j6)r+*$Toy~FSpM6kkep@YmA>p0IA!kh=~%CIrC96f%g0^3
z7mc-2B>(j}?z{42b$sZ7nvi{G3@grc+;+2_A2jFgiW&U2vz(^gSkL@uDO=oLwnG&m
zaz5-+D)OXE(>7Le?n-hr$*F%hBkBU1;N5%I1())L38oryY<xQF#s<MaJ6RS5y}JC&
ziQS(BjSjcy8Wder@?N5`KAX8_<;iesu0lJjc(!fxr%5lnCY;;&uxncKHWz7|8#Pz2
zn2WwXSdk*f^CF}8@`{UHXJ>BjVm;X4dfL=`39FKt@XAJw<ME<_V$6ao|IE;<w`1En
z+x2U*08i+a6G9<n9}QPLyxQ+5asF&SqjuqEl?`4o#U}4IoGbd6`R`|?>B;A(S)-Rd
zlE0asV->mKcZ+TM$)4J%bvK@ToG4n~`qU!Va#{G5S1)3|?tZ@f<BwNGN2~?1_vV}a
zaZFx(XGw5=$oDT5HD`~_Js0YH^4PT<ns@E_|H-2cn6s-w2F$HgeHb}G1LpeAcNzSd
z+^?nvo-3Fdkv$>CRdn9G=QFL#)2gb<%*!V}SY*TWWTWxT9I?t1Hs#08B+s15yIUc~
ze7EzaO?ryb_w1CE^cAH#Gp1<C)r;s{(bCw^<sO)NN{jnXW@J;?NA}e$JPVRI6sr8b
z?=`-hyy}LpYVq%y+FvzypO;#H-?ifS=WpDP9?d*jzx3$S*=|{HrQK&$Kl`@L{ZsMp
z=VfQtZZYqBvhHs6=Y2=-R)6bX;vSr=Jag$%LCtkNZ?e~K`F{68+>Jf=o`0-3ccgxv
zFXJCi$!3#p73b{DmaxsN=UMI8$@=ie#^?R+ey#s^)z9d7ZoIZ<ZvK>Vv8=Lf29m7(
z9Og!$)ph6ZJThXhS38rbd2a2Q^SWCWKM;LbQ|#q?{Ghth)2eHF*FU#<rJ1qSC3-}5
z6>?ZyKI^0$pK(l4L#fpCnCeZH7MDHBtJ8%Xj?66-=Pv&`CtZl=O7NC-^BOujG+*hZ
zC_Pyf`fBpi7^X!^ud+N<I5)1-J-)ADN5{(mp^EcmSLZaatlAk}tWe)L!Q@Srs)FVs
zz9kca6C8M+8l8<%i$7ug?v)SkH=mu+)B9C;9=a_z+M#3}d&XQnda0!FHO>h)Qn*>Y
z8SLI#G0C*GzC1HUZ?4({kwmNHl@lBM7i!PByZC64E!XlcgH|6e&WQfc=fqSFJv7;}
zl5xIR+Ilx0x0Z{Rb!L3agZV7#m#;`q{vbK$ouK{el%5#H2RvNi*Y@oRS^s3|G}iPk
zM$>3Lv1+wR1!3mF6L#G?A^NCb+bIiou?-6+3-V2ADVCA<+oq?#<n_+5Ws_QpUH52v
z-FJCt^NB^%&vZNg0k?y@f1g?Y`sU4*r5ij|w)zD95Xcd83f2=7lxx#X+7KUmZcTl2
z;KVoc&Xj$0^yqBq^ZuM^vR-n-MN_72k0P@xd}hk-3|nl(lI--P(69aR2H&ccS*v$i
z^my-lc|vAe@`SrTf0c9}Zef|i#FS_e&mu5GZ(Z~GP8Hs$GSkaBqEdamiaQ)QTFtd*
z&ShoyJJ32K(C<@UP?Fk%MS7EhP8?P0@}E7e-g_@gO`Lm>=Z+Souua8Feb$DpxFNAz
zC1uywmpLI0+k$)M9)9v9@lDRot81ndv+`9Y?qX7X${@n>p5fdk2@%7>(_7~j39n`G
zdBuKEN&h9g;}W0S^WNH?5HDfj-_*=!bh~;&;);%gacdTzU8r>?XkT=k;R?>hmbcej
z%idzdR+?BpW!6j^Kd-{BBQ?iF^-En(y;d>l*p+3@bu=cmJ;c)~_;84PX5j4uIWx|k
z_&d{}SGWC>^!L<5eySJL9v4WaP1+n?;wY2m!s7mpQOQ8t>FF+8<IqFXk3Kz=A+o!A
zZ_|-?ar<9%ZDD6#C*o+LGW%NSuIJsH8%obBe^UKayz%<y;(Dv5dH0v@|I4+tM&g<4
z0;9WHVuv><xNp^J-)8XiMBX;3r!sP{vII}2O7TiADAMKG?V7Oc-ifnqiTxZQ%hS7r
z6C*+vzfJtHNouZqQ+CVxn=4!rJX?yUX08(Bi=TMxrReOnSB%#R=y1#LOYq$h#;|DR
z$C)9QTlUH_?yaAzy`(U$e!h0k!VQnOgf-qwKXh%2NkOf<1GD)KCdnNaMNb^?U1xC7
z<UyL?6sM~#(K0f*vkO*>96P?`;rcQblRu{69*;k*KmGJ^@>Px+=awr4N^I;i_9QI1
zB)r3p?fx4<!&loi4fpn*lWorb+F?<>TWI^z7Xg7k%$)b_ijr=%o}yA}dFN!ki;HN1
z>E!GO-EZ~WDpxIG+#$W*PD?Z->ahXScLTwYqbd)q*US!9&GKG;U@y<_?ZMT&Ih?G!
zLk~SqIob4EqRjDoY{<&zWf!9N&Xa9w_E8b><*$*dnckTgqObh<|9Y;&-)DR<7w|Zs
zxThiY<B4sP4cKbtcRGmWvu{<obKXVga{ZjOPo-KtOO?3~C&pM!)fP|s!2DFF=a|dq
zO^Fo^osGXjPU~Htu(8!=Mw#aBh$kPMOQv>JW!c=HA<-glc+mK1lWpk6)n#eR?zr&t
zADXClt0a)m{@BrslVy22%^!r_^1bdls@a@y$=J1RaoGMf&$iAGf8=QK<wfyv<+u+^
zA0Cabw<%fP&;R+hWc{3r@7sIi)Bc406M1Z(T4P(^_p!Tj%Kh^{F89P|R5le{Unrij
zQT)JR+iB@X7PI9Xd2GIC-sFE32b)9e)mL5qX}IO^|6@OF>U%!g>~B~h_9I`SKH^{P
zRKe%@k^EE7FHL&KaB^>#O~M1yIVa<#jF%nbYdSLf;Q`@#mp?*}UH>sW_N)`t|7ch@
z<zu|D-KqNX70v9K`r)5{o%ERd*)wRHS5Qfbz`qYaKBsW}t$nc8UG6jc!xNJ;ZZ?Sj
z|MGG6mg=^{&HfK2Bu1DS#0VROJa*1#IDJ9jHk;WgwLjA<ym#zmuD`BR`^V?_rHSqL
zztlFVE{n8U7FqR5?t1;D#`||G-%Qr2H1&R;F)i*d!}Mw${it6rTDCmlPTI0(TD)XT
z`kXyeR2zFlg?^Y<Og!*d;7_n}#Zu=FADX}KWXb7evFm*hFLiMKZuN?%eA5qXcWY{J
z`!LV#!?E1_iJK=r*y{eSl=Dxv3P%>p|7#p|KcBpQ$7%QCh(ZHPW2nFhr+U|>3vBWH
z2Mc>2%q^c^>i6N%`MR&WoCRW(88cYkXS00YtNVE($G=ORjv2iyiM<b6<eI<VFW{7W
zJ%{0%M?waRd<IK>$W~87i;JC(6}>Eiy$_swA1qy*Z{=9`_Q%~#KPDcCP;Oi)ShGY>
z=FO1@2V~jr3cFnM5V*?mZ?WK>-zDw!4?Z~O@tiRgI<kwUgZ<&f#h;#*<pr2V=^HF(
zJRNzn@V8;sVy?yCRvEln{#U}(vo-MZlo@ZP)y{Ymz_s|Cs^QI5COoZnvNx?n-E>25
zE|*)C_~X^v%M*FM6R(`OV)ZIUs%`xiJK3A<B5r>@lQt=4&Jh1oYqe>wM$fIjM~m$i
zC&txte0h0d-9m#`YFvxIEliB#`1U%$I6%Ubvo$dMf`NX^uhJFAMBTQoH_$5MUA)_6
zKHt=JhgK}P`g28_=^5^acCwKZxfXj(ouT8)`?=}ja%FDc#E^!rel5Sldjwuyp7?dK
z!7A;oeO#W0_awYBa{M%jDPm%MZDd?fz*8H}m0v!cTu{%RqTzJOF>z<^C6iDYUHiK}
zK2KA$A8>>yh6%8)U+Hz0%aZBsRVMddnVeSH##QHyWK6lXiWa7w(4Y2_BjiljmB7Vk
zwkB!(*kQGaLvO42x*K&*IUZ{*7nu;mz#7XKEoczR7G1dMOlZfIH)f{ZTk{LsyPC38
zJxrIa^7>K!Hhy0Hv@%W3tEaZaXzp%Q%}5QII_bk%{aE8B?^Naq-o;x&j)m*WPOqNA
zxvasEHNaIf;fvQMh3LjD6Q=K8qL-|Cl6_g0?6n5v0Q(JWo(Hs@1p4l^8ZJBb<4X0#
z?w%>1Z(5t1?_d7jyS(bllK5ThAGzK~`p0i-{_tsH`n~Q`XDYw_xLj`{<ji*5_SFBo
zi+8_0`gH2wJNdb<TDMyj9I(otT=>nYI<@forn#HMx4+4LzfJts=VI^T-M7EJ5DU6h
zv@&FSuuaC(@Wp%QZn<oeGPPQhQ`Kw3xp%F{Wh`>$?v}cpxmEb^sjpIsQ+iz1^zQwz
zgL(F~wyQVP;upSMG4*c7oU4m_e<s!6-%-)My5rF!qer{f?XNQLk9-+-EU?`$FE@@?
zSyoPRXXp#lO=k@!Z8#U%D;VUid`OE=!thkuPhGE>9#QEU0kvx34;o6BF)gn?;P_hS
za>KJn|H@uJ+nX!*L0ayevrBD^?vkDMITzB;t&QF(o><E1V38o<_%nCmsmMDWI=b&p
z>1~}pZ3(ZuM4i$E=0Ez}>y9wWPTc&T5!QTW052A6@Bd!N&CI~S%?w^%GWnh%2e{p=
zu~q+Xf{G-s1Vh`MOc#Y-3w9SzW0f93-qI$<CEAA)79MbLOjh;l%iVggs$XK^`{aFV
zwzwW_UUW3|ZS-DGAO6acDbsSdyjkGpvMt5vrSBvk#ux@ah6n~F#d+U;*SvqHnZQzM
zWptdGWl7-QUDD01Cr>;tw$5F@y0F~3emMh2<U^N5CxqP|Zn9}`O!)R7goR_-WmYa>
zml+J5T9s3Rmh#pp*?T=@%27NLGRuM|#m8;e`xW<@CEnc4zx}Ij=fAzH&pNYBTz+Hk
z{7COK{jdAi|L@e6J^Sy!Q{=}hPTJEZOZ7i1KU==K>izlqv)=!^Cn}`wFMU2QZTkP&
zPKO@WAGw@axnEO-aiM`X<E;|uzQ+!N&Vr9j?rh6lWGa|7ds*fzw+L?w0qKSbs%s^d
zSr?yu_wJ_X%DAcNGs@-G%Qq?>N|{mp-orH~=1o+#^UYfcsXR_Wa$#FHH@Eqoj!1bg
z7rWOxU|!i*n?9+999;_yyAwS&m2y8lSA5{eqCloeP4ySo&iTu*=*h~KPm5Pg?_rR<
z|NXE31ok%!tHZ5#He1hoo*G!pRAgWgd%bry*Ll{4?z3saX+C!Mo@biOYB;@O;sTE}
z6;Dq`)zcCI%^8!H92H`iSUAC1fF&`5N03p8i*w3>&Z!A1&wLyo3M_xrHM?@rqsE^p
z7yJc#=6GCQm1x;rzo>n;-gC*1YmP2?c3#y*{Z!t9Jr@rgQ_AEI=wcB|edV6d6kx-`
z5K+24N@#Hf2g4e(u0tXVkQfacuiVV??0KZfaAeo+tCJ*-Ix{4+Mv5$BIO@#M5L)`2
zy>G_5%npAqC5KZxPU%iv*D4f{>b+B8BG2>fOYa=~RnHK7F*rK4SX8@Z%ba-Tz=f+1
zePMk3MdMkT<c|vTEh^jg%&?RCQDeSE#q5)nu13e!N4b}8{OOHP<Iz5>e$||<-M+`-
z(v45{WeLs9x~Z4gl71ifCv$4{w~T#18do)L@QeMj&#7IdE2-#0x^Cb3HP)}ci1e0z
zJh<uKhrjopG`P(-@HCNGteJnjiQTJk(W=!Kn}7T6+Q<dVHjp)zcWt{?$4W6UL`X3(
z2v0ARU=gXW$b+xTVvLYl{xkw<ftqQWK`Lm0nqi`efxQafn|bycHS$}Iz7`ZbZ{V-?
zpXbcH?8T3m<R@*8&S7Rr3SJuX_T8l|vu4TrZ|Rd=+>)$+ez~!~-md^|jy(^|JXV)B
z`*{9xa(GY|zLf8-$I|sPXK~ois-M<4<yLt6#fRA|;^&L^EO7}-PFej<B#S3}*;eb$
zwv&7vOFuCS`|x?RhRtm_HRqj#+L5bV`8(hDsmxnZn7GTJw1H<Pzp&a@)x;Wyr@~6<
zsbcvzKLu~J+?Ddt)8A8b<uuhwLy@MGBk|K}9(biDwI*Lt=9{smcI8!@i=EnG3&QI=
z9t3c1cFp*Z-?+78&7(<QovyTUFK>P~>saKU2@i7aUB12eV(Zq|ZD$v0uaIUq%ja#l
zEbhfEo^6lam!E82!S0o;Uv=~HQZ|QuhEFDby(Y9oYY*Gqa8(xZD<}Tj70<1SW?6ru
z>9J1r-p22D;zLd{YfSR?IC|%0^Zyh1??s%ITUqO+><lJ74GgLLE}*qisp`O_)EgS_
zHU9g4Fx?Rpz-b&h@dbY&LuKcTnCTIv1=A$!T5DeaIMVT7+R(Kw@Ppj`1-ZwhL)ZS}
z5J|cEr9QG>-Fmu4tFF*;w)L^QCvf*X>vnz1x?+_`Ro<f$YSv0=&YWL$Pj;NJEWWKX
z#gFTc_o6o{^-iujVnJ=^y$_Z?jr-$xi?1<&zsamBbIC)ydIQaEOzBNA3v1@Jvbw%y
zxnTajchAi`|30r)e`KTmJ25M!@XBqIi+1Msc7L247hvRJ^m&=x+Ot1T&Z&Pc^2~O|
z)!U^jXUk@PJlAO8`_KIAXWsSMhnH$LGWh(Rb8*p+*Eh3#A7tv(tHvEa)4?;TAuoAv
zX~zPScTWy4^*^R$U6j$PpU9H2s?YVx!!!QTOt&?=M7}KAq7W@IU3uQ37qxd9n{Tq`
zmpy#D{2-f6qt@s7-csU6KAQ-2FO*xZEtPJfsj>5-#f<Vl+2LK&qqooE^gU)Mar$tu
z-M8hdt{&7+t4a>E`=!PF;BdW(iN=AzFN$Zj-FI)&nK1dy@~bP)@-8<qe<NJyd3Q;r
zbgJF9h>!e&<=xY#e_U~>ierLg^?``BnIDXk?mK>JIoo|wafQ@8b0*J^eU4XJ?rdeB
znDxH)(%0BlbDi^ZqL)u@p8c_OiQu-6l?J!Be(q$LIfcK=NN4J5{z}j7MfJ|Tv+E`I
zUg|usas8Q4_vdOw;!ZhJ!$c*gDGM~pJip?!O=^?V;pZ+B_8s_dJ}Yote~BuahN!)1
z2GgbeJ8B-We)<1)vC|^w>vsMNvR=(%Y+cdMp7Ld4Q_W1v)fMj_{!J6klx$vOvtMJK
zUS?;~jO3$-IJpmcF)f;{kmaU#`f4iYxwq{X>)U?I`YqX665M#>^Yc?m*N-h)wVT0!
z*}Ck@X&K47l7dVwO=Z>~9x;*qGgurCt(<MQ?^w&7+#gL}61m=Ly-is3-D&QsYadqe
zR8IKt@k`5F<;Iml(z62Rt&Y$BcaJHiuBm->*?K{3Ca%SrV){YM_V-f?74Iz-6mDmi
z=U%f{`xkG$U|V^%lcU`GA}xNu;Hb}2+SNYv3AXbr{B|reROGB<skESKdW6H=YMa`h
z+ZDI}k=>T>qftGp!L^#Dyr8Oi!KaMv&Vl{+p50UE*-`#7$K+0t`0@X2E{2=9+kEzk
zEwsI08<<!w|63#ZxAM*S_j1p7YFsN%xxDB5%hWri)ek!^)UPspT_Sbn_N;34n3Iny
zrmLEZ&3SWbQ*QIwp6BlA+wO9!-JX8;?9cOZ%eNO={VBA%Ji%q+C6z<^=kp^La;;9d
z*1bw(zkK4S<l_%BH<ev$+<z{2yWWHNnU~U!Sc!RVDtyu-?PH*+`gyO%t66KNM-*)|
ztB9Mioclw3`pKJj5`4rj*1u6s&kJgHX3=-oUEjpG_vR^q)P?!a?1IY+@~s<G_Z#x9
za$<kEbE@Wfg&)5fq$Q_H&*0OrnzZedLBaJ?OZVH)ajQ``yJwUYb#gD)>xe}e``BA;
z7%H_E2VY(LFY(tB_KM7PEb7Z1bXziX>Yp#Kau2+*X!){RpYoNa>ukT+>{C(i>lbV|
z;~|61vnaLmeRno=Kase9P|jGrL}?S-{eyLPwn|TaQzbRk=;wonJ65uCKABf^{IE=X
zx=vk>wY8xs<Bugu<=%SPioU;&6}Sd1FVHw!65!%$9KC4bl`q=MCwLVcTIAKZ`vj+Z
zQMPKFxbxYjlY35lJYl+yvnqbh^RBHuv+CV1XgZeFtrOY6G^emsN&aXobHL%e2ZGFt
zF5NKWUnc*TH*1A$%g1w}h4=q4e_g^k_v4C^SI<AY^T}LTw(67nTJeV`*_xi2uAa2y
zU%r-W8~^F-l?N}rE=_(sQ}R(<N>Ti>?0x<b+TPz!bR3J(S@kraApPs&*zTrpOSSuq
z=Iv?yU%$-U@r>7uQp50hl^K6T45o&xmCM`rbM4x1$KHFHy_&e`rfF5nu8zI?(>JG=
zo)MqX^1^O)Mv7?{`@fZ)4+CwA*2W#&5b^U>$f7m6rJX@Bcgsy!S=}R|9Q7VvkeS*l
zAyqKp{uhrY9V^_6zs3Ciywq`r<^xU^>HOb|WRniK^j|+wpZ;(5#}A6W%PvHjUnuDm
zn7pB^zr{C1D_Z;-`#-b5%4?!;-)rhI8sFZf9^aC!|9Ns*-PV~!%XVD#+R^Kkvgojz
ztayu-$i>WwN7q?h@Kl+Y6|!ql@RE58W7>;+3}si_3o~?Ty|iCk896uNu}OTte#NBw
zIu{#mByW=W(Dpmsd{g~@Jr&FA-;DN(R@-jmn{imDwMX#zB|+hMMPUnrd;VQJm}jXz
zTq5_$?yUYquDiQl)#dz}YgWZxa9i@7XWImsa}Mp2N&gB~_VS&+V{Y}|e&W29SGNXf
zzS*Uw_`az~&{KKa_e%lg4fUJ~8IP>YW@t@1JH6iGc2IE9x~sCU4kccHQ6Fq~PJmlp
z^Y(MEsR~Q?zLZ(^>HRW?@IPyu_nch0D{lIsU3b?%-fP)u{CR<Zu2*_%zjEH0g3UoU
zx$_<he9K&R=HV)b39cpI<n}iI$THk^Pv=bYncj>lvmlNszYfT4Tz(>DZKuS$w;K+3
zUJMX8nAzvDNH6upoa~HcQ~D-^JGalhUGKDL-o1_eKWt0*MHq4zTs{~W$1xvwWn6nc
z`{Nul^`E&3jH_CvR6XE0v@KESW=8Matp3TTwk229>DH-E{QZBrkn3EoPaXZ|qnd&y
zD&H@A_x|UN+wCst#tl=SXok7YX6CRz$?oCNF(oza&&L$iHGPVL5?g#cK7{;nZdlrB
z#~)pve0)0Fo1`NBNAahc7R-*?F!iN;N~5LpEuS@4+Mb^G@ms*Wc(+xjYfioN)JvI#
z+oq>8b7w9vS?;iZ<NOmVJ0$+U?(@p{uPOgrBe|jemqkeT+ekjamqI*}bDr$@&g1>{
z!*aIxIUN<Jyck*U_U^ks@BhX4z^*CV*+pG9G`~Fe@J{`WNvTI`pB(sncK?&9;sXCd
zbXRZ~?mBXPQTwX10k2Hm_CLETXxyWB*4XGtBcrwIwFk``4+rNw;^sN?)j_B&k5^H!
z;o!#j^y0?<lMFnnDk|%g8)BMj*1Y|uxi`7~S3}h0JDV>Y5zf0Scr0RWs8tnv+=3Hb
z4c<Ke^tN!kXlUFcUB6l6HkXPLZ+q0nOo1bJx2_O5z+Z7Qnvv-N*Uj4-{Ubl5tvdI>
z+^l~}@wd!HS8NpukGYmLud`3<sJLVn^!Lw3BjJV2k1ZsP4~O;|bt$a6aOuQiTM4fI
ztt<VOMs4d#`6{CHuB|E}CGv`O@UxVgt}-iL8QBZZ>|0c`|G3s)^+(+G8~pVj);~YP
zllzQ8pt^U>w|RFfjJk!d@GopCzxl_EE$qpb8P^ZDTnO)~-)8cxKDWU(&m#0j%FEE*
zjP5J=DlAuqE_Z&G_TlB48#7lfJiK1yY_(S40i%WS&Ix)fD^GpndS-Ql#r3yVPMPq$
z-mBl3Vsw2MwDd0WJ**o2Qv754UElh$a6yH`TiSCXgS4)4{(bx{Zo13^&IR#*H1|K)
zYW*Vg)(O_k2VVYZs>^taelOU~{?{<gI-s3v3X@o6{!RvmaFa)zA6SG|80H<2JHF=n
zzl>vy+?)*(?--5vgo}Ph|1)t3nqrrmdHK1Gul<K_y61Wio83Qo<ZQ#aYA-jb+0xTj
z)n7=+*|orj>*|d8982WaM49ncZ(OD*w^J=Ap_TXE+3x9+HqZSmHd9LSqk_9h1*1&o
z4DYR%w$6~4d%8t-=gYt_hh0_0Uk`42!l$r8SC!|wnp&Ftb(Y(c8ctO*@4v-ZyZ4@$
zrrfK*(haQhF0sef>_6O?_t0adndk+pIloejW-t9#uO=7zDe}nAhvw%PEGFG$-zoR8
zyUcof+s<^qE0Yts9v#@ant!KQ*P8S>Qx>?)Wj|W-ns@%fKWF4h=j5MXkSjCGOus=r
zGVNWL$DXtGIS(qhm!Do}Z2orYzd84=*KWF#{JmiM3b(BnvMyac`SMrJ^6z$qNA7tC
z8?-D+QJor~IeYrw`u@ai`}b{qWy~4P!f01e6(q1|R)o*2sb=32rd8gIy?mhBEY&||
zno)AsZq0??r|@SVQU9?<zwfO;c6-(w!CM)ES2D#u#xhOvOj@}A1b<PgskdOp%5K;9
z(*(J6t_rPs&a!nIPqo9nmGc#6tXKPfuJ`9G!E0T$ipzHhpVR9I^p2@dUiHa6C*bm#
zCk`KSTjRpGY`#YxiWA6MDC=9i!C}Apu4vg!Sre5uY~eF%VdtNEt$l$}v0<X}_49Tt
zGbb8!J0_d!rc_tl;?z0Q`oUzzJfHvN^9;2<HfUGOS@xsOjY00cNTD>#My{)SWNewX
zPq=4rqkgAd<B5Ld%cYY}pMBnEQLlP`t*~W=$YehG2(`_t{}?Ih#=g&2x!n;xb<gj0
z$?`3WcApiF5qD}=<xKl=&BpNdzjDq)Y1wvB2R|elnE$I;?f2lq2lrR$*-@MHJWro7
zUd6QC*7iVU{px=j`)5vIHvhFLQX?<w0?&c=I}2xQpBcl%{_Bg;&9rs#Pp<ms=BIho
zhwaYzwP%@z#e&J5ha!)e<*nB__g$v@rN_6Wd7|t`l>JVZUw>CsA#jKN%p2|ut4R*w
zI~R6TTxEE&eZInB+0Ppe9ZytlujihT^mOOUj88ZJ8fhdRcXvu^n6Xpf@Uv+Xr+d8G
zF?o6E$H{H{TDNS|HGi=BA2UdOuQPpldA0bV)zc#DJEZ!SJj*MLbK0sr|IzF{N+QSj
z?0=T4`%5b(A2>1RTw1VQkm-q^&%eYiw^L?nPP>-$RA|fdtp3%Hc0YgMkSATR`b+!4
z%_7XX3G7LHC-Ms<dp*ncuQl<KS-Ee8-C`z3$4h_g6{_n6K8opR7;k2=IQus3@A<oo
zD>=XVek=UWY+C;?jNhq<xlrSJ$GYMl70m_Qx)WZgcC2D?^<DMl(f6R2+ng%y_AYan
zInyib)PjZoZV7BDySy!UR<_zj!4%~+FLri5u%Exzmdn;jes#+!=bOJaA1F;^d=lm7
zpnbVKRAGfuq>$_E6_a=vjIOGuTOT()qQyGZo=M@6Zgw`u^Pc^o^(`OgXH?BSu=%FZ
z29e84e&wCq_u#S9f!a61fBWjPmiBj<Mrw#m+_zUHw$qinyWPffUy)9#iqg>^x`IMN
zebt|&r-(k!I2&c%IdRb@{{L;u3|BYl?loC5V~gg=muLJBUt90FX3C9-gm*7Ezen+{
zG;Wl6nRVf<vFzuqiLc(DE;h`mKXhg5^wryH?(ED~{wLC}{E=UzXv4SThHClupBcxw
zRP*Y_DPF$ka#tx>R+lSr=FRf+hMvKnu1pg7oZ}!b`})Sl=ANh3h0-3|9@c0GhADDB
zD`hu|o7}}2UO(TUt%B)y*R0!1>O(fDxSVsfsCgTBGI;*?dB@_`UwQp(nbDp9;U@Ke
z%V!ri7KHrRnJCE9{r<mv=c~qb73cr#QSgzPW&Ly|gK^~SEqwD$u76ZNC1AiVmOd+D
zh1AREOjexC3I5A(Ke=&ojsf#lzXj1-UkUm)?X+JVF8<rj?&c=G21T)yv+<{eSnTC&
zQub{A=JUlY-us@u5u04Iwp`$bFZ==D?ZZ@(>MxrJw<T78csR#<k@#AbnO{DCO)jtB
zrNVl+{EAo^%Vg*Mu8e%|%KTglGyikWS<cuf6=un<d~Tc7k({7EOYif#yo;_&6JcGR
zVDtM!Sh=&@q_S04KmTScemnnW$T2Z>!Pn|jR{r)|zVb`j>vcDGR!_K{&T!VGKQAeX
zO)dNP#HD)mhm-hYZ#>feQv9a8z-*Svzn*LzU$4gxKj@a8;<Ep?zvk$6qYk!dA`IL<
z_gCMT|Juu`Sa@rf^Rd&Gn;W9sPUbS&8I^Y4WD!tX+iOt3xWBbza<*9PFNcH9jeZPs
zCx7{LCylA?*2|583x&=5&SmwOrQMZlU+u4}rR2S|Ds{eDcKtsoHOn>Dn<`p<oVdW*
zA0lXD^X`eu+_30Y!55k`%AY6IPbrPfT<7QI*8atKO=roMGfq{}Qs=L9_hoal-#pfL
zHnci_-<3`cN2!jo1-^pQTuyD>vr?@sB6)V{g6r3!vKPBJ*ypU#6)TSU;bk*R@YnWT
zs?wq#d7fKFeM{0j5bRd3y-qAaxAidREjyp&LbL55eqP<)Mv==GvVB^Usd#_8-l5#A
zAE6&_JaRZIlWw1+a_YFw`3F*c0UXV@?dmSuS<dnBnYG8~@VVeQ+j8o6^WHW28xm$N
za`o-5#ZRkV$SxDS_x4HI))bSt(@NXj=3Uy+|IYjNo=Yz2GAkq}T{zCUWkS8$1bIu}
zxal)hId~Uc553InA^z7><My?r4gdaZ6E?kHo4GnRH~dKG>7#b1R%l!753fy%yIno!
z*(|I5Qb$^TN^fr!-?HJ=((>L<YX$A{@@}iysO*t*I?XDcRym{R?IU;oy0-@yy0*AH
z^)34$`>E=J=Bi(@OVsVt>laysEV43DuP=W&^?ehgVpZ(F6lO+)&TX&M;@Y-;*?DWm
z;i<1TwI8cde&&AYo{rew&b%jwPJWygWLz`3=jK_C$otRB8=gKsd&ck6srmk|W+%(5
z?R7jg{q-05z&+b<SSrfPUW{5&<$EVaD}QQ;XOQ}$33c=DhA}3Wt_f<FSFugCun$z>
z`PcZaUMxS=Wy+P~{oF_LT2w#X_nF0fdF!o{bC0Vm=4j%Xvy0`u_-C1i61l}|OHV6L
zd&+U}*aRb+AFe{$Yc6&CV~zakwbQk`@PW=b@!*xs(<aTD&=Ee}Wb=_%>MkqQW;%b8
z<l#-{T^-}Wnb|j8RBqzsFZ>cGW*05F8(#3}l_PgaUE!_zxm?S<Z|ciC>hvzCwPU`$
z*gE@PS5@kT_p$4xmfbteqg}q+>HFpvG3!sgGIxo1u=vDJE#Ggf>wPD;S=?LJC4Y4G
z=51QX7Q3hmXhkIOxgV0br5VC=qRdF*SV+H9Sd2{Ju`J#_)t%=>3%REoPyF%Hr}1R_
z-PC~S=Gn9T9nA_|uGIhXl%AS;bfZMX)=f9ph@aQ)ac6i~AZGY9fPK%kt<S&q%>8lX
z+8q;zo3&>T_32b5an7%JbKmD@)Xlqhx)t(OLw&8a(^BmxY$?cJ@3SqO`IgE%^^IR<
z-<raz{)gdVu-QTO*Lq58?|pp#%J{tQx_s8DR{kOZ+if!!w?96g`p41qZN0+Bf6nLF
zPCar@>v}wwfAO}c)>k`3AD(DBcO#JD=KYCGQV*F;HtOU(x)5<=x!Uw~c0yOo535g+
zb$s+Y;`pujAoV?Yy?J-@%vl?^e5x(BTNiY7M&r`_?^k-dKcATA$C3Dl&$BGQu1~t~
zgz&@aQydfBJomhLerk@CRgU~)$Ku$7^)cxd8mB@fDq3>yWvyjh_Lj*%q5pDbW}n)k
z3rbEFrA(9VrUYnSpTUu}i8IZvd1?M`^Cgw>%Ogw8x81GrW&Zl!OU#T({N=L41^wT4
znZ~f)by)T<_Fz+%-V%kC5kF5v8guMaS2k=&T`hN{-8aoul;3~dT(3uLRyFh81dkc9
zDb!1TI3H`opT{`&myL>s!V^m+*WlPr$B^V%>aUEB1@4cyIQwkJ;<=OGes`R0()TR=
z+5+kOtn)b^*_>XRcciobVzPiZXVZ?4o?cRdCaYF5ubJ`mTASXvyBjwy;4_XgDG11Q
zEsp%PRdUzEEpuky%(^+1Q{tob7oNqEGe7J;ckRH5gY|`hf%(6>TK3;FVu`;KFz3pT
zD-{>!*FSgIm)<d}^<220=Ymg?PtxD#ZaC%~q`QdqpYV#~4WE6l__EIHd?Q^qU5ER3
z<|z%q6up1>t_O{xvo|+M)H=?$u<>B?>18%(34IVM%en8Y@M5L8tjlM0Juv^i)KNsg
z$)-VtRl&Jyn)B^?&mGG&T{bw{aHItUFT29}V$+q9>(eeuu4kA2AN;W;+;iF_o3u+p
z#fs|<!)6^nbosUGu1gJ<vKB{KE#B!dXMRg{1&>G5Y0pjOR|IwVc~zM|74uEnsba<U
zW&OLU^L2Ok9B^3V9sB;u%JNO~KWW9)#DBOFA=^6R>bE=p_jN4_aMZ5XR@rtuCVg(4
zaoN;Uo4nk&iZ9!?>)0F{jxDUU8k0VBw4T`aeOYJ2zKi)PZ-u{vOT0}L2^ZbI`r^6`
zZaaTXvI>1|x_+%6W5kyQvvS*6e!FJ8*?f}MxvwmPMW<QJHr%&LdFACV4Z#@>pIRG>
zc)7AALT+uYFL&bK{A$ulYnwl5+v)>WW|@>eKj3w=#P8T!QI6{HIUy-IyPs7%GUnC4
zdOF`OCuK|H*{PEz=+5+Oo5sHKxY|h;?~|=}O};Ic%8fg8e&&vqzcf>K=PzRYd)im^
zbLCI{2QB7zmj0A#IJwC?rsJh?KxDmM+276(jTFPpy0HfXZr_))U(>lR`WTDhZPTeg
z>vQ-0bdzsvKKWUuJW<GVx$yB;=c{b~wO&4|j#qsbX6@K&cdOmf;j4bWVU*LYT2EHB
z3C=f;NuBrUTUk9XV(GMpU(~irS6dx(Io7mJsY3AinzWkqyHcM@K04Tj^NX%pxwE1D
zwSe@KPid$3M7(}w%kna-UBoZm{i)$5)t9`1o%8E`{~g_?xQ};h&)lC^euSBE*iU}h
z_Pk+*(B~O}bB!i_xOUgLZ{4a;`G}dnzWsH)UU_)Yp^Fa~vsZIW+_Ys%O10lHmpRW{
zjWT{8-Bs<@u$KE?yXgxVwcRP1LMDF_(|*mJIIH$Mhr}=Oy@CzaOfB^*=G31L&YiG4
zRWCX1lGpK)v)ZQphg5eza&^AYyyT_%<wqG?(q=uprnP^e_Nh%zCci+Pz0$5)vCBY=
zfk9ggJn37Xnv#Z?yV4fB@)9(6Wo!;PTp>Bh$QV3#WoBp$o)uO;<-38wYyAfW-2)z{
zsw5^_6!UH=oz?3-Q9v|AuEOr(*QN3+SXP9_cP(1c9<}=Uo32F~Qp;XA@H25Ty<?o=
zaY|6uH}Vqy<S!r8_T85`_n&Why=k<V>76adiUoHXrLO8ySfRM8K;@iQ@wRKKfm-Y_
zmOe`DJ)-*VJVGt&T^Ce{9_tqgd@@B+CF7JuQiH}zhIIyqj~x$CX<*B8aY#J6_`_}K
zHTnB1glBxevFMVf@)o@=$EG#iO)e5DE1KkWl|5$#a82YkYFyC8G*4vNoVD3WvGpO_
zY_E&;SKTk3bF|v}uwLE&bK>tKr|3jp{9?WD@7?$#&jh-UHx!BbPCRsbPN)1%g`c^%
z&xyS+?W@1{Gc|d??rgvKJ)At-3vDOA-Cyy3Z&RN0hx6ulicPK?<sVAEcW5covEmHL
zj^=Fb_TUu@?@8nw-n2IJ%(u&ze$MTadc{)FQhzOH<~`|u<~h}jNpnrOHhgSnZdtMW
z-bbU)zg@&7xjLGCPb(;7h_$TPJ@+Tyb;U2emK$8oHRW<}OgIr~!1*w6hD+RYYnhe{
ze|s68t8?tXEq^#w;9J{i1r51n#s7C7ZJszq*`Mim^=6F(4W2E%<vK!a`G*!6Y}oL`
zatWg~?}Z=rud3(xR?h87{b2R|-!W@}qrYqO&0>xPoM^6aP@U3sG`K*D?Vxto_JcNU
zpJh1b&pChW`2_uA?y6JzHy^Z1&HoX<C;Y<q|99MV;vBF4yzyR)wWqf^*W|pbPtMtG
zirbDf@Z0^gI&QsRQ7`6p_jj&8&k79W7cPD$aWD66?2c{Xi~;rL3EQSyU1HsKZ0~)6
zIrldB9XIPuuL|K3I?E6!bfrV3RWn#_w(-ZUXCD^qcQ2Ur&AMqafA8bE;*vLi7Tz@a
zYdz;)NtN>?wSKnL*#5MJ2Pd4fjm|83Tbp@+efohf_jDreOVquL*|ztcuoTPmlXm?t
zwxpf5`Y%53<*$}wTUoB<-l%{2@cVxm#<MTaneTr5|MB;|FD3RRR+UGzEiS)a`G)t}
z*Y~@N=kQL~ywD((C1rX=%*lMki78wAw79B_8r6<?YA$8%@rkt(`)fVt>7>W+3#ObC
z2$^}LL!FhiT9(uB7{}r{U!MLj>M6Q(YQy`Vxi{aOeY9zB&Gffh?^?DWcw{KT!c#wi
zq481XkKN}|r5g7CE;->fabCw%d3M{KYhG>CFgnB>;W}+9_l1coHfc;<7Qv&mx=Sng
zT9C`dDQ6TMr}n639c<`4b<KI^qRy68TZ6ow&j~suk-SB3mDdGE5BUx2I*V14dDnJN
zns_SI<l={hPdYv+Tb6h)Dok;hmXhtH8)0Gnp#J5{80U-`)2eS<aDF&d@%ViKXP?#6
zC2L+E6!hLT&!eUA>w}jYHAMC-S-yzhCa9(KNz;>8jay6uC1%NKJD-2jXfVC6_4SRN
zX{YBcj$7TKb@SAu7~e%F8NKZTCU_rTq(4hH*+B1h{I>hs=EiR8zaf*9F8e$7`|p2q
zPm9gXK07Tlx_)xu?fZAXP4&ut`q?_@nw9#}Dd!?rPcb|8dY3_)p`V4UxU&i4y%P$K
z9~7i6q}-V%d1FK0!?}&T(`7wM_7!%TpA^jv6-+&~zVXAdKOQTtH?V&&ePEp6=zif#
z$V8V&flKKJn({hUoygAE=5vZaG{tq&@+vi}rg<Ksk-^sl4oR-9-(!AEYyH-spy=0g
zHV1jFTvB{Z>S>R`DT#dN7Sq$x`d0$tC7P6`e7fbeyvH!^dTZuBiRAUg|HXK|=6432
zs(!!q+O4SUrBg0hKA#d)cK2&*@}5;y$#Vl={m{GpzIXFYA!|R*_1fyC5B}*I-;&<C
z=TjO_%AV^{k=aW^&Pguq5qGM8X?g7DT;KoP*FDu<PZHZAx=@49<JbYi<Ta+(ez;70
zUODeYr_w|Zl}UyRroCnnV7xBn^f!9lKV8GbTQ7FZc&mDTiE`jl(IVG_*|}S`p7MIR
zr1+9%>Qvra_qOc4x%{neR`um?%ij8aoVRUz8wjxRnjYhs!ywhbA@V-)?6g?!`p^z1
z;Yk543MIKqgO6!t)xO_4)lU-HTSBio)TP1%?q%n$opMeywI|B&_}<{Yz*Cl*Yp>pt
zSm;+En6T|SZx{R4eNvnEUJv*$c6M4~ZhqJDw`U(N2yp6X_pA!A*<a1Fy@KZ`6IaHc
zhIPp|rKfjZP{;_dk!9_vXL6e&->wmE;^J#HN#VQ*r-Q6W@=LoGq1`iGzWNwlV-2{f
z;^?e$eTlkO@TwJyG(9&3?79Dzr%itk_t$N?&iUzUjz{LF*Z!`(8Oo^KJkjs?9u28`
z)r+@=U5f%GCCk-QE*+CdWMoDYb6a()$x^$$v#frBitJ7W*6XFQ*INybNw{;VHfjYq
z?z?^~N^@@TDT$T$zuy0Pf^}hD)6|Laech)bm5taZa<nc_ceK{Yi8y9F^Z1`<-2H0{
zrSGm#I<+)XDqwSh$>fTgb(eRo&G#{PJXv$@n9z-5`TH1De|@{KbLC@;-M31rob9a6
zvfV7PDq}F8QGYx^Cfg{lx#C^2+~)f8Rg<Iz?ny7+ipUAy;;y$Yv|PC7{52`dnQ9AH
zotU!n<hvjHD`am=ACFwosgmv0W)|l8e95L`5}usq2N`9}<Fs?<P7ShpJtfR-5|h+^
zMvsh`!wQZ(LM5@{$7Y<0+<LFF|LcR}T`E%Up(PLMC&n?)(m8Q3*;eBBjB9T@`7T#}
zpV7SSXY2ocTWwB8AGTTMUa(`AM%TO*IZrj+mDZ?By7NbFdMLu`bt*KZGKc5d!4jWG
z0=sX`YkuHZWAlw|w%+a6drM97K37!uz21H3Vbr3JKO)^9|7khAwS|e7RjRkc$TeV^
z3A2c<!}^8t3VZ6!-Lje6tX4Q5w|ybuq-><5<T+^xv$L2(gMo?Iq!op7IiDDX4;*NA
zT9~0@)a|k;!9akewb7vCkV2QE1WS@d%VH0|Dd%qTGQ58gbjSbhEOkLnQG@N5env`5
zS)b8lUmkXAW2JXqgm8n`Wv82-LVmoCSrcZ59(c?gy2jhHv-w~B_qdSa-$Ln!CWS9r
zd*ax+dmK0J={QvOo#S!hIA`UO;c`M)t5ov2ABSW4<=6a=*Iv85{KeTXe~)HdullaB
z&(!w6#N93b;=10MDu2q}KWEy>2WJIOtUbmTYoc?0&nu~?2aRMm7R|bO&x^CsxofAy
z!?!$+kC@JIIjLLqtaDS}WwEyYxO<7k`ab?I7O}nlC52J_{VxjQWaVFUuP^$TGUckR
zq0~fI!N&Z9%JrO=pYeo!*Kb~utd_3q>M-fougN#}o#Kd}?DzlM`)C38X^%y6IzqP0
zdm1+J?1KB-X2kPPI&mWZc178%6YGU$9gT4QdNJk2Y#A&4Gn@79Dt+?GzSs0-=h6CK
zCjHADx`ocwtz)x$`gP~_#RAh#<gV`Dy6>-f1e?vyw|0e>=a*cZzw6Tc9WVWN`LKVR
z+Vij|Fg48U)S85)t8JORencPaTC2RPG~joaU!~Ev`0_c+t}jrm&57``xRk*wtR^lU
zD4&q_x}u%maI&br(8Fm_DLeNana(!xcFtd|sQOLJW)s>j9QrSDMlr4F<(`*DC0~8t
z8Cbj9sc3HBn!HK+lIw5n#+?UUU(OR~xW~FGIOZ37+011E8$x$iNsC@!Zs^m|o!9ur
z^`@u2ScX3Hsq0NFXZ#;nIP#@#I5MyC$ZjdFC3W3jwti?(pLsyDd-5|`t_woy3K4F+
zE-R}ZaJw9;ui>23!ssP%@`pt00p%kZo)@K#=oY0sN)s1v-cXdfIKt-{n{?vOcUev^
zAFt0nQG4E!&*srt*>biV{*Z&3PyWaJcaeOPlxO+YPU^ar0z;ZWSX9%|CpKIG78cLH
zE;Ks1X_EzuUBiUw7oHU}vMhVe6e0X!*^WN@kmrmIi9xS^MAR$)+9rPQK+MB6xjWB1
zxHeC6>V4BCyqxy67pG`^YM4D|ae49<A**@b|NaFFw^_YqO!6_(efnHl&6IIlnxFH@
zTN}7;dDy*L;*`{*x3tSQmGkP(+v^l-m{jiw3;h*Np8V9j{6x3WyIncIm#QU5F=VIl
zMAi1cjC}ET(yVG>sYR{P^>g%A?byHdaR>wJ!L?T=EPQVhYWHI1d0lp!=a~-|9FJTj
zbK!a9w_Tg#n%kGIEiwF|<gC3UH{js0<)5QejZ2)i?$S^c5>lADEJ`{0^{R|@o6=cc
zM=ND%XG^S{b*<}7RCgC^zK`1pjl-@PH_Eg4OiDC<|60nt%A;Oo#?=mI*GUES(-WBF
z#T92fNp?(a*kN9v5`V(itEF-}+n>J{_b)ts99qTlOR;~8#MT|FW^a4F`#AH<*-n?<
zhSw(_n0jM{w(G7|!@IiHr$v}dE-BUO?cVls=lVy#r}o<@sBgWhxw?>hquY88r{MT(
zi=L-q{2x=LMFfS8`YE}7eZFPJ$A@KyYl`Yw>Q*Gis=RPo^<7s+L@ZjbBje4~BXV)u
z<1b3wQr|c`J7GPmO5vH*=_@w7tTibq_}+AG{qcwu4mqKrjJl`(Ts2+VCeg)K*zHqw
z=gp%RyxQSxl824EoQjTIN#b(d8u6;<hQrq?o`Sd2Qax5pST*y^gcpVH%?hV`pE>+N
z^BGfyQ9ZY>gh7M%*20!af20&_=e{&aVmJ}g>GSLNk=+ZN_!V*&9Xd7H>p-sjrK8LZ
z5u8`<AG%)nQ}Yp@M+(P`&Bmff>?I589CeKjZ*E$+=t|MEm6{uW^xvwxTIeT}Qr0@n
zK_bOfg=Kx3r}Fpq(@|S<{SLZa{g}XbTQtUGuW*VYljDkd8}*2D2U?Y~^ddqF9=xkp
zwo?7toS(A#!4V6Od7EB$rKPFNzVGsF*Hpb}8)Q1O62zasmnhX;psuh`dZUrEb4bwi
zM`=FMF-hW%XC}>SXvsSgG-W2cl56dOcLsKE4&UT1{xWCA()-s;<P;luGh()!^;`1T
z_ONs8k%DYinYkBTl<MWauCO|myWm`#YU>_@1;qzr8lsL#1o7)lJi*5P?8anX(Yu1`
zx4)T7Yi56%)-&nT*Ut1h2Jy1D?6vkkUVMLjV<U%jgYL?hNvgd|Slq7$tnqzZ(7k+_
zMx0A|&j0Y-VuQUeck)Hux}Kc8O*Cck3f5PBi+*w+(cPHPsBomHZ0hXJdaYZQ-xDsB
z{XMpPUrwe-RFIG#ugU$q>_h(RzJ-2uc~x|GS#-(nJImJY+LiNp+OC-GFU9WaZO>f2
zZbwnVu@d?FyQS{$z54n68s%_rjXPS;1>6>%kH5P=>z2eK9dm`;K*j8(A2<aJ6#OSk
zR_WjAUHC9n&)|m7McyMKo0sn1qZ`Ou-@xI%F<;#3r-SkYsW}%oqbDbrJJ?9O$USPU
z`}E<6{YyT-`MZAII4?cz?)@touNO5RDf8qy5@INq8FRQ@Kdnc=*3`t@FXOtv$I{-S
zBgg7$xc2&-S6ybq(d~KSLFk_aOZsj$D?NQ8*D^)@cYw-V)i!4-#;v<~7MxjN8&%x!
zbxr;CNg-~<<qw6gt4811bk|S%(~D1k9(Tu2Fw%A_&{<RVkbUvPgWZ;8s~4p2-d1eE
zC1|I|k@B?b{DnORFLtf{_O0U4ulGxnvtD!Dvwd2zs>hybyZPJxy9$d!zs$b1NAu9h
z9isV9<d-MgXB)n2;*Ia?D=0QTC-b-J&gaQ9{H!Yf9Ioe3PromBf94spIVtQGcH&Ev
zVl~cOxRev0l#($2)U3Q4la`-5#SzVWP2j-Kf2)=)&Ru&tS>WGA_0B^3m)C_~h(F`h
zdN4<iw?HLxk*?I0nala^-;7$$b=l-hrs1;WIc=7?5=Rx7j`j$*<TUWC@m>AYOKGXj
zw1%>`3(Xe9877}MsxR^hmDKk1Hl6$AA>WF$W37Qc7Tm&?ZB-n7*4e$m>oukaSIX4|
zNAyowEnL}O7nn1b&-}y})8|4O58X@}@7tyt{s@mb8{(tI>Z*D5M|ptH4e53Jvb5*V
z>*Tv<WMjX-S~ULk!^yucq#l%ecJ5iq312qT+XC+wFAymYigMSu7*&6&W@gbH&zD>C
zyIuaj7c2gd`0GOcd@ZBrnQvF0QD=_#pTx?vbI(>smXayzwwvEhznVGwVb4!}e$K4R
z0e&2(7hU+FAbroi;bw_1Kc9#5lAwL^OXs;Oh`(CN+dD^KOV0I+FHIOaUMPLbtbQQ6
z({D}5izOTdGYXCyFJ&lOcIm2qb$#8Z#;qY68yhueY_l$ZlIgllu2DeMan@f?_CAMI
zEG`=+t?ZBX;Iev`8tGH|^Ny#C{cYAY+BQ)NA`foftu%Am$g1JG+-lzRXU@ebw#Q@)
zEB;x=Xzr^nn8)k#s6K~d?UAWFg=z&=>)YDIo4c)a<b?a4CGZ&*3A?H~iP)|8o>L#o
zQ@Uo0wzF&E1JNB{`B<BsnN}$9d%3Nc@cRt+<&D4G)XWdXzhm4{eO@N)-dtxn!+mG@
z`J*~TeuUgIJO6#ZkNopXLB`D0o*$I!Q`IKa^4LhZOpH(nV8{{q^6SNlS9T|oW&Bm0
z<_p^=I;WHy^R^|MJ3N`cR5Gpi>+}P`i=E%pZ=1MU_n@{|x0;FHs@HWJkK9=}tszgy
zfzkWb8?QVw<Em?30o4zy-0ho=1vpK6(UE%MjI^9PYuVw8(WY0z-v*X^pW>PLIOo0g
zQ7`tbt}O{^+K2tEEY=zE%K!Wt<d~3O&11h%k6~iM@>}Ll$`0D}@4r{0a;jqc_vd0)
zer)8PyRSZ;%Qf~~M3VL8of3x~_aFbqaaMMtR=2x%!u46);hVR1|1{)l6Rvv@&?7R*
zu{lL$0?*IK28(5{WwcGb7&ybQHNKhMvURzR-np)uW;=L}_2dXmUvoz-v0FSbMt9@?
zQ~W0;=)cUad8^;`Wy<pH#WKHm<{TIMHLqbx-u%mt5~MzytiK&tU8z~Ihh;4f<DO5a
zbSC*;txjpbXjlL9*35Thp{l-*H%$M3Vu{u+zGZfQm3DTmYhLNU-=n5*#v^Xt-wu}^
zeL2z{C_F9YUGTYQy^|SEE?p6mGU?nN#R$tM=hmOOl;j(ja5crM-7!|^_1%YEPKtdQ
z9Gh4U`97_WKddub$4Y2R{qalt>qBQA)nN*Fo3g9Yd2#O^?@s$4%jX%F@F`56pt?D0
z%cO@KVQm&E6FPT32=gmF?t0O{^YxGQ;vd${+4rs~$c2~Z(W7e-;j?!iKA(L|rQ^rR
z-VEhWdqa(V=JIjoW-s5o-s+2A$g_yl<V(+01H-hVxArSdE!%%hV(X<t%Xp^MPj3Ei
z@t5mkCVyU<%>N1-k=^rzrxzZ%wti2`4OQn@&GXyirTBN`E@m|RrRE@0AG!OOB;z->
zU;jd8I8L4Nc6-C&P?c!*n6x~`!o>Y|j@&E#{N4BG`&>T3oy^;8^)B~rU^v=n63DUV
zK<*~7J#72GbJxu(`4_og^q^YeH6K?ce&_lpOCl}GzU_Qlmv_2FMYelY=!P9S%n?hb
z{dL&S_CM_L<JmP$OFM$oG?knM*Oq0r?7OOX_NC(dbMqGWU)p_N=5z-W!_$NLT5t3{
zJ1(E{U8iJpM0DBx?~k=kK4uDg^6mBC+h?!NO1sl-ymOnNrL{A&(ZXfgd*!DK*h_3$
zFhR>#+^{}AVB4(?CNmDa>|3;FfspO|ZGxQYs%l4fN$j2%Y^{~|L|S@kyX}$5OIejp
zU9vp$N-g+Yy|acNM>VhV%|y1=AN9`51l+r0DqCfK7@M|MKTFb<_^>qheB=%NMX&RY
zTFtXx+xzO-nd8^GVWZHHtw$Hx4r!<`Gcc$yLiQd_zjJ{RY4edY+f@mMvgeb%A8hbp
z|G;{fr?{(s#{~XV+cldR?B~d>WL?Xe&E0mF|AghIWWyzQZA5~M-d+u!%4933Bqd$b
zbz+*-le80cliM<z>MkumTGqL&R+G7%p^l-NLH}mk-?p8X_Pl?%)MS3xi_WQmwacnh
z<{Qsi!?wBpXa--%nK{eZj(5mx-_&yUOmCNZx*La>y7NP;H;nO2d&+dZ&zvmTaxO~e
zY0ArMTDeO<t+eu=G4-j+zAFwT>POpU1Z^j|?_nrt?@o-i^6A}Hax3cT<fZ<W^Mj<W
zF1fhZ{7Iv!&Wep&@{FfM^EYp0kzG=+^7fVMR&PfS`4`daD(h!{@0z{N|Kp{v8hpQI
ztStZ8@osB-cHyql?bX>EOg!g*656G?L3rExtBpRF7Rz;=m8kH!v{=TaC`vOx)kD#P
zKZAK@L;Z>s-JNe;Z|~k(`?;>8euMS<_<qrKyD#qX|Fpd`Nl~A-)xK=@4JLUlv2NG*
z9XB6ti@PnhY|2CVN)MJ_^-;2thdpiO43EG2lU%#jkAX-3W5>?3pSN1oKRo0)=eX`1
z7x~8)m5-Nr6&;F?o%ta=)bw9gSIhocT_3_tIqFTzKZFNP7k&PqKT|Hh!07$f)`=TV
ztx>rAb;hwYMYYHGqnoWQW~~#i`hG8Z^Tjx|$}hbIZG!XD=A8^s-jcT1)S<C{VSt86
zcb}k}#NitsFYFXQFoEOD$4_zwZ9PWk+3mmick+rT#jpO{^Yq7$Szak2I|7^AdbtG4
zid1&_^(kDpe$0Nq`)*74nHdEi*Uw&8uJ?LT_>+0pOXRC7A3oV+Q04le)Az@E_S6*<
z6PhQuFt&1Xad`!Kuzz5hDOJQQ)X>zZQvXa~(<F~+pA7vE=gBHxa#FprOTVv9W}<o8
z)rFc<KJ0Ca$eCc1#A$rT<jM(;0-s0EiXBfh9@>#p`y`Y#aW1pUwF<-M0Y1lDojLlq
zuh}Tm%D(8s@fnY!w)s~0mXvXbZTr?zd+}i4y~wP9LytmFUCLf0P}Qi;ZFJ>K=8{7V
z@A+D-9rGJk&gW&Ta4inl(X;*1)&9y*l?J7`cSV#s>Xu8Y9tzEmzqpJ0=G7}Rj1KhJ
zqvWW`7kzb@KlOM_@^fJZovH2ar=trxFZ=Q1+V6R9Z3E5N*w{GPSlJj*bVXhd;&S6X
z&A`BW8ZsMHl#&FW4FXO0EcpA4k%2dYZC*him!6J*z=d+={<rm3uLJ(rUNL=KA6D1M
z-~L%LPEO6`gH6oid4`qW1syeJ$DU+hbktSVQ`aks%c|}Cy7cPwkod08LUX1E#aDe6
zdgZ-be`n>%FH0{?_lVE>EHr!iwLK=kCcW^^s*mHU<@~bLM89_5lb=D)z31rX?pyLx
zXx8*|d#3!HG;_K^{H~glpOzZwhwgLvdFhGwBz@O?F3(g?Oh2|q<=IjLecOFcegr-C
z?$OuXx8z6ABkvA<*?lQLf*yLe==1JV`5`o8`o29+o-WnXFRaWw?cJ!)xbMsNN%y^L
z^)o9w-!I)ey?)c4mZwX#^<VCt@?GejcUau2PeN0tzl)poY0_QqQhm?L&UZ^S^c^cR
z->L4LK5vi6_eqndKZvWUKAF4p{?wZASFxGrz4mCojLa-oy*u^OnwIpXcc#8ulaj7_
zXKG&frr4Ebs<)=5g-?oIdCqI2_Q7>7=}WIqeYWPwu1RyIMujKEX4aRgUY+`A4NLmc
zD^u^SY1wt@rB_~<QS8bR)k{-vtvQmm)Kt57oyx9Bv!*(QGsSA2^_s6;x$eqNq32$+
zwKLbXq%AepPF<Ihrg~<oUihY%m1n%BY6q?}**WQn*F^1!k(@<K4YfNXHP3kUYg?|n
zvP0;xSGTt2x+yz^9(lEEORfvqQ7`n+t67_K9ZT9$eeKG~m8ZR8!ftH}df?Td{det?
z?LqgwqQV|UXXY=}(N2tfdCIFw`{~-2?USZXUA4v~b?NS@%hsf954!6W6t*Z@^ORRW
z*sN&Jyrt^eN7p_{QQa~1URcrZgYWrk>M#6mwzYrow{f2Qn|}`9*(>WBp0n??-|?Bb
z-rD}a--B=YbLs<rGvAUw^UvWMdug4(Z{{2F7k(am&HwDrfv@aEbr*gyUz1<+&*3Y3
zVI9MB_LcS(pP8@7&-r)YEWb~Ez%S-Y@>_l~&X!;E^WY19m-+?I*caL}{B@iqU-R$4
zXZE@F5B?l{#&1&J@QgjZZo*GyWBUVt4nF1AsSkKo&py?D!JmUq_*Lo!eli=`H~cv`
zL%!pu;|zJ5e+NFY_u41?IrxZQq<+E=<|Fbl{|<a$Z?#wWbMOH_OFhFg_V~I7PuXMZ
z4m@R#t`qpdd_exs-vjU2Ywa(5V%{hJ=C8tO{tbT~oZ|mdH{m<;9{Gr$j#K3WejdEb
zzwFO}ckHG16FxE5TZzXfYIfaA$;`}Mxc0>%DJ`ukfv4;Nbq3#=cgoxRbiBhq=MTd>
z_I&$-+XvtC)%Z8vb$rkF?M*{DdzH+acMj$3m1Pa->^s%pezbjA&R!l8p8p_~y-eo8
zy9Z_L+pJgIWqiw*R<5wS*~)xH${xpAcTaU3x_jUx@1rL=`303hZ|gm`?NGmI`SKhu
zm;a&Spm~!^{JU&D&wK7M&)DNQU0P?}lvz`DH{Y1-#cJ*!l~W^<TqPvwX+C+bdgZ(?
zoK-<DJhR+5*?;aiaZaI(ZQayqeKUE|)~i?w`YxK}mClxv=3w1?>-OX)X&=fj#Y~a>
zam{z8%}TC$aq$Y%B}*n2U%Javf8d9xoSL55xdegF!9|x(^CVn6v!Ix5UeKz5mAe;j
zp1o;7Ia{&*hnmgH%Ge55?^w5f-&*62P0TlBFWfx%n(x`01EuUmWfy)i-Im?(E}@it
zm37BvW(#YNy9Z~>F4*T##=cyC$KJ!I8M<cOm)vpo!CThqCvgqW4NNwyH)PK%OW4(1
ze@S-2y9H<YZoEl2%jZ_Eu#4H$n&U3x3%*Nl9u%|Bvwm@h@j0Ja`GTFyCe{|4o6pG3
zxXJj8Pp>>-XS0#@iaU%?`P9l4b~c}oop6)!3E#0d4rlldy?Ic`-e;Y0hw(9=Sowk-
z%|~Pv-aRN_Z?o38!}ySotz2OTvw`)GP0ae%FE-UP>sjB})O<je;oXCL_B!hqw;QL)
zet4UZ&mLLUkjfrjwqSen9@z(P51is#^`_wz-@7t{RQ59K6}KCw$X<A>aEfoin}j^}
zBI}Ax%{yc*ZZh8CyI1z0togq5k9!NgvsLFEsAje`zp=OR9q*?*4Ci@^@(Z4`mE|>5
zH{X`Nac{vlw)!pRGxj#V;eB;S;Tziq^99At*QL+wY<$i8<j#VxY-`LT_BOuaeRPN6
z9B)wmhi7an%q?oW-?W5D2ux+m%S)(gz9c>2-h#8dH|`{y<#o$fsA4uX=h(~mg7?y$
z2cOyInZMY>_?*`)e?cX)iMd5_^BL(GI~kwx>g6X?HXE6**u(g=o>whjp|bge^n{&^
zPk4{raX7<!=+1+WY<=b#dl(<{isdh;Xg(sXaPPqfwl;H(J&X@|+42=Cm<`N-6fx_Y
zzbInXGrv*Pd_bDv-h=mSb>=U2H%^oOa5v#STV!6tQ?~HD1?A0qq#xWpaEf=;orY7q
z@A3?uvXz;y*xfiq`odj>Q@r&H?j*cpD>APrYThAjv6Jx*@4dVSWtZN2?o<CdZ_9I)
zdy~J+*-|~}ooA)rq2i!-p5=a<iiO^JZdboKFQi)Nt><R-lk-BJFS#)}!GBTlrPrRt
zenqyP=RDV{Z=AQKYSJsuLcgZspjV#xeonS8zo?k2&zu)hCG^rW*DtB~(yYmD{`Eq(
zo@YH5syEJ?@@&cZ$u|D4Y&t(LIXl_J->LZ0%*jUnM#VzUJg2Ki&I@_A<kVyh|5-Mk
zpO&1Md}0nu<)s;ukIWHyw&eI^8UHMs&W}ruP8RX^vf=!=<nUx3e=VEN4@(YCX7Oh#
zzBGOEo;g>Zs_3cbT55h!IWYO3-zV#p?^SfwKi1FPQXVvI@`gDjPgV9#{^WPa`s69k
zP`^b*m+pE7`)#sb`A$Vs{o-7arz#riXXmPvUz$AmkzY}f&>hcw^_^!Ql(X$Kelhpp
zJjoB|9M1EUr7y5<wlO|n+<Zs!&dkQSk`K;3C}Z1ZykainTb{IZ1?y%j<BqwEZ+Kpu
zc~Ht$oc6$qx!%$^V=m)sp0M-<R?Qa14aUt^B=^i@e8uCJ{veHQnX$%P#+N*|&NQ6m
zxppR@gl&;=fN}E$$%b<ZXL;<>A6Pb@lPoxwP|P;V*ul8j*!aYp#+i}{=N=TXO*7Uo
zZayU$a4w;UZIW@v9LAZF4(Afi@Ekd#aE3=N{eeaEF-e1S35E4+UB(`B7$5QQr6*W4
zACeR}mr%ggWXxdPtZ)3ms9DeWfl;%rF~=On2R#4M9+)@plgyaOc%SE6+JscLh_r%K
zwkqQjvl;L4tU0sb6wit?5AxW8(-O>^cS(B8WW39>=nTUto@Z$a=FRHH9kUxJOCC7;
zAeSvq|3_`(d+w_E4bNHY_vl~v+;ms`#Xg1e+#mKRd}l3>OL)$@Lw`qY<6G{mc!%Fj
zH^oovTX2p$DW2gsla+o&?ZG+XJN7x8<Bp0y@T=*n_=<fB=eQs2QTWQ5AJ_1!>9Y8a
z%Ep)6UhxjUn#}YQJ~x@_OVl>L;J&g);S1{meUHyg=fx}bE%<D(W#f9`42}A2C;S$G
z>YV_W6v=H3pIK+?U#MZ6B|fLp@fr7-Jq({&r|WO1ahxe0vajJ2>ty{2HI7fXPwZj%
z#F`wZ@QgJv?!ynJ<Kia!8a}di>j%_0KH?ULFZjW9SX^XZ!w1%8eSsRr8R9jSjnl<T
zDjBDX=TtgA;AV*b@SSPD_?Nv7r@8BY#BKQAq@#b}Q<Jv-g=)up+#li+p0b9<IXq=8
z*Pro;X}9>5y$+|iU&IMKWetck_|CLb+@{j;4)>fr4DVR;^$Vg8zUQh5zp%T>R{KG0
z<2=zf>m15iE5jPnS$Ash*vw?DeIWMWTdth&fZa^DM9-{qC}S-R6WGmkL-a!A!Pi{R
z>en17Wi1N3u#4%M=$ds7rL2Wv4C$;ZwJSC=T@jtL?!Z|tpYVWPOqWErL^946T@!im
z1(!?sf;85J+6=LdvqWpw9Vlj<tNkG6;4?0h@P;(j^sos#nT)j$#2kFer4t^I#yVAd
zLCnD?Tq@xLJDH5M8)6R55bcO`oFQtn?m!`HuXcSx%)v)oBH<HuFdY$<S$Cj-wN+ao
z=HLS^mT-nN*7&dosjRVK2U1z1!vuCP9T5Gq_CP*st@edYO#4LNtW`M8wPDSJQ(Rxd
zCTwTgBN`FuI8`(t^59*rWp`Sixk@fOkjGl8Jz*2mE>V|A#wns_);i>|`iBW@XHwU0
zh(36m>yF=t`f8^8!e8bkoae0YU+|n|xB3NJ$9cjJEFIr*zMFI49A|-lK{eBD;VbhR
zzOigppJ3}aSNO<0hHoq-ejlouEY%Ba8|Mgbnb+`@Wwm;Mt>YZw1D1}jIPcA2_{y?e
zy`Y%svhb974PRJt{SG{1$@Vj-V!9~2!qV{tr-T25XDsv8KiJeeKIgRX-%!bPR(OHs
z!I{D-^A4QhH1IE|WI8Q8#nSO9r-r{lCDTb^mw63mI2HU2p0OnP2|Q!zS1+(}e9S4~
zzoCNZsIbaBhL0@m>IOEB4>>vf4Jw!p3O86DoGx5p={Q}u!1CZUVU~FeA6V+uKUh27
z=iD-<;XO;W`iUYY9rYdcMNE5zpUh==&l2Vr@RTLQub{k1Q+<QA<6X`ra~j^U1o|;N
zWhqvlV1011@R7L(PI5l*`%uQTUGPuefpV6;$~TOe?g_q-Jot{I#C<|KONHA6>n3aE
z4aQ7&1n=}6C}Y{Gyg>Hg8;%tBfOM9P$_=uNa|QSGC7k1kaaTxZS*x5NTYvBsM~J&X
zI!l4u1gj?V@`a_Pb6*@1(&A$1U?^o-u3TWubXm|x((xsShx>&zmK?VYX)KGC1!Nm%
z3AXexl(1ylHCQ*D7p&-8P|Pw%`G!p6GmZ;A56*BHxhJHt%uwDT)A*F*RL_DUmMO|J
zWE!7vD7ibNu}n~wFlI7T<}hwLUN30Tx1f-vM>#^K@ezlRdxJ&OVL^$$1qCcE$`Ue-
z4>%6=JUGp<r)R-wjvYM-r#YD189E-kf2!7y&Qh1<cGKrTIn%1m!7C~pFPJmw3ZHN}
zV9t0~@QvaLBSwRD$_IqBtr-s<+;^<5KrlwK@gBz~w+3@2ZRHh4Oj^o2qz_IJyiwn~
zAde-;&B44$L%G4IX{X?c-UlZ+9=Sb8VJT3qkZ!!qUT6Q{cjG<&H$NHPvwx{c_|Cjb
z{={cS8~GD|56tDi@sr^ld!Bv5@5WpF7wQteF>jJz@wxE^|AD%ObL?^U2G5z-$xry)
zc#VHUUBXx9Rq`F587<^J{vMdkzo72H7v?;>`h;JNm-r{tEjY`5qbA`jyPLhjFGf>&
zj=u~q*e}&Q_{=;{{>2}L=j>+o3w|=1$Xk4FJi|ZZC&M##J^O^8jYje-{xCdcSF>06
z*?59~!cT@L?8j;x&afY<dGL|BPd?)h!((<a`vpH5kMJwhJ@~-fCa>{_;UPPly}}Ph
z1Nk4H81?JrUwmTJlfUt)@c=(V-Glecb@DHMH%#OIP@C|cInu7-DRa2pg71xc_#f0B
zIK{rIrr{L(J3E7?%w_T`em6|vzfh}iihV&%!aL?7`HD}CJNPYrGTdRmXZK)R<9*&A
zc?-&!tIZDVX0(;Qakt?e+ov3c^K3=t1?kLXW(~U=Z}Z;Bt6xyYyhVD(-G(=8uW}U1
zm^Vl-*xY!X_sq?P*KAL67L+otk&d|A@QUqG4#PRNAoCAt%qyfV?l#QkosqYogn5Z{
z#%4w{>4?pZ7kNAK9L};inKSHaG?D&sr{Ou9mHC04jc0i?@)*vtoy$=uW==C}*x7iR
zHzIGr88$6*hn<Zlc|Gd$6pENr%ouhy8cGXnZZwdtxYO{MP0IYhj>e<B8hHwZ%pKAe
zcN!kDahW^pXgtWvk;ia`ZC}oT(`>tP8cwrq%TXv`Zjk<QyWu|DFS7-y%r(+CZaYll
zO}KerD({P2g?#1;=?$A2wWJqpYTV81bF<+t+bc7LROS-tj!ldj(lc(?H{4;Hm!pu!
z>}STXy>UD5j@Sp^nf8gl*n40e_lG!#^Q>k13#uD!#19lV-r>HpvtcgxgSZFZn6`<p
z*vs&iHBDcky3tC!V=u!S))z4kzA_c-J*Z-|6wlbp@R~JDe?e8Fg?K}8;}z~bI~iWF
z`sqJ-#<WaaV=u!?)>|<RXIZbsB-DRlS|lD&+<1YzAx_~etDXLX%Eoit1#t<VnP!PQ
z6gL`+pV-qdlRF{q!6&9^;u^(`r?>;+5<W3a67Sf<Fq7LMF5wL8kr;(DtaADfDjJV*
z8^k4iWa<+4*u(IMl}|sRqVW*7KwQEHrY3QQ;zoV(4@Hf7;tz@%b;UXMFg#%Wr&s@=
zym23Q#!iO&tl#t|JY|Z|D|pIOC4OQz!#&nDF$+$yu84W?jwx6#p}cVyx5rL~yR3_1
z7*4T1(^DvKR2T2q-7uN^K<tCJOnLu*@4NrIdfxZ1f8M>Wn|J*4&idzXZQkAA_x8Ho
z+w=S0T>p8az1Hggui|@OpVqwI{O{H3x;gEiSN^Ym7Wn_m-90a-+r8XgX}15Fd;FKH
zH7}a$X8o@@|Gwtj{hG7xpVRF=pS5`wzwhbvpQo1pIXV5$3H8qt?4KR2nNeSJJiq2x
ze9ck+nj`i#hxKa?$=4iY{~Uksba|!T{-@0HAO7Ea|2yvV=fC&TD|PChezkl5@!qNM
zO0D|u!S~+1jyrX^zIICcr(*r@{&w%?+T7W{_clwN`UC66dz^1(GQ4N`;*(I$v`hGe
zF{6#}iMa>na^9H9@Qx)<J;A#17Uu=Ogfga0!Yhm$Z*U&)YdFUer*4qWv`%<}apN`4
z4SorwOsj-Dj2SJ2J?0*m&AGtuK?zfyT7p&MCC&+c3(m6K@JTq!;#RM&V8v)E%rTeY
z1<NI$2gOYDgkQ{Ic+O&`zQB^vMA*W(@eJpTnGDZZ^wbk98;yil%wc%SqNc83*?59;
z!c2xIEXRBt&afQvc~HpIC!8^d;W3Mt`T~o_Bb*9;4+@ytgf-?cJY->0SFm6-5dLAr
zs4x7&h*3}YhEd}IP6odR`Aqe7!Y^hwOym6En~={Gsn(Fn6t1?wym1fb1K$IuSXTKo
zoML&WW{}EMCcI*H!xYX7z6z&U7WgFOF%=0{7&Y$Tw3x|ohvlBygKrJ@*?-tA_|8}@
zcc5Bqx;UdH^B0?h?~J?nPkd&u;XhG(U@rTON``mLdGZOr8*Z^*uuJ&HxQTy7{pW@o
z><8=`&N0Wy8$4%R$3Nk7!!`B|b_rh@SMhgzzHyy%i@{n$v8ad)jcps)MDA*6SvhGb
z88Dn<4wC=yjBy3OMQy`u_8E2yzA!G~&-lz>#vk#y;Uas7ox@pXCwYcn4JQ0QY8sw1
zTge~z*>ILU!;axB^En%Z&x~nu$p=l78S0-g>&YklY%t<qQN!?*SxsKyXTu5h36%^_
zn2+U{wJp+G!_DiXvwFpgj5FCsJN4EqT(rnwt)U5%E9)Mgmbnhz8<pO$1Wpv3G-INZ
zdyui!rAHpU%B_-|MJh*4l|%bdJS`?Y_L!-Bv~P-)(A<d@?pDS^vnHAx@8}arSGhVd
z%)O{y*7Kal+7!1eW1*KGOO<E#T}fSHuDnpLZ%Vq#-H8W!Osprp@yK&qWqj#@M}eD_
z?8*X_TN5w!97$brdZL~CB$=0mDsDE)oP8-4m!?mA*Rv&c$*qYA?yqD{p7YqDe6#O~
z<)ybCYm}e%xmX6xoG7I1-eq)YYJZabtG^!S-Pg!J?5ltBed0U!P4ai^Ql2lik)Qf=
z#ka+GC;qeB^tYpY$=-eqd#BHVuicB>CK(Cc^{7=o+RI{b>5fN%a;Rh{|0$0syQ;Hi
zr8!S~G|JzV^n9;;YvQJwEzcKQ%1`|H;>s80EBzt%MxQS}bMNn!@BG>ELHXq2egS*0
zKN;T^AMKa0FZ!c#rry0-{%@_xGvyQgO7>cRPL!(b?02$f`upOu^7(!%`$vB~KA&-)
zDSz?jiT8_7_v_fN`lE5qeWkLkWalZ5a%Er1lkXNE=-*ZI<*BlPd}5u+uZy$#Z`HW`
z3Vi9FXBYJO;_QAG`>4MhWh(0OS0!J*U3|a)L(P-Z-zPqBua&R-*>T#vKAOQk=#NL9
z@)dLW#Gfxtx|hp8tQFZl@r_%7S=Z;lX}v+_m+r18UwpTJO^r*cvYGVGI+j#rTj`H=
zC8>*TrB~LOe7`uQ*U$XW=ZUx7itM^JU7Xwh$?nqa7vGiDrLX3hq%XeFn`2&ex8t09
zwe-QfCuxiC^rk&D+jaNE_r+S$YwPMmwhO*?FOz<lmy*8tTK_$>MRz?)luf0l-aJvf
z_+0OWoRYM~XL`@%wCtSt)NQ(SW}e9|!RKz7W=wZAN)})0^)df-$D?TR$zCP%MRz!g
zlnteG^RA>VHk4j_v*V20ROzQTJ4zPa?z~_lQZaE(_p=z6bfvSMX6ldTtoW>Sz589v
zm-?!}*RE^CD|fDVuVf(38CT*_5%|!xO}KK_PL9uuPIunQDM?+ttM`*y5_47HyzVzX
zThbO?ywm+EW=hq?H!kyqm(KJkS#;r6caHw9y&UDrdpfW9lssFsv-^ozk;*OIS4Dw$
zUCYYF19z@C<r=2fwA<s9Yn6EHPL9*Ak$SgwcksWjU*uyW{xWV$^~8DI|MWiXesS7$
zinwo_N~PeG?nN;!+XH8Gf70{X<MDCP(QYOEtUV__E;`<QD5j<2;*4$ueWhZ-$1cmI
z74xR-nE1%8P1-O|#C+oHP9JrxyDJJ8AMX`2*P5eo&ZS@artg&F8K>Oh%wEmrC|A1M
z`ON2u)x<fycg*UmZgb=*s|)YVD=EL2FZkZgU(M-mMxL^U@XB9tSE?`0>s^%NvVGz`
zw>oKAKbG`GS32+IsF+WD>XNFqYEQ?PMP}j~cV?V*T`U~v_hiS!sogI6LB)YDT&D_O
zG(2Qfd2wd<C5h6T9jD!*&A8@pY&+!^qNla@#1|!V@wss-y91|n);p*lnsefe%S`cw
zabMDuHKqUNvRGVv>fFHpSJ&>z_X&@kd%O5~{jQi#oYu}IZ&dT*!@@o7Kje~Re$}q{
zwD3f`jr^@o7iPELnc_F)sp6sbYc?jo0;ady$xo`u__XMJw~hR!niroIo@=*|zcr`h
z{ldGQkK}fJ5}4h7%SPq*glEn(>iHK|dYpG@@H=I*<afZlP7e7?P2VR>Z}*WuRpaqh
z@m~888<A%V-7hW--`@E^ZP#p%!bL~gbL1D*zWBEAYI~IYqfY@ZoRigd83|51((WN|
zRm)MT6lBVO)-J?)qTC~wc40}sFJ=>`Tk#*YWBDyGx06f$(C2_z?LqRBK3$m8`Aw~U
zQFX`Hg~t37D>cqJPvJjUSo^~JrBkL@)@Fg(&ChIHo-VLp-zXPSJ>jim8Q-Gf3$LBB
z<(jHboOCYax2^Pew{WKh|3%%Bw1qpHzsMZ9y?ess)+c;jwjN&>Ty0;XBeHA4tX3Cs
zsoIQF&gI-6^|quhym!C-o><rBT>`J2)^eYWJW*e|(2Dz{p2=>3w@&N1L-j(^6i>J6
zi5qPWc;+;jdtszUvEsQ_D{-dS7oW=%@3g*oqBkXd;jPxPyJDYePP|)arOVB$r?Nv}
zM(cwN{#mvjWr~Mde~1~yo;d3?g*#Di%gzaJohrmGZ4S8SROYyXKXj&WOZvj?t#|l-
z*{(R}6rUhoUsW{Wons~6tyqnB3iq2Iu<VpmNnfbR?P$58bbU}qw}6bl-=)@)!xm9;
zEYB9`v!`0Fc&}j2{#SO(Qw2SCRXG)jme!98&gs>K^L;83c<9*5E~zAE!cj5d5mOoe
zA#06%#(msBY+vLu?kZwGE6eh9frdX{ll6(W3hLZ1csE5KsGrxoOs1q<U~2QK7qTwj
z84Q_H*jp?cX0qGZ9r(!D%b!ql;1RQk{DdD2N7!ZT4t!v2<yWXV@PL^`p5Yl|yxfDQ
zjInYDo-#(u3H)F<!2ZYfK)%C$23_VKavQ!k=<px-)S%6Oq1xdd^9Q+vr;MR;4ygz3
zGB2|^@Q$&Rf5In*UF`KPl?+qZ&)7P=WAv93Fh6jT(SX0;C&Lc*J+=pOm)xIN<NiuE
z^Ss9%<(HC~-x-pgG@OdqD1D-6!RbZ=b|d3}S&a|aii`s8IBaF!%d3*Au$!Tl`Q+cp
zzy0UM-n&;{^Z%#piQEOdm__6c<S($i#?Y~a=?c%2-|S1$7hEyh%*@E=Qs0rLaJJEm
z{gKRyVukaKcI>BQI7${=XuQG`VtGOSi9-rom&}Vog;R}E?2~GYE-ZQM5X1J$Xu|Zy
zeLO5_3I`k6*tuj@6et|7|G?8?5%9>Ni&>ZNNQK3PDZ3jt@wlWaXfr2Dt~h0%`!7*t
z?ce2J<%2H-U%686#kjrXr;^7H{{{6k>-GLyE<X9wKYdR9rGSqnf7n0DoB!Xj@6ffG
zd;cEi%X^dfX#QjSlozj-?Kyh)+p9&J+7w@c8V5GYPy0&JRjidC_LW#qdh4-O`C=cq
zu~6#PCCgc+Vx_#d&m>*t`ot$aSFD6yd#qMo32Jm$C@<~%lBRNHqMv(_@uZg?^-Gl}
z_FYL+F;iYEnOUN8apIL85i6k=9+_^xWKI?@IXBV5{g#Ypv5JZETuIGh6=UVZz7oqx
zPd!rIR>^c0Ejc++#l1_W^Nhy?<z7k8GaiX<RWc_FmmHfY;T|R9S-9lLL;-gznUe)e
z4o&26my+=;P%%)hl{|UcBi8Ma(WPk<nd;q{WM1a0?4S6{?UIqueUED8R7uX$9^r16
zq&4$Z_Do#U6OyW;rF^&7Bvobi#8;4ZNT6Gj(WN^cMas64nt3Yf$_IPDm`%FvzF+=l
z-Id=L=k-_EU;2FUo%=5Nr$0H)yYH00`}4#(_Z^@%&hNmt?px%~)~WmsoZFvd-}JY>
z<DC0?`LjPyd{wrT-&%L%*Tq-vtK^UV<T&TPQhx7Gj<1U^_ur{W0W~O=$Z!4Gan?QC
zPU^467iClV);gA7fwTJU>_7ck@mcv?znT4|KOAS>)9p_EIdR5)hJ0*Y%Fn=={aW^m
z{$zYoKH0Biuk=~)iF>l0(C5Gz{c`q2e^z`{u0Pf<W*_uN<D>GCem;AnKPx^cAL?hb
z7y83-#y#Hd)2G1c{X1&5JY9UCpTYju?-TDA@9W=EbL9KQd+w2Thdy1L+W*Dw)NhXW
z%G&awKRZsjm&^P9Jn?SvuKp!8F5d&E^e?C}`9ATEyT4u6?-M883*`6KKG}Bhz1u$N
zuX$V2mGAX_sn6N6d*VB{O0z?o1K+uon{C=G_|9#+^v%4G-GXo3HcOw(3jsA@63iEE
zzWCa$*sSQT$2qsP(i`)(?3(z>t<bD#bKontd^4xJFG`fnrDx`a>=JzGmTQ)@`Qofz
zH*=x89%tPaN;l?BNn3or*T($SosQzgXM0V|oi<;b*=uBOw7FjJncH;f$h?rW#ix2T
z%x8gGGbega<go0#IHUJSj!4?#<GnKGS$8@L7a#2vG55N|QMmYUFORv_osNRV2YXq}
znKoaX-n%E~N~*G+bnZ=!0_6j}|I9w!UXib?EB!Hd%l5!&y&G~$QkD1ielk0B`@|`?
zP_spwF5YzuHrsT2MV@lKru4;JkyK?3>9e^i+b>S;ePmX&N$`$azVy!6FVz#@yY3Z#
zyR+lFlCAjLxFywr^SVF8OsT#&xBE>@OZCOKuG_?~#<^5qeB-)F{OV4PZ%Q}1U&MT=
zx;UphMt@Q<xMj6AZb?<(obCsp2Gi{B0DY^yC(gPq6Q3IAQWZG6`$m1tmS>AD!kP^W
z#Fy@T@mcA7x0U{*JsF>s&URmjDS5W&O!t|XmdcAyU8jjp-MQkE(y4AW{YiT?J}DWA
zJI0wjQ!*59-TC69((!I7{iHn^AC-=F3+X%UdGSH%a5tB}(w>YDN(Z|S#C&<Gq%U5&
zv*WaDv>w+Ujt`6WcmL6=f3@4=v}=v{+t?{jm2|{ocY3^Ew5R)n-ln3!sog7LO3E)z
z>3*ZP3e<2b5qI6mamuw={ABExCyRD;&xv6vzj)g<Px!Cj6YIeDF4by}=6alW*)9Ci
zZ%Vq7jqp>yl5{0&;fH=D))U{lY!$xfXJS3^jZ3Lo*IbS=B`e{*ekSQk_18O}_*}6P
zeC@JYc%`36x{`(PQok>0N>@7l)QgNKzI0hCJkjq;nv$9D+L;+8N*6n?_=s2uzHrG@
z`!(l8@uG8`7V5X=coZv{2+y6VQLJPvoak3#32C0q=_p!svQtI9Yfi@*mkGkXGd<3@
zB&t=-IZ?RiSf_+~)ErP-roK}^-D=K>f<=cqIn<@*coZlZ2-nU$aoQzT?UB*NX`M{!
zOmkl3EA8+6rFO|k@V-m6aOzBs(=Op^mu73^EA8oA;}epqq$PaU*CbVGcjqg$Nk)Qq
zT>{mbj4s}BDH67wsgb9oE_~4Ui`m56&ina)+Fkj5VP1QM{H4zq-Z}5$e_C13ao%|+
z|J}+H=bU%&-?dBm9q`t93;$U=mEQq#+mqy*YCFz3ujfBodE%?0CI42tBfl=Za$d!M
zw36eT^Gg1`l^kCeUT(i*lkzLzrSlU0t(6^TowMbnYCXOvn)0{WvHS{{)ov&Msb<Az
z#dGau@|S8j&N`>dovJx;#(4&RtX;~_fcly3TJno(GCnDuY*&(3`YiCoIayBVbHI#t
zIr*ZR6(1FkwTsCI)o6TFJkri5Z&b75gW{ofHhG~Mjx)~ja-Tj0OmE*|v*qc+1MLj*
zzp78XU%0P*i_MYm7w$Pn${qT2VQTvqxl`2~?-jNALn}K@IhXVMR-Smba98^h8<+0^
zQ`#5Q+n9WxaL3tSt_#$tE8yR2`()dN_fGq`zv^vCSG?EyMQ6+I3GbXL#SU!_c;{3u
zwrR7#JE!g3H}yh5Ew;_vC-p+o7v5-15MQ+U!fU5uv7%Uyb53iyH|lNKHQ|+0p;*)A
zfLBiWVotFyN)*kxXX=IQ5_sv9E0(nR!mL&|aiQ3HkF!n-xf}JSq%Az(Y9szCrlWY_
z*;W&Ar_C2;wi<~WZ5DXuG@UzAFC=Z@sa6f~Suq|(3s1D3&|%qmVMgl_9g(z!$6IB@
zvtl|57anaD5%-GWC|r2Bl}B7FrlVlt!B!S=rp*_ox9-uolB%f3og1l9pm?D5pV+79
z75R#~+#hwf)Nc=%*1AEbBvo;5>nE{8(I-whg^DfObm6X3u-K;P6?ux9+!u94QWZ70
z&+4jdzc9J=kyz0tfjdt5+&g8zR8M&CxR?E{Wyf~~TlTkdOR59rHGhzqQhi}=^Bb9#
z>I-ijx3OQ9bE&@Y#&Hw-RZER;3OAZx$b6}~FsC_&e^PP4YsWS9>}%zgR0YgwejqcY
z>cZ^i0Dddm6K5Tlu}_tAsS23gd_!i-vjrEMUHF5F170{TU|(wa;<Li}W-I<jHW{B4
z&Ng3=DS5WwO!FC;mdXoH9jCERwOsK@;Z(C4|0ElYPYOotj&df?6b#v0Enj?8INmJ9
zpJbEqQQ>H_5Wkbniw_Eio9nswm25ITC>(4)AoJy^f<AkxWyfj9Xg)3*jt>j=H~-;#
zW$kg=v4;Jv?3AYpI_$BQ9`6_IY5u^ssVHD-^9q@g@(WX%-|(%n_BiEO!tQFxamuln
z{iN)dCku8o&yis%zi`_zkNZb#!+WMG@eS#Wd$=!bZn(?(LQmm5(+3@ea>nv{v4nKS
z9o#!&8{RTyi976WxXF4#Z^1dHByon_3|8C~u?Oa`?$C2M#}p-gU{}Lc))jgR=a?So
zD3mhhi#6<OxXijEvf(9@m$<{O1~cx2%?+m960r?0n6Bt3lrS#f_SoETp0z@6K{4YT
z?i(=;&zLUgJUGK-B%YAQID>mfOv6*A`cpa!iWsMG&xmPw!lWebkj6NHTVgYVAvee7
zhU2UjdJ75}d$=QF8XhqTi8t(MILs=cx1fNrg<B$~;Q`YDod>6x_UJ4)&9p-&;WQJI
zIKz&H{j5K97vwWWi5=M9u$MI@l3^NaOk~47rcYuG+ZnXES8QU?;@%N`U<&IE-357!
zLG@w|+Z!~v8#XoUWIdt#;3U%{u?H!P1>6<U4YwKV_#ad^++%)Y$?%@>i%i0IhF$C@
ziWzL!PuL!q%Y4I<;T>Zhe?oP`E#?by3Evntv9Bm@xWRltuHhVG9KXSHhIQ-{iW{ym
zZ;(s)%CL&PqnN>h-NW|4Y~}@W556$u@g-C>Tw<=DAh+Nw;|-aFvy5*13RMiI>>RcX
zFBmV$JowBokNt%W!*fP6{sol`ChQi)4QH5VSTa0g)Z<U6Y%pS9VZ-p0QH@`rvf%{t
z1WSe|jK^dg&M+R5dGL{;k3GYN;W48a|ALB!Bg_hN4?Zxov1`~cJY;0!SEyhxVE<9X
zpwIrIh(WKO{YFv40cHld2k#l`*k4#TOk@5aoA90?lCR+@Lpa}p@`gRk4`dIVVq7KD
zaEkFApTSdxGWHeL4O5se$SRy-Tp*M1j-iOXqNrg9vxOzY9macn56mvSci6}Lm2XSB
z!o9{XJX@?MymP2zJ7gU2&Y_%bld-@%hwaQa`9iD(-a2e%u0P2alD^<ZV*>jk;|s4H
zirI=}J<d6-W!}iQ#cIMUheEa{<A7HV`D{+IFG>{5nP>8aSP8sz$Yo11zA&rNja^9A
z<E+C%=0?6LX$#Ib+OWTp=_p=sw$X&$$@s#|Mk973V}WN5)0rdrLedtTYSdt#CF4=F
z;6&pI9u~_BGa8TZh}5SoINm73o+Z;!xZr4`2)maIN8y6QjXdmHG93jA4mPr|GZ|l)
z-nfV7N~(e$bFQRDfx>~te{7$mSL7?`GJoXVVjeK9aRX0Ds>0sJPi%*zPn>cHWm{x)
z;jTk4+a~E1c?z1$7kNce6*QR7@~W6$nB4e?t;k5=jzd24&cC03_rI^V-~0c~&-U-~
zw*TM!Tm0L9Uj2tZlYgI|TmR-y^Y8O-?YI5E^3VDA`8W2P{$Kg2{!RWy{fj@Jf1RIG
zAM=0WXaCpsYyPkKxA>R;ocafUCjUA=yFTE*<=^9H?U((Z^3VB~|Lpo3e>Ojxf3e=>
zf8b~T7xoMOFZucWv;6sbtN#!Gq<@w_TVH?SPw}()XX?-VY5sZssr|J7Q+_W0B!8-2
z?f=9->YwC|{yY3LekO1DzvbuikMhUsrT!=WN&hH+v|i}H<Dcgr<PX<#{a5^x{z3j=
z{eeH9pUUh1FZtPi+CKUp=O6YD^Y_>P`S<d-`)T`{|8M?Iek!l?Kjx?V`}uq7Km6PH
z$$x77ia*8m-_K8}fAeqUZ}(I7CI4N1vY)aq{(s`{=O^=b)X(|D{QdlG`@G+O-aX#!
z|K6_p+rzu==k0d?e(`Q{y1dQrC+~{W<*k1|cvrl;|E=BD-xuB)@9uwNSNg5<E_<20
z)$cv;jML?>*FJf3c^Cg{yVbu}yc15BxA?u}-RCs<E46;#3pe+_thZbGd&0ZRY4T>j
z*W671vTng5uDy1Pey_NxULt>?_R^crJNuv8&HY{QZu8FmXLcFiEI0c<vrGGS@Xqrh
z`O~$h-URRDe`+`Rx5qo-o%}Ov6~0Su?w?V6=*{OJ*X{bM-(KFq|Jbhkx5m54JNO^j
zwf~lQ7i@9OuH`q+JLMhxGivv}d0d}5U+;Ip&GgfDjlUV*ecs-G->&v|#?AKp`Fm?O
zy=hLJul@VQ+sWJc@7aZYTe*pUYVEskGan^y_rGfw^lf2v=6sFc4mZ>D<agH2dn3HP
ze{$`EZ<V)?zg07?Jn7|UG^s!5$+nwuyH8{ZSDtX<Gn#O?PKWu&al3bh{pD*O%RK&Z
z%&dN$Vee(P>SIQIhCT5$+ivRV&3?1-DBtd*3H4`_*6)tn*tOHouxtA@tL!!YIgf-U
zR~%8|GwiT`^N_E&;;_^?gEsw~hpX4FoAqkt(mttI%jf3JddU@BaY(4opk;d4uY-Jf
z1($f@HZ%$MJYbgIalropqjK$*i>|`9TP`?qTO4rsUw@}{S!-&Nwoi5stN1MmZaouy
z;Y4)-k)IcSSx7QDos!-B-BLuQ!SO1uOpZ&ziiZk9j=G2X<<*oTb`+E<I!%<dst~YL
zQsD?|(Ui$D$-1E7q^!*(DC2sqsm0ZRspUAoT$%!p!pvQ@j<T1yx;0{09xAbkEAHSh
z=>D;ZL%;h){U#2*?i-sr4!APtJ<1oX>wXd4GR^gaZc@Hrq*!CBV7S=A?HzkuALt%B
zrL;<?>6FqtF~d~BvhEepEmK@C=qjC3TA-7ZCs@>7v8iK+t3@Qs9i@9>56e34JO7Yd
z^j)Bu?_f2DZTk(|mUoJuWSGt?7V#H87bxRvtnRq&d_!*0w|aps?K5m!-YCA3QTisZ
zp?yJd$93m3mMyOppU5owDzK(K!nWm=;v*TRbBaOyAD;=VXt%I!ne9A7ZqXNkCG8o-
z9A@ni#T^%&JLH_sDmw8qR&|)P|FCI!u4u)75Y!sZkYhTlcuq#?vp^bOV`ax_=Loq)
zXB4&g9V<IdI(x_|)qfI5;bW}qFl-kn?l5StuxWX$D8+xUqT{HuhMdwzfsS?yo0f-)
zT>Op|9S5B`<e1JV?vpumT5*?5(`m(RGD;r=8rpwYx7=6!#kcUOKu!A%YnN%x36@8u
zI=_%rdM{AXzM-f?t9?OH$8KjI%a*%}ulN+73Y4^W6me*@&#-Q}Q?EErM(Le^A0K0R
z$9Cr(ypPHS_BFqdJu=Vn1E14*g);Vq)*Uv@2aG%JINp(Lnd|s~?@^h+w&oSGEN>Ok
z*cGiiteQJyS>7nT;CWOkP|Wtwio>!wLzd;WLKyo(s}76i2IGz^j(a3oUMcvoKTH!?
z)~q4R@>1azPt#e2YdlFM0`-fU1B^Q^I5zMpomH@7e`wip&ar?msaRlEvx9Mmaq|h8
zmYI$Te2<C*rZsCAcbswz;7ckJnAF@M!!py+fiLNd!Vw;&GYWF-4=p;5IU4XK6$*4U
zd&sanQs84xwCFhGD8QFgAkfszVBDeK{K2S0ula#dhi)^649f$Be{2uU>pS*2W=OK!
zSNO&@F;yUftuR%fs`-R8%RPlPJc~{#tl)W+ClJh*Xx_2Q(L<8uuEHW7rc(;f*c8n>
z)SEk`TP8am;C+-UkXQfXZ}WTks{b3F^Y5v@@VWi2{fmE!=jA{AQT)zd{x9J<|Bm_{
zf1BURXZ?5h-G0;l#J`2-<dgn0{ARDWs;~Hac#i#!e~#zmqy8WG)qd4}#XrS!@(=zf
ze&x^q*YK<Tvi**q%`fG>{yY3?H>*$h+-_Pg@wfSf{FOh7U-%c)dwgy`Z(s3m;b;Ci
z^*8=BKa;=k=iwQ7qyGud_-EAb_|yDU{?wm^pZKTL&-l~)L|*B?!!!N~^%9@i4eL2R
zw;!*!xA?d4BY#hQ#GmFz@<RU`ezYI9m-x5v1Aj}s#GmE|@(2DrJT1TH&%)F4JN_h|
zmS_6U@S}ad{g1y3-}6WPJMg`IuYJl-=4tjZKb!B#fBM((on5<r#V2;H`W?RyPqDx8
zci}t!pnneE+coMNKDF<(Kk@hBN%=?r9z5YMsIU0ld|R%*?)!t??e}co++=<)_vKAu
zIsdNe6Pwv>s!!ZKJlFQdP3CuUdEXOux8Jh8@Gh~8e^d2}&FwdA54>wUCl~kKAf10*
z^@Pps*K9YuODyGIRo$_f-J;s#?%~<C3*J2};m`Y)u&e!&?Syv=&&u6+lXzCn?YqJ*
zcGGH(yUZ`-F1>kJ%s;Qb`o$gQ=W=G>7wlv=skYeMe#UmjP3C8EdfyXvwi{KixWoKZ
zPVKwG&h`_w6K*m;kvsOr@r>M|HxCQ>`>HeUFh7<P`@UdD`w?4(cMl8r+p0D0Fh7)I
z`>wEq-Jtr%CU*Vm7n|7is&8y+KVZx7?qNQEUG<CG&C_f@yiLsKkNnn<%3mM;ZNc{T
zJ+=?t9y}$t>P_P*xp&_TQu)iOSKMx%Vte7O;wiZWZxZwPi>fO&wePUCxXFA+?%uZt
zW$pK^f81O6ov-@cfogW!@*8`b-^qTu!+2h{=zYO+zOr`>)$O;fZ`@n>jc-f&jJ?fo
zWMAD;{KmJTd_i&hb?Y-bn_tU5xwG&q-<taJh`r6PWFOsOJSQ9U{=+lA73CItn`c|k
zxVP{N-;(l-Vs^9gh~oB()*bg8&&oQzXQ*m7DgUvj`MIps`vaBjXRR~tF`kt@cSrFv
zU)sBd%J$RN5%(6Jk=1(dP}zRc+T)($C%%+-43+JM<pRa+2IUodnjgzby+2UVe$-my
zp5jNo`i^poJ<Shgx!yZev>&wQxW{-#cHf<Yr)78DX*?~v?T+FHzJ~H2yPNOJ{(86I
zDPK+bjor@EtP^$~o@)K#uHt*Xit-Ib?ONpvirRNu`|NDKEBor5!c)GI@{S^Qjq(}0
zoA1cZyQBDy&+i>WdHZ(j9cLew^X)5sG57F1%Ma%q&&!n6KVM+oZc}`~xc!dhote#Z
zEgzhFSjM-lc*R`iw=!wZ6|CE>iaX{qzma)y=3yyc@v{e3?3TqDbD3Yuggswi)oxMT
zVBCJia?ecWS2BLjAEfauE7q9H{8HxDnZ~m+*UluC@GUA1FmAtK*>Fzrtc>0B2bS&U
zEDO#h7W2(2b}()?F0MZ@r+KDj!nub<eA9|GjN4CH2AoSQ;+s_5F^74krNg<zGcrfc
zD4vm#d;Y+p{g|b}xx_-gu40cl%#URFo+nteAF>oUmsr5pRLo%9u3!AYs9mr4fl<3|
zF~=O{2QvSjJuq+IXPGgR`M%7zXA@HSBAykb@>La|n9Y1oX3d#}r({;tpLv+a7yK;2
zynUCY$4utCGK<bIo|1X?Ou@Wey|`m`^JL2dXCLPB<>mjVZGJCZb$`Qi-aYvjKDXU9
zf3Z*Ty!3}Xir;z5?<G9v-I2eew)w4e)_sTHZ8yzN>|1zFI_W;cZ#Jv^irT|-%y;Z_
zJSQD>|G=-dtL7{ADV~#lut)JLZ~nddhF@)$&39Bbzm)d6@9?Y5EI;9Mn`yp8ZSxE1
zD|-~b@Gi*r_}q5hykg(N&%AT;Z`3qDlfJO$;TdV8`w7o@XXNjwX?`kwYR|$?yi@XL
z)HFYlR=V%-jCVr5#Ai0ce2&j;$IUJFE&RyalOIvj{772pe#4Kp!{!qE7JlGu$(N{U
zeo!xcV9&$T(tGwSJT1LrPvU85ruz&(+V-3O*t_sOZ`8d5-`n<@r&KaeGmoikz9;?Z
zUc+}b?fey=*tGI@R3DyVeq-;#cf3LO9KN?{<Tre3+i8Ac@57VQkM2Eq!dsAEQQdr7
zs_y!O-EH?w-$XLMm-@0Mv7C2T_KD4GHrXd)56?Bd5n0dtPAczu!tS<PrWe*Fmho=N
zUa`6DhUtNIjpwA|t{bHDuFIaVx$T<ihINUhysNT1HnUk|d&C}|ZMtCH!xG-SYYDsB
zE}2ePxA3ggjWvm9rQEJ7>|!&`=7?o}A$4iZ!(!ff*)L+4pG%otU$B$SB->(h+Zodt
zk<8De^sXoDY%|JUQ6IznR7&l-!p^o6rV}EWpGX~B<9J5u(3*#ZynWdjG0cyp#I7&c
z(RRdCVco+5-nMLw80LpkY}XZbuo-0k*u<uv{bCcFUiOVmZ3j#l);-MUt;>E9-8{|o
z!`j4r-pFeWsl4IW7Hn_ZWBOq2!BbMJ)-;}ydUwqrmA5Q=MRfBN(+g|s6;DYmSd*B?
zTa;a~scna;MI`ebse9KRl(pSA{xNUicb@8V2ddd@({I=|zmxnlhw;2*(fNYsJZ0w^
zs@rZG-<Y@X8_$;X8Me)DBwx)@{Km5(eL->Cb>lOZ&95b&%vt!AXH9y9ZSyP1M{^j@
zNd}$&@Qi0gx`l1?Y~va87JlJblAcjt%x0DzQQUUXxMQB<SxKk!3{`C==|60mpG#Vu
zKTz3r);MDx<5|gba}+=Gq@8Q1Y&&fnF>m1+Nv-n^m2D@DJ?1HX;z>EjP}ydfE>PTN
zkX~Wa{8&=z{DF$LqsAKZ6hHEGq+8fDKa}J;?@-Zp(3oQ$;~B|)a}J)C+%>21wB)uq
z^@<;O8q$AQH{X~1b#B2^o|^O<*3Q$66D$u;HGVNy@jXvP`i7!5t@H&&ZM%(qESv92
zzB;Gyl&2)Uqlir-eTH@O9m#of6yNdqont6(+itw0_hC8DzT_9Ohvyl7=yN<TQFeTR
zb(>A{0pqqihIb^J=NdlfdsxP^EqR43^IM6u;|lfGZC1%0vdnKJUi3UH<taY)z>3W>
zIYXBDwM5wQ1y*eq$qmMBR}A+^GQX1WJN_VzXIZj_Eb~i=TRn|uC9d@(mhdb}4lr)J
zVA#;7cviyh_yfzfbA|<diN!p#k{yiOjFV5uG|x0l=zCbiGc8%exb2i-Kwn}J&!prI
z8RnUW4t@2BXC#jFD4vm!JO03;?U<oKUt%FoSF(o;^CJnq;|UgRhYSV!5({{mk{OKK
z^pigrwdo~4Fly6H=8$22Ao1_m1M{|hh8dE~_a(j^n~=&AajYPfrz-h`H1j=)H9ZSY
zNv!C3n8y=*EWx~Om!XFw^IeHWJ&dO$o*h##Z&Oe1kZ!J@Y<QseVJ=Tz{Exp)@5QV3
zZ+OnVC;r0c*1P&I>J-n5f2dLX&RxDQ;W_t?_#J<n-il}Kclh0UQ~yNW!gJzD`x$<-
zTE$oVJv2vuN1fw2@u>X=ezjiJUs0!cPW(ZQ;#cnceGR`_FYE7!bO>ZQ$X#E{{4eF%
zt5d=A!*yP0?iEN~eWRYcIDW<LRbRP-#P$n(pR6!N_eb5qx7-Es6~=oWq^v&0y)0hi
zFVjo0cR5ov*|$v$EaP4kU6AX%i}ix;k%f1i=HAdfbJOX?V=<lW1)Xk_&(3MJ-lq}1
zIsWENrs)T@FPp82pP1)(DpBS^_`3L`d5PRpLad@U{N^g-F4-iuEMwyA;`&{!`_|t(
za&d2#EAL*XJz9PrwTjg)YM;?I4(N!__{?e+AMv^MqJBr6<5_X1{S3caO^kc%9)9MY
zCvJ5#=E0r~KUvS}FPN$6_c)-WyQj3hx+!5t$X(T@dsBW)eQ<U9dbYzW^p<OVo_hOs
zctP~upPFCPP5l@CQ~7l{<4suUJc;^W^-0fGUS1op-K*B?>&mP1+3J-{YPw2Shh00d
ze%%}2x}`@?hfI#o{mJ!V^}hK=^Ech;Iu|xOI?(Rwk1I(JQ)jLJFz=R2^UurA!mHvx
z`cAxO8~SVN-LT4#`2uV21hmfd;(0Q4^5ywL^+9v)teSM{gXa19do2Ea`EliW*zU4z
zyOKkzUF)|`eH-pyuwCkIR_`<a2LGFv@~)<7Ut0NS+pjxamp^OXSgzr^ewoe9RVAxV
ztPP7?xy&c@xz*K}M<E??%O2f6btWu5;pwtPNus~5-U*4^XXBc-`p!y2|EUjaUj=?%
zd1P%>y;kvTOVOCA_t!s}_vtU^>3iX&{vZ8bmTjE1b<y5QlYZB47oE5M&oLJ#GovWY
zJ6Q$V&Mrqatya5k_c2}=RuP!G>P<}R?$G$q_u|?bMcV_XyL-wljW*S`lHBUOGbDAz
zlbMSjU6{Bi+N*e_x%W~%R_PzRM6y;-eGs~#<Vj8<b5$1C)T%4f7FzW?nb>N*TcKX;
zZh48fb-CB<1DfFnEmwV5RexnMTYHvk>#Mz9QzsXcuL!?kwb}XNCcdXuQ|2vZSsk~&
zsCeqj(B*|OPkBmrt(^I6mH+wGr$QRzOx=XM&V{C(lW8pvxfhzT>RL#oTWlxOQ|?mr
z{@IJg<o~|w+q8O?&8fFq$MxsjEV?QuesJ}k8pX}r@yc&mjpGmODfr2Hnmcu0eSoIB
zs5$?<px}!eHgHdgpYf;ZiT_&rqdz&%`LDE}_%Gzw<yZczit3W~9?JYBJYRax{BL!a
z7JUzvpF97}yeDP5Hcys~xp#i<lQ&1w)URKk>l0Y+)w_A}E5Fs&8{d6NQ#W5~UHR_H
zveM-yd-q%K<b5ZSw%oYiI?&22>*VXpcQT9B>-`LTOUe%IZk~OcIeNx!;hA%d@*mwj
zxt{O6?^@%XmBqpeGFN9ar8|WlZc)!Sn#iLx!#Fzc*X6~^b6#%GTXsX=rLOUexWaye
zXWU8q1fFsC#}~};JR>f(|G<ydqxu?kiXXW<QjXO;yzss0Ve27%fx5&G+)c(`>ln}Y
zRHq%{-OO1Z8JSkF?D)kP=W{3ZYkoFO*Dv|WG<^ei^ge+ftOxY})E<1VZXEyOchj`_
za{CW_4|x(iKmNvVr)l~LKMzgSe^IOWp1Z<+<3E+>++q8wemhOo5BPcLZg}{bTQ!NN
zg16Xb-dr{1Re{zK{TsCl-)Zk%|6|*#KTT8CM(ua_F7n#HExx1v6RSr2jNeUn#OKv0
zzT@`W$MC&%yZ(;c)?Kalm;2QV-D!Fsw$WZUZ|ct0d%ACKGQAJm8hv4N>s{R!d5Y)7
zKIABtbC+*Rc)s$S?t{FCW!&4MSKMWKE0(rhVRx%lbjMw$H)1bx9+q+!Z+ozd)iOHc
zF4JqVu<Z+WwOT|sY;L`xyQlsp(<?E*?GMtpmqlybWqK)gE2r_S*tML*67EIO0h?Pd
z=r-gjo)xp({$OY8Io*Q1#A5DQ(GHtijiXQ8X_~2<koT~Nds?){=GIfX0eOi<+>@d^
z?l8^Nb;wIRBX%T5@r;<<_6Iv!kLeoZB^GjbMSI*~dL+iTJz+=dAzgvI!~*X6rf7!E
zt@_a)Hnr+SKiJf&8_jWt>4Dh4Z4b7$?$gb<$#h@r+qMa*+!5OfQn{<5Puym@C$=VM
z;VH2dIS=!=gSRDYZ{4Nqag*t;*rFW9Q)17yDQs_5kM6kLG+FmR?!#Q}y!gL$Pk)EL
z53k<$=&#rL@ZIq*>!v=}wuygQSNdGrI==p4UFq+sZ^O67U#v6zJ@rj^>AtSNT;H^<
z;`i2>KG(j!{z=W%U!t$WSI4ic6Me325x=zV>oe^u>;3i@eV+O<d};i|x~tE$&EnVo
z%=)5zas8DVQL8I=eOkg7?2lji^VMhV^XsklKl+pPS^Mnz3pJ%_YDd=_wZ9Th{j{d*
z)6|)(7x2`#eiwb_v(aZ)`1J5;@l$`U`lNkoz1sdsf3!Yn8yQcmWBqw`#`+^QqR&<z
zUoXS1yk2T~(Pz=e0VVOe_d?2-{+RsMH*}xZpO@dXkL=U#3~x`~XY^;)2kk>@XI8&k
z$F^T+4^y%5i|}}t%QkK;RZpL4o?8CKru6yBJL`AV9DS;Jcm9!jo2$=O>&COzvHl2|
zxBlP0Prp~a*Vgs-wNw2mdOy56KJ_Qp>G1G<m#VcsX}#CpvwlrY=u>U2_`ANW-$P#d
z&kVM$JoR;@WxVgsO|!M$EkC`yV*aK-tMXob(!8|%j7{q^&HL+@*tGt*@;-QW@Lt>2
zo#vs_w0EsvRKxmo_0IKA_EmkVpE7IxBd7gEpG5D3=Ld(@Jv9rRxl(WWqE8{SR<80%
zP1V}7^3$?Q)m$a3@2{;{KI!(V?<;Sv{bn=u#}u`hYb&;2+I;n0aOym%%~RinRn~2~
z!*xEmKl-WN(H&Rku6^Ufnx=hc{wbfUsjChwciX<}?y0iXx8`eYUvxL?o95NEDf7K*
zx$4h_m2T_$+gYf6W95<@*Ys7p<{$7eeY)I1&%XBNtJ2k$!LoL$)}fC=%7f3^ZrwHY
zby(51tlF-tU8P!v(QExgEk&P(BrlsahpR~Y>e@#+tk0KUSR1sxYP0Ao|0e&r|CXe!
zG6}Br+q&cG%aER6(@8f^o%LUA-)YynYwF98e*2An^`g&~n?_H~bKMmxeQP<_-+8w_
zPkHO#5UiMYG;OtMbg$jkA0qF9yZrasxu$DhTze%)^q0t+um#aeZ@#*G>a)6buw>rV
zpOc@3&9Tpwv%C6B_>q5SbZ*|#ouaeWp8K=qN67QAnb9+6cI9j7M<@C%O<lEb<sXLm
zS$AF)X`fzuDkpSjz39`h$-#v;y*{ZQU8}TRX|w2)u;gt*n?q-;mD^r)XH}v0v9)5`
zgYIY*Y9Cq4x83N@ssimpYuWm(ibI|S70&A_+AZ=fsBGG$yIuvF_m<z8S2Z~)=G5un
z5_ivKj>&FcR_@XEPp!=2KOGz}ZPn%}Z-NWFYa_YN1T}f{>bdR+c^FjR<}It&x+COK
z(B`UXU3X)(zH9E;wfxPzS)Z=NPF=o6r!-yLAiDPEsncPx+a7JYI&Ce}cBVV8-mf^l
z_RqFgx4lk>)kMF|otmnx6CHcgD}VK#wI8-^+7vo<?TVbz?N_I)eY0)VZLd>dCDE=o
zxlV-@N1x37nzDMw+BrF_+ppdZ%M1ToANRC6^nGacx<`Ax&WG*}e;GIRxt2}%)40;~
zmB&}V(YgAgddkzFzVL@}rPWj4hHeeNcrEm7oa*eStE{|V>YDDlGWpcvFEU4~ugqIq
z)&I%%Rhj1YrT6-7#jZN@G-!tROv}w8vzEG8PJb11%J^*10`FG6r8}lP30&pAR_<t3
z$m-XD^~HTvv0U#oZY)mfUsXKiP2gtti*ljWQ{D!aOe@+Hawl+x_eovT?IKf_F4A!=
z51F(0iA-qKl$U{deO9(yUsssBFO_3W*VF{HJ<?a2uJ+!jclFeEkq4n0!w>G#GfiK4
zed!h*(I4AIrZ2rx68Xw^_EL}OTBVz>ybN05tsCc>u33L$sfp%GzV=PUB2!n#wL6uz
z7`@GHe!fD>o%NI4REsO~7K`*Nnb@2<8L&S5?9NkPwJgK8#vQG?`YN!;-861#Rp^|{
zix2fE7Kc0uEm-HZ_tn|Z6&>!pd{4F1zplExFk*dC@stOFt<EoZo+{BecmC>Xuk}iM
zSDg*bSr=7&^+o8S@cNZIwZ3RwSm@-s-mCcP^MJf{pKM;`t+=?_s-0<X*XLDdSDUPN
zD!w{%wb6Q`V$o-z)59ZQCEm2|dcVpjJT%U=Qskb@-NkFJ#F=(hUrD~Av~vC1&`II0
zajws_POLr_qxx)>fqQ0W(Ukk4{o$5!s!vx~9a#KkUDlqikE@QZ7FqALr=IKMs>7>!
z)@$wQ`mpNYYL@j(#aE}V-V<~6sg_=N?oO=_S_fADTlZ=As`pyD;U8nSwlDj<?9%E@
zIZnAdxlV_Mw<+!MdcSJV>JMq3_IRER4O_L!qD^wu`(=hxQu8+L={l8i_3o2530J4g
zteNTaIVQC{^loU-x<#{BeqW^#?szfC)Vw}e<;vWLp+$4TZD)FZT(v_>J^Wzo*RrX%
zL-q&%^t)<(b>7N~tt$7vJT1_&4Swsl)H-zD$`3wMt*_2q`NpT!`s&+|ZNXRlT&=IZ
z3E32Ub*5IC){T`fe7;&;owG7#`6T1e*CA_y*ZM83622EwShs7h=X*81jJ#WWIzKP7
zIluD3pO*UDELNefLh`?C`MJbt@5?j3fpx!RyYiOZpZ#i?)!vg`Z$t8m@;Cj?{JzZE
zrfiwf+*Ku7msZ~LIhwZ0G`QDK)oSXjl}^i<SecchH+W1<TXlY=&GJ`sx{6nwU1_r1
z$@uEbl}5{rj76V?Ob?Fq3r$;fYNf{VS#!LKR-IUR;*UzY)`|L+$9z=NRv84_`aQJ>
zeH_vgtn0VbBJ@#6N3g74szvC-kd|OxKUE9S87uesJWXAt7hE_q>vTwCFr(jB^Qrek
zYJ)RpcIB_yyK<9HYw9ZP;FrEr%|-8pge_ZTBsz8FySiJSf**tgFWWSGRi2h+@I_zI
zR4t9*v%ae4S0}H0w5-UeUi3~#e(+A)ufM0f58mtl_GjjM&3ntg*lhhh<y~;)yhEQu
z-UXM>+w?hj+VX<=yZ&;1(7ZkWU)`tQE8nlYwLD|~tXi*cE3NFG{$282_+Icv|ATg}
z&sSbw{><j-uPJlp3(e2^`|^Y4wfQ{tT7Nn}EI+tBY<^I!);a$s`^KLyPy6@yAFQv`
z`nuBGe&f%~v%xFuS606IqG|3w(=POv$jji|c}btI%v$a?U+Ayqnc%Ftpc<_&nirN|
zvibV+@?-x#d((eUo@$<7ZdD&u)Af1f+4(y2FV%3J4NjkTs^-+0;2Hk0cBwx@W-iy7
zzo;hbljh0gO7%vcg`Wkd%xn6y^3(Fu%a7TpKC54D<lkEP>Z9iI<x=yLYO+3R9$hXp
z->K%+2hGFtP3CL;$^5K&XgS+_p&G6;!SVAxeF~Yre22}}rz;OEXPE!1`qcZC`<8F9
zIr{y|z2L}shdy1Iy8O$$Q`KDWHMRXiE4xkwm;3uxo_e=(*YYJcuHQqZEMH(_`hCis
zVE=ht)u&Dd7x>rjwSBtn%KM;w-e2{$rfc3?`bB5!?kVqrDyJRV9P%!xeA=eXBJYB>
zd*9Rx-7WGqXtVc8z0mZPH<l($U$ptk>!9LkMX_Gzg4TL()Z4mi%B!HlX-%6$UIpb(
zbBcXcqG|3uQ!jLv$jhMIX-S)}%v$O;T`1P;Y|ujQM!l(NE6*>rnf|IirmJ}6*`+4a
zoi<;YxzuR7(PoinLDRh>^+MBDo?5CgeO8QD(aIA`Pw24jyfS0y5gpOAmB*LLOwWqx
zDqMMVsmOG%7_P#VhnMn9*NW*XSb1<M%XFsASEeuBqjNP?Q_nj$Qma7oz|w!yK1Hv}
z*VOg?sJnH0$h4&!bV^e-_b&Z3?ND_6sZ&9r(-v*IayKY=+NS7Ld77Hu7j;EbH8s4?
z>Z)$PGI{BvX+@hv?gZs~@09&oJ>`AiUiY__UEeiq-QUVBtqz&D_=C*U>ML^>zmaLJ
zzVbG3oBLHc*Xk>80ynu|wbc5iabxidnXgq><}8lspHv+3I&h8qTDhfFA#)Z#keOO_
zW%lCwfPO36Q)dI0xlfgItqPgF_=e2ZXDco)cIgi)4tWu{z<sIZtIrze7hCl|vdQ|a
zadz<qnbKz~&MZD7(^`4uY2Y;Xsg|ogX`EWD)<4Nc>yw6&yQ7@xGYv!cR?Al(HI6Tq
z>QA!C`lxYqu~5I0&8rU@hZl48E7@dy&^WmGfXvsY8v6C_rIuZ%1Ec%6Y`8wG*uVHs
z-z#gc(}6YaZ)K-G)zEQ|we)(wV$b3aeVd9xrY>F~Q(Asy%HlVDtE|0F1(vwGT5_EV
zEOtLB`}N6+9gFA4u$EuB9hm3*m+z@{$oqimwnwsF=L2>-zvP>mu3_W+l&>^h!`k^F
zU#az!w*gz7FY=jM*H3v9P}<fd%T=af<-C{AG+pER!Y4detwdf2tae_>Cz`Hd;k=aZ
zYnsNDg?{Zt##3GfEOnm9cQsAJ%z3S3R*A;Ng;#h)twde~WVZd1IaR#k+(L`?TQXk7
z8Ya$jCAEq*jGYttN-d{64M=TUCDT>3;^ab=_AZ&OGXWEvdnLWj1k@+CRmq$xTybon
zM0=EsSE1V3g+lF4e`H=2XdGV1m7gWkRj}gVLY8(W<15n_?%}zbs-fqcyYuA7WycpX
zwKGkTdG&so(I)4gyjRU9tEN5koxXGN#=Xv&Gd1~M`Yd(+$h*}%WZJ?FXP#JJnY!>x
z+bL<Te6@?F&XF@SKNYOdat<t<QZMuB-7?1&n&zpJr}9?pn!SieHC1ER>^I-qx{O4o
zEPU2BN&3~vfI??WNv?NlhZf$;3!0nxRc-t1B@R39e))O%z5hP@um85Fe^$RY|I3{x
zm6tW7&AWQcJO6F@J^7t~W!<6A!SDRb>o$EBe&-unx65{MW$<(VZT46Hx%|HT#($Ij
z)%u^B-_&o+e_<1r@bTr><(Bq4|EWA*er<k4ebisiugfj$5B}8ry4>7;;lGk!lV{KO
zsn`13`DM8oxWELpr2j2>w*10;hkCEim!JF3voHO3<mcsQ{<G{C{(O1Hf2RG+pPZkU
zpPsK%uk|_jss9vv-+wAUgP-`P)HVIdJma5K$5sCsT9h97aru#dm%Zx0mLHcN`nTDO
z{&V?p`2nn?j;nk1NqGAFZGS|52tV+zxBvO~%J<3B=KrX>^;`3_e?;A`Pm}NYSK2@P
zoAPwImVMyQm+zKq+TZ*e@_q7@`7i3aJ_%2m|EzA(@0Tb23+*j`a=u%>eg2)YUw2QI
z*Dt>}_uHEzyC=_^TULJQuI72ain3F8U!L<TD?4=eWtsZzxmo3l?q-&$-<<pEjmU1{
zH-05$Rd+Mb`K_})d2?l{`n9=X<w18f&-oRUdEI?^)^CON+;>mX)GyEVDp$I@@~mG@
zS=8psFZ>o+ue_;QqJClSr8i%8PJZq;*ShfCmYtKI`PFBXS#1t}=9gA>=+4U`_0w}t
zy$RVV{M2u<wdXsLox(HcDwIoYo;+jjp*LT4On&UwYi;;$%Z|y9{5q`_-<9l`{Lrt}
zTJW98j>!-F63TvUnmm2(t~W<gm+M-yzGK;O`MzJB_1CvcQq}j({Z@8rlkl{;>)tHc
z9(>QQ!aDNi%2R$JWxMKcXP)vav%dOPB~^Xb+(mC#QkU<X`=qRDQ}7)>zp|#=FLTwm
z&#ue=w0GtAWwz!Y?=5-0?C$K6{8Pok^JW+2?<$@=cXmPkuDvI}ExR>4BY)Oj&u`1D
z%#Yq<sTQ6)J1&1#@#NROMR{3!JHIZwIy)r4t5|r>?4bOrV&PZ5%guN0Jo%-5*`?VY
z`B}xnvu9tsV^THwg>P0K*Iv#q%S_B`?>(sue(pQRJony`O5s_v&)u0)IeF%6gZy24
zPJUWuWFC6YrSkF<-$~}K_gtQ-otS;>j>@xT2IjW+o>T-s_U$p(y|<(y_>pgix$M1^
zir|O7E#|!UR4RmL%-(nB$<t+e=7l>mPy5z4nls+}Qa<^<Z>@Re&d&GC_RijPr{(D~
zZS$9Rr<4od^9{>eRU|xh_Pe}UMU(IPmYRF+?0mOO!`yLa<~y~Wv*+CrDW5!f_Jh2t
z-6wOG-Je;L{%UUKd7nMTFK1?!tKFUX=}b%dvO6>1ok>YoyE8K{ebd~PWoox(rln7s
zyYigRM&pC^=Umd4U7z{v%oD50b7n@RC(X?)Rl7R#(HWNXWmjh2JJVuy`K3=@n$g^q
zC2E&u-a2z6ZJDWY?>QB#$+KoUr8CXdJnJ*xxboZ;OX24}vyC&)wWKXGHcma4lBRZM
zre6A{IV;cjOf?QXXJR?|iO)pii8DEimKhp%&eS~P({F5f?utdd@ME8DW6g6@EQBBV
zv>QvF3$YM>=+kV>d5$G*nZ9x5PnM_|)4JE|ZCPiizlO=xwQ$#kj;@|ldk$PUwr4|2
zSI40lyUrX*U8ZZydXB~7@_nB=<F99zq^j+k`7P~~k?^#c>&`4O55DJ9VH`Pg<td+#
zv|Y0^Px+J?Up=dms<vz9qBHd@smpfGe3DjW6nw|WFRf|z%UreX+JE8>e&^a7exsQ6
zp7x8Khu(>nte^0lt76@R>Q?LU4aKZ?wC}_n{KmC4e8JvBZ$wkp2R!H67~Zg#X|DF3
zxWsd!G3yncbFB?e*n8-eXvlhj=UfHrCRDYWhdb;&^iuRr%)u{QOT!iRI?dMJu(STq
zEbX2+$1hx2>jbJ;FK92>dFZ*Q&H4+Ktmm|I;v7G7WvnZB#x*nCpqTZHc1+yCPh6?%
z0xDTgY5T-Ee&U)Ku29T+Lc3>Y(+usForfNa%B;Uo!Fo(vC(iLBS7*4xo<k2sdDaJ1
zupZLpiF5qGm9Xx^Q?9sm7oKv(tlRLEt1+CRe$S!%qJP$1C}-6Tf3W+|J<&}ujHgA{
z#W=p_stiA{yJ@O+$WErI+IM0TPl>)+7f{Zs8NOh*(-duoorms-K3VtS30GlwK@sZ?
z?LDyvbGh~hf0*m^UgVokV>wsVvJKX(cQv2PWSXb>#P8ubkvBe!<y_l?H_UZ<E0VF?
zz?$`@=9QWCPH#jKmOG?#tq-1I%z9n(#7w8xA`#0sSg~H!JTjAMj^+}-hi63smKRvD
zng<)qb$Th{vHU_BSI)8xX<Ung1?D!*(roc#EaA#r_Q8_%yk?1CV=>q4;0tq@W@*lu
z>GVwGj1OZm*Yw~GbDU;shWIrWaZL`MFvsbM$O#|DBCh0R3iWARiOW7%upZYm@oOyP
z>JAQ=<Mc>GV0nQB>tRh1zs3Tt=3s$2OfxiVW;RXNESbqPT{CB<(*qHP<sZyh_iKLf
zbv!NdW7!7tR-NDjMy=Yx7iK%%6Zx<#A(bn1nL{d9dGHJ)*4>&{d>v1TyjUiX$`!E8
zz?^lbrp-*JJ0f#@81w46@`DSi54{(znSbGTi>?2I+NOExZ|oeub5_o4c+R=gf5&GQ
zYyShahu#Y3%n$g@a!dV;o#Qvo(s=^ES#GFbs66yq_?gYYubf5mF8pG-roP6`@hfNH
zJcj3-EBz}zvs_W1V|VbZu+RK}Uo4l@w^TCCR$o(j=!LM$`~}ZA7y2{QI@QloudzG$
znRBlHgPKFngiYo*JmXBCH{mCXvHyXZLr;Zu<_A3Eoa(=z=Fk&imH7fcS&aM}Y7Wg%
z@2GT|p>AV$@FQohe?rZnN5UfWC;VVJqAp{1@B?S7ze3HS2f{4#8J=;*&wKEcGj`sA
zr<~FA1b(m_Q2%3l@I7a(|AkL1`_$jq)+?SC-eB|al<=2%6TY+TQIDu}nyMa9dFZb2
zGMj_%I7|H}d}7(9?o!D#Mg5Ge<2z3Oc>>>A)cqT(58W2NGws7}miww-^b*etRZL%y
z&bizBLafs~)d!JI?}Xmz96TpfFuh<m%Wc&wdW~hAo4qH*I?Yu*qQ_XqSu*Xzt`<x0
zg4m||IjURq8cR7>dk4fi%~3rN>GVqIo(^Lv=W_3Y%`BHyr|317aOO@skj9xk&0rVH
zMb#CNPA`NUrcX%YobUZ1#_73`#q<q3S<b31h&(h?HAU~>86kt|1v^<zt4@h@dMczb
z-C!rnNmUoU#xp_+(+$!%lcouzarS!`#5g?`l9;|>M?K3?RTVwPLe6$?gBYiWLLAc#
zcCZ{&ZHPQHU9}?8X}W4b<e_P*EP9Luob}!xqMhywZP97W=dAWVv57^;d&ef0y{b=i
z8S^>ArUj&OhD<Bi-lFNfA=>G#&=Q@-JkG#r45^&O-V>q^O;$akd+?;tgJ~bOv20iV
zBX{sS$6ogv#VqyrlwVjLdM8-YKjAq?Mc;(#7Hjtn#VmJ}@5mke#<A6Xf$gC;f+_t0
z&p9@_H`p@GRo)|)cup{;U*S2&TK5FoL$3rw`UReI6!cA~YB6_rus!rr@Q%#EFC0tV
z6>ObmD{rtoG)uWh&hZOJR-Zr>%LU~nmWQ6lX2eIwL}w(&MYy`wGk@B1Xv;CB3b}=!
zIp(<EuxWZGctPgj89}4|gl8Nx+;`YC-BaJUqpNG(u~SDb=*ftdK1ix;F>+sF!}L^8
ztzV(C#X~zaE<sXhf+f=v!DBLxX9N$)Jp9Pf=bmB1^jJ`=e?djd5oHCrhaWiF+%;^N
z9tyJcD^#!;Os{MN9k(h}uXU;G!&8p9z6(z|V)`~b<!E$gusL*J@K4``au!|p2iAw~
z32u^MJT16R#_>HzrTYQvrm4yymP}KX@5m;e65r<6QwcIhNlU2Np^{~{@)cRfQ-Ux0
z1fFsPD4mgYe8=J67Esx;L)pTT>5kyNz6WM4=M?|&Dduy`sc`m?X?kBTP}RO6onw#l
z4w<HTiXME8g&ez`FUUI0Q+y!l^iJR%&%tv71?>gaEVmW^@E-I(BOtSz=in)UZ*3D&
zIc7MoSlSwWNcPZefjjT^O!+M|Z~CV<`<6Ucy*K^ak9}XPS+txdM6dch>5cd1t@=0j
ziTu9w)_YNQT+-)Duf136Z`^m~)vrtSbIkNtR-WX|dg+}XXH=_cdd_>5e(S!JXQ~IM
zE1AaMs_Fc^)cXANOMA9}&QdmtUsUbcb=zy7{>`g<OP;BooLUvXNaypUr{2@`6Se-X
z+wy$r$>|F5N}n%1_b$}Wl>96-b>DQhc%jd#XS`->7p}XqbJBCK`P!N5rlhHwYoA<a
zvb$dBt=CrVo9jw;3qA9isXaH6^Q>1|7*nifiK?k~=Q@*Jm!$4Y4GE8meOaPvxkmeL
zq-MFQjrP+>&+?^rr$&W4feu!#3fr_<=skbY=RXU+vG3kv|Ke}#$+vG0%Bk+&t)(6L
z^PsFnS>1$PLXW+QwMG9qTsJVB)N`-dls_-=^_g|``~E3>DOR;o_Ya%&oAHHLMMI8<
zuYb7HoWor^EYu7y^DJAU)^bzv1mD7Q0!i%*)+|=e6|#rsDDL2MJSPy<e!!~bs^SVh
z#d87=coa)H^4l7$S}rT@kZgJ>;MMM6)newHVBBKrEFs(ULf{IIVhP6rflE9Oi#g^w
zzmQ>iE@0MPzrd2k#M#2Q<&5GCNv3B4dhH38Ek@2OWSE``sI@CtwwzF$Aj$MZ;24kN
z8G%DQ4+}Z^oHJyY9t()IFR*AiqNu?4uz;h@Swn{Dp#WREf(46#^A95yediZOEPBp2
zj9LyTGVndj=csdjA>A}h@dIySK1XC*Ln=pj+XC~JJ&F(Nc@LfvSjE$LO5k0aK`KX?
z^9t#vDT)_(6;BB);7QEmC~~eaYT2P^A<1+{;9lE<Z<pSC@6-RfZwn}qec7|+_oR2;
zm2rnY2fgzykK6QF=$-d={hRwjeha<z-mHIeU&!;NH>M}VF9M~w;<%z(&C0&U=Mp=Q
z?<nT6K7PRV*jvN;oc_RSo?FRh<ea}rl=cZ$^V~?jV0rAd;WL@TUnPqAE>`heOI{=A
z{8gf`kMX(0%HtKqJXezE$Q?dw=+hrq#d9fnizVCa<TaMZUKqOcFMKAk@Hm65+pOdo
zxx=3&<{p1wbL^R+Nq^%riS)jSl|07B57-=gYN*p6_)KEz@dY-=p41zv^b1z<7#(l0
zIW{A?!_sX=vW?u~j}pDd6Ksw>G8E~bSiy57Sw`;g2Z`3>3O2_c7_#&;K9h*=d-zl$
zw(sCmiReDT3Z4VWe`F88m#95{p@?T+@*7#@(}o*l9-lJ&(l@c3XHRm3rQ6ix0Lx={
z4VTFrekW0Sd_ob=u4ETWwkgT=XJnn<N%;2(mh-3|Z?Hag+we}?M{Az@iC_4V&l^;<
zFHD!%efWZ`+q}dFl5Xz|-tinhXHd{yXw7pw@d{sanZ)M96J*`yCLZBqE|Vx}`)Ji;
zdALBfZBF7AzUESi)rSLQ-R2}7kaT-xaF2(%RATwz0%M-biBtHROC)mJ4yH+D*S8s3
z@mx$?A?fzQz@dF&n#BCWA7tE~8(6e&wB$LPxIpsQ%)}JF!)FW(+6ygtPA5*0bbD%`
z(QatTb28C|ulbCDLc3v_L{ghznneHM0vWf*1`_QXEqIP5s_-!vO0*v~ka2rxz|n4K
z!E-RNLGsx2#0p8b>4^oB$EGE+@G%!i)Yl*WAnkVFU<*%kzC`um6Gl8bhj$q9>`i>a
z%bYI}))ts55z<y@-lKVVgS6XSgC#u8c@lwbjHwdEhbKrMo1A!r_wY%B2W=nCc(%v?
z`FH5M_}=|DK6BrTfARC^JN=USiO<C=>L&i~w%)(tGxweNJO2)S6W_Xj!QZ2A^i%2s
zpNns--{0_;b#DBge@W-`W9k*3i?7|E@b~B|{g8UW=i&u*6MuD^?|1ln^riltKZm}E
zFWs;3*L8OMhMz}g#rOPk`XZiHC-{r|Lj01SN1yB4)L;C`eJ(!dpVMdYjJm>S;xqRf
zeC9qAAM@|fC-Ky}z@OZw;(h)(eG;FzU*R+NiTIwMtux~5TYesWtS?i4@dx*@c%6Sv
zAH_TOJN!BNP@kth@CWyyc%FYwAH);tK0XzXtGoDAJf?2rQ}M?A41bQ^*Z)&@@jJKf
z{s+I0-qYXohv~Hbx<5|u#Vhw8_}w}+KIA9s)c8AplTPWssSEtht+{`}Z`UdD4nL3H
z(SK6+@rih0f9+g{%a0D#Gf8VX6<@mQdQ8b7@IvJK^!)`@?;2`*J@*!T;@%Oz=kKAn
zo9~~mv48zH=Y0B}`7eLwd^f&({!>lc^UZh8zvKC4s`hBjnXTz(Uc_AEl8#pIKF$65
z%(?U(^Y7NB{f>N_zGeQ|I<?=CbI&K)H~;N9m$q@s?3;OQt9Rd=clJw8*mE7ddi_nC
zub+Qbv+U)snRCwj*cUVZy!k49>ingOpCezV_s?&=`R1$fwev>y-G6*O8HXCq&-|(L
zVYBZ1!jGRMXPoD;x4v^{O}TOWrSrFHru~@tAU*PdUGN{CFPk+(>{fr8IraPpyUV}d
zoKBCh<NoV&Hht0j-nwnijLqgxtt)$KY<K89SG|4opPq|vjd!2FXIK0wa?YLf;`vu=
zpY4!*leTg8)s1_3rkzXMIs0wivgezwovkz9y*V=LdHTHhwRPLJ-<)kRzc6pxF3Hzv
zt7ad(nbRdNad_ud^8$yDF(+>36dRvAch(@<*SvXC_vKx&FJ9ezoVNLb+3bx!tvBDC
zc2>;Xd-Kd$u@CC=)OOum@iZ;PjC+sFAD5+@x^JJovt~nHTblT~^&4h;-gKRN^Q=kv
z#96b`%Z+zf%)a?nscf@GVg2qMo4C&!mlYRflrdV(N)_filkiZF=k=19Z`Cv3Kh#qz
zpSXkjxU0r)2N7n;n>)Jq#0G>#y?bQzp-?<p?{@E<H{Xozp8gP{R$bqIE^W`&vLbay
z^V6Fp=betR{oErpE%2VxG0VfMU;598?+BTYyHHd^Ym!a$U!Sj=E#@Emsq=NS`TT`-
zWxr<5KJR0%{kP}KX0!Plf99M`Up&9FZrQWV7tTA_dw;$O3aHY$V?S>`OP@7=;m<c`
z(r3<}`IG1K=F{hO?6p5fK24u8zuvb_?PuhZ^c1`1KRIX8lkB)Z-+Y|jH{Z1G*pHi!
z(!1uX*0ue(`7ph0zG$7>kDCwDo8~wEd~-TI&hGUm$?4~})rkF&e2`v0|7Y#B?=z>J
z|6zCgw+<+}cYm6BFTHa9!`if`o3-W#{(SRpv*!GpwPD|9PC5U=uKSbZl=IK*CjWj@
ze=@yrzU5Dzcbm7Lzhn0M?wRt<_s)LHIktP|yt8HIm+$JFPpdFHefQ0|v@)~9ci)s5
z-#(jVzW8oVneolDuX4n8OTI}fF{{3tb1rS&?2|Xwlp0?<8)hDSSLa+>ftmN+H)qpU
z%$}R~EY0}xSub<tyKBy-<(NfpzWE|;(d?BsbxMpc)StbS^KIwM=V^0i7v^o-IrCXs
zhMD!|$Y*J3W{2;*DKb8N_Eb*TPRXZflV^M8iS3k}c~-$(dh^T~XAk9k+cEQTTJLPb
zylp#XK1%DHt(aG~W9GxO*4cu2W;<p+NJ}vLy=mt3v%7MRrEb=p&6>xy<L3Rey4hcI
zm!%r-JNwP-^d`w^XY1GHEZZJ=FRfyB<jpmw(n8F3-_ALeRyO-;u3D<`uCt4B*itv|
zJp06~dQ;?`G(WTE+i!A>x5xf@cc@%^@9rC$x$nikxOw!QUP<}Hbn%L^iMzY4cW>Ct
zeJA$LyF+E-TX!$Gd-RQ7N_k+q_{QB0cUkAg?s=DVPA{fhF<pG^?u5HXU)Ae{lnbVd
z7nDuh)os4p;qK9wdUxI&DiL40Tj8$j?AQ%AkIstidFNCjo>eBei~B<ClAA}L>)DiF
z+{t||Hs_sFv3N#VVVd~N-3FVv&&0;OJ5(f|S{AsI`&6vYJEtP?iMtgxbDxOqx!F1+
zw&mv0$9gj57k6+Ui`9AOR4CrL+u_d9`iFWv<$*i655@Alb1D!|DEpWy9#?iTRXnC_
zW2$)LZiYKY@9X_3ySSZOclU$aNAKxvdc$;DZ`~WGeDTWN2X41cjSacUIyLsr+oV%^
zZ^{C<b8GHiaNBiCti#Qtcl4f=eM}KA++DDVdq?b^w};+tx_`Px|MlLS^Qn8LzucMg
z-RSP=`cE-!&o|vU{Vpc$xzU}|dHS38uK8wk>vWp_<h^UorEZ*lFwX7yrt7Dl#XPH;
zIp=hge)8U&uSQo-KZ;>{zUj*8dogWQH(#da=^5``^Tp`W>02?!o^3Ln-W#V@HFMT!
zCw=C<I%iYoPp^!-Rw?;Bb@uekxVC4TjHjo@r9Cq`b6QV-bN!w*XHut54~#RbocSbm
z;`E6-c|L72oZh)p=S*t<bj!GF6_SrryQgc$O{<W6l-fRBGA^t_@?mQ8bj~=oXPfk=
zSMFSMIyFY`c2VSm)Q0JQ|C$~<`snoO6)R5oh6i4}IP2t%7&CLp%$3rc%yvzF%XoK9
zu$wshr?20?@X8!N;bzm`QXlh&>uuf1XO}+ssa^jyW6tq6zx3p_O?X^te7v>h&(kQ*
zPujmPxv;4FtX`V?x00=W>53^&QkJTwrOoiOv0rbn){x27d0T4f^qaA2<u@mvJQ1Uo
zYGjtHSG@bo$<)H>XJg&WZ_cuv{IcoN#J!)be^p7|N!d5uaig(h=F6ATCX?sZXQs^Y
z2`u_3V0dPRlfmTDn?^Uq^}^K-Z(={1w@G92Nnf+_->1D-|By(@c$2&#-RP{%v!63w
zC+Azeo-nia&!-u$l2`X{v`hOwL*`)E;o}FkRK5|I$o(>TMZcikv1c1CqUA0JvA*B9
z$I3S1o80B<p8WH-lS{gPzWZdSmYTQGynko?-NH>b-Y5Huy{^^CGYq$0zNM&0yg8P~
z^xUhY^;_r8UjRB}S<T~A=F+b8M2&m$VKZi2yK%GAa0{cW^Ny4ZwbyfaHh=oONn`R)
zzi-m!k?&JxO>T_)W_EMQ-INWJug=UV+Z1IrdE(5T5+n1;3;oQjBHyJ{s&#L+ihP~2
zZuewYzxp(bnU7PF)V$~PeB89}<RPDJtOZ7gPVVw~_S8ssa^lXO_nY>f-sCgwsgcg~
z*quJ_H|;t7L2q+W<kZtEV#>;IPC4!*AN+a7i{!=qYb)P;+i2VW)z0nrjJL_fa))bq
zz8G5f->ua7zVX&^A9?Fqo^#2Y`p?>xeV3Sd{DaN07e8)1POhKZpJ?~(XM~hte{Utv
zCqq}=enmGqKRdUd5l@p-<u=#6*>pO2(ysoucFUe`wCP`JCze)jc<*S4eD$XpQ;t7d
zWaB3OeRjIxt!qcK<g264Y%%IlpMO6t?$Ls0Nx@>PrCGW43{7}q;$vc6T^Wx}xfvT)
zK6A?H7kb@Al2cAU)0@1z{tYOZSnlL`w`u$7J8Hk@o+;mS@8mb1W7ae0oh(zoJXhy@
zN`>0#xo^&;l&Kw_`=-q3_Q@>u#dCAYjBcKM<s)V-`6i`At$J?Gxs-L2PtIIZYIN;n
zn0oMBopUJ#YTk3-oK0CVd9L5HG^5KWz0{TGt~r~MqZVy^^F_*{$t!2-lo-`tIC;tE
zo8`>sDRU<m`fanE`79+v&DuEfSxTDP;W=-Lj830C<r8Kp`7~wnWKTaaOUaoh71X7T
zXU;fz$mbg<>Ut*|`fanA`6#7xvZ7y^#mt8(t&;`)%q(U;NJ&upZ8UTG$z49jQa9;N
zX7yvUxOqRNZt_>(WvNE{PJUB6Z6rCZ{^UBJW#*ChQYt1#&RlaUB}8ra?3`06Ws|S^
zs-+t3I=RS)Ep^k*lTXyDjUw-)_^CC|ev@mo{dk@H=h`*jH`?}p1jWnU<0bN^KTFIz
zUL?Q!^NhL23*>j#p82-%*6|Ga*|k33Hd^%`wPX7&G52_!{Or#&UMCmHW!Lt6-FWqQ
zh<ttbXNft-gXF6}OT0>6-oLZ*%$JRqj(f;wf0mei{F;r~uNg0rv*fsIdA@8k>94hW
z_A}yn@|^x$yJbHmW*t9gGwtV$na2&}ch{Wxw9%+P)XweajVH;I`d#hZo*AAve#}Pg
z*+zqYTf1jJA|5CA^y}I!`w{Udxuaj!F6~Fe!{nBJ-g-N=9}+W;@3VRKbfaE>VP($g
z<i>tRyKmoT+)u9U&#dfuzj5#JO*U;$H){94w4L@{;$Cu?-0DvfQ;)xsoBe6V-Q?1K
z&&r;68#VeJD|6l%?mRxvM(q2H$;ThaRac+M-FW|Kjri->obyS0x?e`-lpEeX`bnoP
zedC>@?{w1A4euPys~6uKyQa+W*3mTa$+2tBC2j0JsOOfx@%qtcI?r~^m~%8rJUKR}
z)bQ%jM>=fj8?PL_r_;9U#>=EUG2_@ZC5D%d-qJaiw$Ze^S5Ix%j9EvW#F=At&L++8
zuGG7>Q{s8j?CwmxwzQ4L-KlzMX@+Nx>WOcTS#u_7YImTX+0GeHk|uW7PmJU#+GyC_
z8L4w7slVG&@7fND$4TAYntIcANIXhv@0QdH+ad8Vskxg|k1cJZes^W$n$t-!Vz)O%
zJV<Kj{;T_Jd&K>uD6z-UIr$rPx)UScoJy+deyZEHea6(It90B_H|{>VOebx7#9fIU
z`*!SN5fQxE`qZoN@zT9VWkH#<-emD2p`;+O#nC#ak^;nLNBiV$RPR2j`z*z9$I*LY
z#nx}W8{9u!#ech4;(cNj-)&o;?;C8|A6n{s-(cN-(~{@=hTDg8_*dKZeA{sIa0>tG
z;)pki8`>{QGPeoG$HY3ix@ukAw}VII@0YC$Y~Os{VA;M?PVKqa#`djp$EvumM{lXW
z*YtJ6)x#nA6RRTT9DX1(t?I_?=sEWuoz)FE9I)T!p3)gzwtUAG72Nu<c?WmQDCge0
zH#%b{Yy8ycGoA-m@7~>dS9ir7CA~La49weS%7s-)yiCmHOD?`K>#!TYu&vM8#D(pR
za?_q|IDgoN|Fuoe=M85MoA5gq-<WyWi2bzjjCb`3+ne{wrKN2!Z=TC1mTnMo{ou0$
zl0Kyd!PgE(u}jPLoK48S!lPCt@howAd!$_0vkj*XYw*wJnQWu;$-t=HQO@j{fnj^A
z<(t9{Ce5vK&nzOICH6Ke${n+q@iei!T~lsag~X$T1<i9U-+VAQe3*-0Sw^SG;M8F@
zeqkA&vxy1ye7}XBJ>8(!UMP7cf5ZO6+hoKlBpxJ`*MI%D)Ov=9fmX@xJ^L!_pYnZ6
zOU>J$RncrI`Q~Io;J;r>YneNLg+ECsZT~C#tUTg=ViezF>zwx+blMXw-<(RUYJV!*
zRz73u;Z-tjPdDs7yo^IGtvupxVi4bAYn@Yx0erKqeco+QZ?8Wp`|OFqj>Gr(igzD<
zuUnIUvAWxK`-8o$^P=CJbK2hePWRItrt`W*`GwEL%JLekyKhI|xVPxr2C*&MXY6f#
zqx<TP(l@aU+ZPmfUynYsv-P#^lRJyPimllmvA6Y=?xQ<Q=X8VeKRy#%vE5>C>+I+m
z_ZEE-Te3Z)nA>c7L~-}U=#Kh(PG@zU@)@hTO}78o)B0T3D*s?*_u1%-drW6_&)re_
zES8qnSlN9#I^y1<GrC&&j+Nafqdo2^eG*H_W322p+%8buZLqy!PwQh{sr-W#-AAJ}
z?kRl~>)39wr}d#OSH5FK_rYk6drW6^_uV;kT6fo-rqjCH?kIf_YuNr{ck6xK`d@hq
zpNiFNzp>kOT6DtBqf?__+*NunR<V6UQMcCi1x4MvqkVR^-qn4Tr}$K?WP3*ux5oAv
zyIb$*&by=ZPRuWlvAlbG^bX!{)-&EG>}`H4*;8&{+x(VqnRUdxgCBUNS>Kp@@C{F!
z^^La)+nTTPxmn+Mld!4zs-#Yt!Ht72c)nTH-<WeShJCVe#Os7L&1?CVSw+k__<(1c
z)s5K)1K6!)&zwzI);yKZ%_?H{!5ci=(l%T?=)xXs9PuJyLGx0{H^m0$4_dK5mdPnL
zID7B{Pg&ZAGY8M`v{~MGnlP<-s^pp?gHs39*e8RMoKdqQpIMrLVRNhGn?i%*2c_7P
zWpWA)>W>~2Vt1B#Q($oTAQ!u`OiqEp!Gi~QzNH%IH<wEGoKA>l<Cftm*s%ZLAGX)h
zKBp6En&0wHOEu7Gj+OMu->~Q42e!>d5mOJY;3+e|G3DSJw$;);rxHq<T_t%=B@{QG
z<o%YiVaLHaJZ$DSZYSi`|NZy$cli7G>VJ>^dY_NqUH`KF-&D}Z&C`FS&-JbAAO0)-
zJ^gL`*7}S8On*;*6JPqT>o4~=eXIJt|4g6jU*G@a&(&Yzuj5zOuly(aT;HO8>A$bf
z^snsq`(N~V`pfvG^%MVHeWq_#zxHSL7yXO-uly1HCH^8l^WU#Or$4Vhx8LIbtv}wM
z^-b#M{?z`gZ(N`Fuk>gA^r!Ku|5p9!{<Qw&ewF`Sf4a}aPpI$x>3t?X@n6-S(;wF#
z+b{7y>W}xw^+)y#{I~jZ`osD|`#Jtg{qg>wZ%|+R^YrQX*nf{cU7xm}=|9t-*YEZB
z@Bj7h(kJoz@zwRIKe<oGhyT0uTl>BKp8ae7gg({Rs=xc!^r`;t{jdH_`XqigzCQ3@
z)2Hiq;*08Se`>$eSFb<#_v^Rmw{_~$A6j?ci+nSa^}WuQGfCxQyUIB=q$d5nrG7^I
zVB{LUUk<-)ZmO?)t7gnOIb~wHSVh`I>u&4K8;rT{MBX`fs7!3@<^^+)zR^iZ4@?)^
zxVd32>)gma=aSCp#H1^xuRqH7`?Xl`4yNvF^^qI;W*kvX+xV-~^v#xQ(TgpP#;mS5
z8oT+%5uK29!E~{Lw24;T=9?Yn9(}2E=ggrJv89_8=DN;~+%WU#tjL~oP9<VlX@XYV
z7b2I;Jo;S6Mt-*Ia~+HHjhQAb4_LH+yqMp}x1pg~Y!`R0!^QLWS`Ecwn7gihG7{Vt
zx=rbg%gmeg5?m%rl$hcYqmtcbrl=?$HPEx$<PgGhc@FEW$T>4zpXr=A!&EFbee;Gn
zt}`P;&NUT@P2N0Vj_VVh6K9x;#FEn#)5H?fK3Z@ek2E>gR4CTHIbe?KBOQVCLJRK0
zks{}s3dEW>3(R4i5m_^{b^76mAB=K0maF!!=bATHMr4=4rg}po@I_4Ge-kdIip8XD
zOciU~%rNKZeVspP7tOhKH$Rwt^q$V9Gfby-)}3+67pvTSV0P=&$dH+=QzP%3O**CX
zCN0pMTXXY**{)L}9cCWAqw^%~V~SYe<^m(`9g%y^9(ucO!6K!&{nbC;UEO_s-rkDu
zmo{I27q_eW=}qqQaXYK)@7_FpE^bHl-FK<G!{5ejsXqHob$9sOy-DAj?slJxTVH+l
z=IK&>%j&J~j_$htDsENv(VN`o;#OAgy~$m={_@^CZ&G)Kzl>W_z4d1I*|_X)Qg^*e
z^i8W<-?8orpS9QS`=>jri}la#HT!<)4)@u(^lzu`oIVpbqdN9o>dx?)d$qnVs=t$6
zq<?a+(s!lJ;!om|zX@#)pRrf&d(oZMh5E<#ihU2dqg|+fWG~-$qdTh$^bhT2`z~~c
z`%GN?w@;hGr|;eIW^3yD1A7_1|GIrTfBn9_TizVqe*Iot<hMhcu20?j<=d&--1++2
z)uEEi*Tt{*zwFf4V|L{@b1XnYR55l#gPYj2q<S51@9b`ez{U;A>ouw`+HMyYQ7<U}
z`fTUv(C>V*5s}vp?A`t2*Oi`4oUWTYBcB=Zq%2NYC@y-*torU-)2DhCtEz9_Z4C?g
z;(UB{p1x-F#kZoV`Wn?|->PoEK6&q>Z$+EL@5JR-@4Wl9diwj=z2$Frc7NBiEq{A&
zX?6I#-5>5ut*^d5clVn+t<~4x#%?RWde61``kUBI<yUuVf783M`^BBFRoCb2j(I<+
zIQ(_&n)0>xmR5z&+5O<o)T-;VcL%(;+I#wJ?6UHy_gt&OXYamoXX~?d7k9h74=N6S
z5xby#>CV@m_0I3MdjDuo_Gi7byD!`+eYWn*?lX5<E3ZF|omM_|=ju=OdZ%`)y`Qv4
z`;(qgx#K<4XL^R^tvg?T)H}Xg>V49l?2md!cMH9D+VlE@-r?O`@0Ipsf6zO)`@o&A
zPxbW6OLumkj*WiDwTJt|y8XNVynD6V`*dtg`CHp(UyYx;h+hi`3=E&Pd&8a5r+RyL
ze|mRl_vuq{YKOr0jd?kSh$tT9+*EJ4QAbaoHIc1Lpd@r>_o>+Oa^Ib&->uuVd&wQw
z^6)9U7u+!|pMEFS|6SMa(<fsK%J<%V`mO7J_>X;yzKd4J9sJE@`@5&|sNNIplK6?w
zMJwVa{_e6~zu`02o$x#R4t*2dx_&|J(Kp&D@qy1pH?D7}Wt|(oXJ67e?U;DQ=b~%Z
zC)C#-eWe`|FZf)vAa3HXF7x#cwMSoS-`R8Mi|Eqz3bn4Y!#7kOofY1*&*_V3R-E83
zt_$HyDvv(bwu!&^lj~e~&OWElq8V|8&qQagH~7rub8z2&2fbJsRZBzlgB6A~N1tlz
z#0NeTow|NO&Cw^?D)E9pxs28~)Eu1=-cji~Biv?R{h^Pdz3UTdjy}>BiJ$m`>qxlF
zzC#~GTh}Yp9DSh863_TdG(PU(Q_<MCgHJ`H;{<<j9SHxk_t1OM+VvMcaqSC#vsdY~
z_J%!=PHBIMoA{k;Pk2P7>(uao%A<F+m+d+9PPBCWgil<%!d)s^r-Yx`>-0|4KThyF
zm-_mK>Z7-{?}UA<-_3PD^vk-W^I8?*3!iU@72Uo1Lagh&&<ByO@3h{nIdo2|K)m{m
zSo_&SnY_}^3fgV|Bnq_uIHD9*xSQ*C=#_O%Wulu`Pl$D$8+v3NQ<-Q<*vDO6ma7Y5
zTjzvsS=Ur5x_Wg$tm~Z61Cg$;wC=58DivM6x?nTc<<KeXno2}-!w%M`iDrix?&7)_
zx+2o`g_cA3#5B?Qt3SlJKG(7c-?)?OZ0Lf>qccNO)*U*dW$?U8gjv!u(sKG@)ikwP
zXLtUvV3iIp1Z~8a66yL>OC#KHC)dePmvv2Nv=qV((?pZP1k*(OR~N*%KGu>5-?)S8
zXsF6Mrb5y7)dn%H54AYL4R_RY9Sm)VJUTtJBGPqwXhG!BX`w9Zm<mMeSAU3hy|1-p
zO;f&T_39IwxO7(U*u=Fr^vPPLe9^G5z*NzYu)^(KnyWWNyWZ7WvZg6dG%$=YRkV2Z
zgy^G_LyxRIbW-a<*vD;L+jrJI|1@`X`8wO;kLQ*?*W1Fd(ysZpz`M)a&rdmAD^M>g
zDf?ZJ+47oqQ}tibWBKw1zZw3W-D*AkT}<V(L&o9nV#=RwG8TUqv%UD{xln8Iw=tWG
zPo4`+Uw30?!t+JO*I&mJKP#H+eJ*Bg@y2sot){<<DSXys9R4aM|C!U=*Cl%9#WT-^
zT8Y1m$$ge&e0|nVx9380z0bxhEN(nEHErGbo%J@)U(M+*UUzn<$#W;;>oa#6JvTBI
ze-<;nIPzR*+PYIaHJ;C!<6X4w#Lg3ESS_#5*m>lPXxh5tJ7u0{&FL;&cXX%7bFVqv
zh3gLQ<aw?&r@LU?!JRD6nT)Sb-?`_^)l@yb;@p|q1$qZ|{(JUm_Ue2+-QtgDx0;7f
z+qvOPX{z4douBHT9h!amR7~izMMl@}#sojxG<$WPo@VjIv!bbb8pUVNs+wP)yz|ks
zA|vrTG5N(i=RW!_vTykd+oSV>Kg@GFuUY25u)51;`GMlDJHdA>TjvHpnD^+L$hPGx
zY+2uGrui#YcUdj(n0wMU@AmPNc7?xfG>vX&|K9QT^EUoFZfr#pT5al6lvc+s&~VUt
zqxoWu=jINd8?Fm>niyAh8PA%-(bcgoW8tpK)dji+8<!WPI6qr^GPozRCg$}Ak;H38
zG2B8Qbq;UdmU8yuszp=FyRHYHvApuc=IDLRUw({fI$mr1^)z?wdHN&lZq(j<+j*+b
zb#87h+8pEm(EQrG%}nP%Nq2u=qf@{9LJ`-2%?sun`YKZ7cd?4=TJV~APG3a|{TQE%
ztXy7E%ylJr&b&isHGSL{u1*)Ju5tfZ&9yyv&(wKMBCO9eKlwS9b7^<?Cn+eqD^_-C
zEM8#4dQWrJf*Cc+$FGX9zSWTMbPv~+HNF^9R@d|?@71CU&Wfzp%R~zE?{<EgHgA`9
z{qDsZoSw66_|W-YI`REHcZWWO%d-}pRm$0(7V3ZSnPB*%Jx70Co9#a1rdKU@iD0qZ
z$0uvAxu4j()#|xOwx3}Y*Tvu!mDweNySi`Gwmj2x@@K5-GFkqkWT~>&&uMRz)U@ya
zs?p|sb8VJu%Dh9LMWW{}e_(U;nWl+<<1>+TzloLgT*k`}*c^STspB8`Ol0cv1vW>Y
zG%6|C>Y6W~5c9f3_sZ5Ff5Dw%vx6sCvObC0nB5x5eI_dVT2OJ9VZP`*r!$&|<~;f+
z(ziUrhV`+gnE%2b*PcYi`Aw|gIub17wr=Wnv3pTr=O)E=pNfjSb}PC&Usrdz!If1-
z*WN|$N`GqEeXd@U>--^`)t`0FZ8kf9t4M5iu)w^l&vY(r_B!un!~Jp1;mthfFUfR&
zTDxoen|oEC#HI%Gth-vxb#QB)KjSlzc)y2Fb&qYAxnC8tx<L2vRzClWPeo#`ImvLJ
zjhMRphEdovO{Vj+tXUsKMxJXb3VWyd@7OPy?(ZUX%U@WZelHSrj;mfK`@H54zm4Tx
zI?E3fb!jiZVC{NO^MhaFQ;|?V$EPCY%V!jE?GC;&*Xfkz3qQf9A_0De<y<?1Z7f~y
zXwI3#^iCvyd4crN_Zl_s7p=Q&7eA0~ofr6~&#7Fb(ycLFWar`?#$48m56B*UtC8a#
zXw7vi@JydmnMkRdpf%TxzzdS~M_+3^>p4^^Qsj2gitAe7nm(sekwQ1dbdi;dD~!3W
z1kUL@bXLR1J<y8lQs5Rz*4cq;B#*w(aB*LlCbDobgRJYUz?!~8#UgVTKae^4OvA*z
zF-;`hZK5TY@!|tAM?pI{0@FmME?yvW^ofRwyPzeP(c%V~qcZ|KBwc3&+VmZ&FBIur
zoFH@bk%ox-L<_DXfiiuE3Pf5LE65yupuytKm?jeM_Apf>*6m=bNVJ=v1=oSVKfQ<Y
zMQRscFyh)5_@-Csw8n;>N2fHtxJ@+Y+7lQd={hwqK=SBajb%ND@<d7(PcY)z73d<#
zIwkN-uT!3gznh>rm-^xc>7%zb?%exTTYvid+I!o-?K%2;+Pv*$_b=6IpO3D%cdGXF
zx#+TchiYGc)4jbt>;9tJ>~Fd^x4*J+$`jm`f7H&YNU-u=Q*HOT==J$$E00Xw9&>-v
z=djn&YtrsT_11WQU2Bnluu{8Ja7X^$$RqEfS9EWvef>q(yuTr*=E#zzbKK8F9(ftP
zEPv`g*ZN;!v$x;av-R29i`!l92Yn8Eq5S9`(_OF6*PbhBsc*N@y#K1EyI3qfE-v2H
z)wx~5%=BW;+{hOFHtv~e+YH<f<i_eP`rg#4dwQ)=^wj7n-8VjSm~(3e6twDU6fszA
zTY9y1+R>-2%NCqCc>mgy=t=pm`&^&tp4fhDkLt6v2Kl!8>Yx4ydmP=9ue)#QkFZD4
z9r?2RQh$U!jBd&2-KY9PY{vF|d!9aBtCwF`nSDCCF`seY*YDHrN7v?OR(8K%yLbDh
zJ*`jIYUjV)JN3KRz38xet3HWM-Tv<0tWVSKMwjM$R(8KztC8<mnf*?8=k|GfM88j)
zy#2wws_N6ZYwvHZx&A6PyZ(ICp6r*A+2y)-w|-jFn!fhV)^}@C({=A`&AYxSc6FKV
zt*vR-C&jKl7qv0_;5ygzwb!>kTk~|+v^iU&t|!H2m+D^K`e+Sn`r0d7@2zRwb?s$T
z-Zi7x)g`)@w%%HEG;OVEcJDgXUDIZ5b-K<Jt9>?Ves<-$t2@P>N6pU8T-Tbm*0?@9
zbzN$j?wPH6*EhwiJ`*)HJ8+%p&S_7gCT35J<StrknB5tveI}|u+j8C29b%88y0bOc
zP2C~(D5^bMa$V>Sv4>I3*_`WG)7I)|S4OTr9Tju!)~2urQ4QID*FN1Ic0VfW+N0>~
z{IxpSiIJ~QMO9@#UE8{S+SIM9*0`pw-Mw|$n)=l3VRxf~t}TkzJ{1*kZC12*-dgqS
zqidh0=<e8h?^==d>+d@EH&>m%RV?;Cvg+I|Tkr2{Y|<ZEYJXp2oqp4j`}>;Pn{&>u
zvhDu1=H}*<^Q(%(-b8Llzi9dT>l(}So%2+muer84;(U~?_t!NR=?5*fzpgP)UpTL{
zYTE40KIgS;yX(KKF-zZQnSC~LaeC*xrO(z}*z9oLtN7aU$a(3d^Nv<tdloq>eWB&+
zGm$gXXIgT9UUPc0&Uvlku&0qz(tYQtR)#%^OgYzNlYJ&K=^R(_wa1Zt>8A6JR$O}&
z*_Ez3ueIXZ!^pOD(Rr>F*B(SRr8io>J{=i%?p2Z4^v&Dmh*pR_sE@2q|2g++`Lt=9
zf1JBzt$jK&;@qyHY4;*4(;v=FeY!>~J<#&?yEU5WH|K_yPn)v&#ksB`u_>FMottF+
z`ebBbx}_!eyEWT4-#PY6_H_B0dmF#?9JQV{Z)4f<OS0PMBPx!al6`$HqU_iq+1F({
zw>M@TUnHAdrgL-Ss~%Bnu{RMV$LgzOv(H7WOFk*Nx>V=d#<1f-vfAe&3XXZnzCIhV
zB6)7#(=?sS8@-Mz$*w*dk#j7{_}YtzMae5AwM%p^Y`oO-)pFYNh`GsyeOoQ3J&VXV
zW@Q}qEF$gLA(_`jI;S_D>It<Jdm1r0*|Sg7Qf%f%h2v7j(`IZu)brJ1+T)1cWW&C#
z^%m0}MRX=B_LW*pdl=E0EZApiG3`M_!m(dQ)246S)pIm;jczh)AFIW+`w?}?UwfCP
z>g?P2?bs<Jv1uFE^(-|HyBATB94Wc_R7A+JUDDa7BFd7l_Nu1p?Ao}fhc$K0&W%rw
zRT+idiSRqtB>g&9XS@HOx<lWE_s+lZne(3ii=Rj8->H|_Pkb(1VK?!2r}g{|pE>XN
z->EzFO?d141%HpcQBScCd@j6ke#2jux&C|VlFq5e*egC4UOPYG?~zyPA@+jLg$wK^
z{^~TJ@9_7?OZ7W7hrS3eov-lMWw!r@pGRi-_tZIk5zev`{Ka{}f631y&(&@0FM^Ji
z$*FVtESzCi_^e)d=6r+CoM-%F>JEJpPPGgC$$84(r_Sk<@WlBFpE*zX_xx;`;otJ}
z$YXUG`-?v~kNNA=IeirFobT}G$U}7=`@kQZhx~c!oIVIA*nNB|9A|g&sc?+l#;3xK
z^BMjexv&1m?&5b&-T4oGAGxQ#sfOva`nnpY_rjI)5BzSK>L2oxrGBdao!X>R>Tm1<
zzjJENU+~*yioe6pBX`uF*nNB=TsXhr6Xy>9J++5&h4;_?aM$I%+P9pha^Whojk`JT
z`aZeIGSBx(-lKDBZ*rK*g}2Y%aM$InT86pdZqA#&S8lqzQA;p)Oc!21d&XwY>%J#$
zy1Z74FyFX~^Q!NWn=EsDm*hP<Tdx*iUbu_Xe73<|mzQcD<`>h1bIdlT2``>4aJOZa
zZ%ZCiiEyUb$DN$#eM|D1iiKy-zHo<SmhYUKF3;4?<S-QrPoKTvj>}Bnki4cM;mNZn
z+;MrLb|QzVNI2O{F-<tp?Bfp3<Gv<&O@+eUvjgt9JW>-dFWkX-*jFU4sX(}Swm|(I
zmKnY^H(RFrmfU2S?wfPd<$)T5`N!>?`+dLUI-OSgVYYF5r_SsHn>w{;U%2gZPwj(Q
zVybYcnPaMO`Ro~+ICuMA$#puV_QFgsRXD)Ra69KtUz?jQchu(OFy#s7&o0<~<h^Q*
z{>AD}+vyMXw#@T>6X*0@s8X--xzNt(JBm52>!%;sd*rQZj(%V@=PmCuaZcZaO7#S*
zId6Dh*m>l&>a&<bUxkYFE>>|~^Ij9@^i`-(kMX(C%IOuwoL9W(#2q@T>Z2c6#d*nl
z%TAWr-fMOqd7<i}zwnvR!s!fqU1oXL#2xx9G<W)gJx89Yn&>w^6H3>cSjlNT{lK0h
zPgQmF1D^@iPo2JC&ygppD*A$zoJP|d_8ghv-LcbUhPO@Jp^rkn(-Za_d88_$Ke2-I
zh__7Kp$|f>(-rm{d7#Ro&-hFzUhm;kp;*0xPlclO1S>cXc>jq#^j@fT`h_CSeco?k
zl}@W}h<S8M^^4xba?U;85j$O`dI#)0a#wX(%%OKerPC)Aaqg=3cG<}?#rsUG(>o!5
zJ;8EL_2~_}kK9(hqxR97^S<X7zohdj73vGqg?3NAFxO?C=YyFp?^NFT96F~`pk8Rr
zdE4`fUsIXT=E)Q0y3F-F;>T1bRHF9Ls?&0E!Q7TPo?HByN`+QW4w&mQ$Me8UmscwH
ze3(jwmQOA)=Dh4V#jmMEs6JQiV46_2nxPfvMb8y8U0$d-s8382nm_r&9GB-R7U~-<
zInR17n0aKTXNupUGb#q^g_fMBJ*Uicd8(qJZfMDQ($mGS>5Phkx?!47lA2(eQ2*qD
zIWCV?B-A%ra31wk@nb3!YM*Q{$K|04hq|E!=RwbgnMbC3R?Kvn?pZMN$h3M-7C)u}
zq58=mX1m;1+2YfbFH}AGgb}CC<Q+zwdp)1{GUW?}sRgDAg{T#pcWO@FFx%y>$`YTZ
zJfT1}##Eu=$rENDne2JQ_s~g|2WlV9IJdk1u{-o#aBu&O&m8yMUsN7>r(7aG@ws4y
z+{E7<*8LkkbKG&iV|VDA;MV>HwMX74*Qdw_J{R2B-%!gk*L{y&(mCZAdBx{~Yx@&w
zkGxV2kr#X}SRgm?SBH7OL+z25%6DuIeGy#RuTbkU+kHdjky-9Nc1~Xev*ZMSaa?d;
zQhDULvW@&j(B)A%c21uKGvo@N3C`>{_{?#}J;v_PC&5&?z@Hqa+<okvJ_%0jSNP0v
zqTaoyvSo&QOXZQr$};j7e{dXg*RgZ@DA?KWP;=y=GLL-V4~|3bJa$eW1QX;wJ{63U
zyZBTvMsDL%!Nz`unj`m>|HxhZ&Y|1?p!&!?<xMtBr<K>)IK3CF>_1T5GSxk#l4Yv<
z9owW+%5UTXzjJ8zFQ|5z;_gs+<c{(axsOi-3;XK}K5^`D-(!0yS8#v#hgg^QO5b#v
z$_1;$Hty!Q>-r>;WuEI3y+`Mi-smuu3vTb;5bN?*DMQ?FH^)uaE0Hd5loG@p(*@Ue
z&)Cdy-StGI%WI_w@r}DUuDTwHWSQf-MDNjAr2z55T^#1!2C*(Ll{~~RrU~YVZA=qf
z+$|8>GRw84UXQ6nFjMT~PLA`gC3;Q8g0s6X#IVeAofGNuOzDgcQ?cOm?hP?6GhIXU
znu-J`cTf1kwbr|vm0MVI!-WIK0u)3oF9-{2Hu*?M&4`%Ru!y5cNkQB&O)yDJFio((
zyCBBpv66)N#vL3-T~+j$3I*G{4R}0uCfC(7O8oL%D$B)GpYVcRv%DweRX{+%#S1fL
zirwnkAe`##>Z*OnZwjjhmz1=YmXWk`quGKN2J+@kcKi41Ry0Oz`LQGQW8r4u*LRQS
zzB?Hq6DxdQZGZPsv3n|I+oqlKEqMRnVykL^%Mtgmba`_p`R$JK=8p2_29ZB%?)>?7
z>&;`f73OZYrkj61a=e~b&+iTIyxKc=7F{s?nY-wDnW5jE4UDcXwRU+ec6qTZtAFfZ
z?Q?zixa|4!$IHyscJ|+%*DpP1#;Pf`cb42R{kZq!yrWr1eF{^*wup+d{`gX;eCK%X
zh2y>-HeRW{bHJu;SO4wlwRiTsd3-HZ-dxWvFZx>{_nqUs1@hbX*WUT_t+4*}?c=_u
z?ec1V?|A$6@w8NVbDiHiVs9Sz&6nT4r}oZ=Z-tvT^+!*wy|d!Y<Ff7jw?*X5ch}x|
z^{sI7rx|zb@=E%TzI`l~DsQgwd&k+gkJYx{cyE{IUvuZtx5DC0{nB^r^78HS@_g&^
zAKhN^U9Ec7hkGvmlkd)caZlyE?}s}o-_`2N^OByc?J(cDxAU!UR=!j9<eRfk+*@+a
zHz}W~TG%R)sjK7Ii?)|$m3vRl*|1=dk~@oe(+<Itvv=HcIp-Ude`wc4b@Rx(9rxXS
znJs#%w#vNov*6Kwm3u7be1q~oJyTm@Zn?K}_Usw=mV8lLBAvL$bN1{FJ5SE)U6Gga
zRc*1k;NJSqS+_r&5i6g3;igvl;yJlRW+!JioC~X%Y_gg6oLa@~=ecvY7v9@eDg7jO
z$7aEMPd=;7ldt?VamUS|^y=ct=Wd=mGtGSV%-IF!*sP`J-i%A1T|D{h&2?v%nMXhK
zHOX&!rk0*JsZ!Y3{NSFGPknXrcklI_IXmQD%O|zT<`egLKJl$TafjuTT5_J!GquFL
zPZh$)XPew>`KZ=y9=ONzk*`30QHAi~*&_E^KBzUD3+~~ZF}r4G=k(bnJ2|J%&e`et
zz@5RoC|!BIbmLym2kv_IO+N)q<S+g?@yz#M-lOjm5B46px8%KARNkTT$$Mv~?Btv_
zJ7#C+J>O4xP36Mc<|~VYwd&1x?mjtX_Kmws^2{#I_SngJ*LTq!mQ%jZ@|4OatDAT3
z?wmaPz}+Wr)$)vg&h32fQ<c6cU2Tu?MdQhLXTCV6a^B~|8I^Li^0cIMwH?Mg=XSpJ
z$x3&!o_urWiE~TN`6Q(?SqobkSI#{-XXcJ`F6Vrr(hpfpzB+ToIhAuh56-BRs@3PG
zHCau*Jafm)&X+!3=}uOY&5RR`Cz~2e&h32RbLEUmiP{2VPvgnwXI7kBQmi(|_~x9>
zXFeCsJUQcIl%ABPHe-A4PTdb?2X6mME3y<mJ#)%T&!;{b=|+~qCuh2xYdPbikZzQw
zmXs!xrq;jx=Do0L>9@HBdER^9p3PmceeS(u^-s-q-+q;LyIA^eZc-k1@$JXCecMg%
znWd`r8E4MneC#8ZzQ|(ok(mnTo)oCH8EekreCWdlx~0s(_@|MuzVS;VVLjuUMw1WB
zWH|REU#-sg<?POBGe4Y7$ybX^Yf4oMPg`U@dC$xTXOEonS#_r6l+U}c-A0o&jW^Er
zyz8^%OiO*9T3{Mes#>w}#Mvh&&pdMW$Vs0EX`jr5w_jxnzr9In`qf=)rloGZcJ;}c
zXTK)hpZ;UtlJBb3aff~j+3Me{?R@9`X%EYJ@1ppk=c;94hd&A3nSN*Ak#DM7S97j2
z+adKZt97;HI<=irkF&z!oPJBqy`B)?UAy*N_9pR-dr!P|Ezqw|dahKicc^mWj;qgN
zmV8lKwmz{^_jGpk`j2~;JyojKyHqWBzx&U=XJvwyuEvGWj_EDldhV)C`0pCu&s)!4
zw}^jTv-V7O`}&#tru~@pD7$<8#eHGFXT8ndzW(7kHuKq&uSdjd*YXx_J9qQa88)lv
zmpRMyXV!MU@qV>O<(ujT{e_?FCtaU@rn2+3_me$KzN)U#kF4!{<^5<6%Q^3$_)pJN
zS4c<JoP6nhXU~x@s!R11YdvRA-%xpS*7TlzE?-o$;)H$)U6{V4^5k=GoA^sVh0gWv
z$vu**+_pWl^5nDJTK$VPoU`InPI{j%p7qZA+#Z$Bs%ddeKPR1ze<RB*UMSh_ty5ip
zbI+19-dgcaKPR1>?y*nhlWIyF)6YqU`huS)8R%EeaeM47<9~au+my=><}7<=a`Cd8
zf3oe`uO^nucg{0Qm+H~atl@m@Ef&A%$D||E74|*(pxUOdS;P6zn=M}Hhme8(&rd@7
z`Y%5T>FMA6H0i)}hJ8=otJdkitnQpP{lngr_p0@gaZOKE!`+f))_#;M51p6zRI=jy
zi%&fI=YMSKxtn?=lI^|W8=b}FlKW2osBL?0Y&U=6Gsy*o^A`T<F*q+!bL@f9x1Ki3
zTTd6wG+w+_^RKk7;3-3?{(~!i@SI3Jpx1oPC{A;}quVFR$;+S4YkU4tV&?G;wr!6M
zGx`m=oxe*IAFp3g+j-CXQ(V(`A#MGYpM<pZcUGUAGX2KhCGS*&;+(!u($H`GG->Db
z6MLVW^nMig=!t59er0v%ZLhlUN4qE8oBAe_^S#%X6MbgU*0bJbPF@~3FRU_Z=4BoK
z%hqpCd6oH}F1mFuvt_yHytIm24>LOsOXz%w?tGUS5jH7ZwIXcN?)pjA+8Z|u-I;o4
z-H|fYt=bD?PrmU=2@gtF-KgCd%Q<)Io^>hbykf$Y(pA@LC&r$9<rNYxl&)G3Hfh%+
zb8W}ilP|sQtT|Gmx^({!**57r26LY9I_Ik{S-WoiWbMq&LT1{Ln<rhI+QIkul-HFt
zDkZ85v^_UZIzP2y-I8L}Ioda4>N}r#U0CzvjF*wSb8P3#sR`?z6sb<r*4#Yl)YO1=
zDMhN2v^!%sXHIokmvYAI$QqS1UUK1&c1$`p)nHvpp=y`5XAI{fFTU`k9g_}C6<C*2
zpxUI(xOtMk_Qy?=^t2ytnxw1E8N>O&>tEQT?UVLR&4}c@@AWNgQmSf1SW&8KmG;T{
zXwG|HYt}3|<+WnXlRVYnu%zvic4hwYWiF6vynMrmS8w^wx!=m9?qvG;bxXhfS|Sx3
zrj#nxr@b)RbIMeQ$dfTMGT*Fq$y4<Y6WT7MuH87hZA#`9-@|#T`PzlnC*OP4_+P4?
zWUKzrwsYR(H}hP+t5mZ6mhu+3e%19@(~1)()axglwu}At@zLUrt^LXm>}rF*HQI2_
zoBU+nlXISL=CFKM*{;6P*7L1rhQCp@(9OwLEIr?NCipu&S6Qz<vsmc*<P(;juRSCD
zH&qE;oqWWSbI#-?^PZgb4Dc_i5;9jewDo-H>EVCrnM#h|re`XP)dg)kXH9OI$MQub
z)9+KI(D}(F_48UjtISrvXu~;c@*GRgXP#%~uzXgTuD;R6bLQlbc`ct*CaX`h@qFTW
zVh+nEm1IAqXDW$)pDKioPd1s?@=>K*J<!JUk*9!vQH9Xq$s+SwKBzRS3)*nbm|SDo
zIel`8CFk_XIhLLeJQ@5yl?&~k{AI4oY0n>io60BYs2?nvq^(|m(c1H#=Lf%}rz)X-
zPES?J)n^t7?VfyPuFEOU7k)xdRRa8s%7u1Lwz2fQ<2h#z%R7~P^+M^B?>%bVFIi8r
zRemVjId9^dK9_QpO1GwTm7U5vjfJe056Yf=>yhIgWG!@S;+Z~|GL=#{A#0%<6E8@f
zeC^@4Y0`8B4Xy=RN$dANt3UK=Lz};at=S|?<wDucITN?^wUnx?Rt}W)oHOx&q~|M-
zdp#_rD$A7%jfE~xoYL1)qLS-&C`~2X&B#jV;=~n_o-aHc+$W`}%vb&><N4gf!hMsa
z(AkL#Bu~zqn9_ITjE8}Hk)_b-iBlv!pL%Gx8(9jSoaoZma>hf!-6%~Z$*o=}O{HJC
zP{#AIhlKkk3!$SERr**8RoayeWjr5xaJU;;2pyc*AbE27#0p8z=@SbiPfnZ2(#KMu
zQm_0`+Vj50mY$Y;m1^aaMnXEuJB@_)PJGhKlCKix7L=+I;#OonNmF^FwC7!qB|R;9
zDuHfHsVc?F6Qxg1o_M79$Vra}ZlCJSgtqtpsXOvrd9VD<&w}^*U;I4r&b`Eb(sShs
zyGg$%TFY<zEO@8?PTi4j%3I|Z{yp)=J;grgx$;K&#=jhM`}fqPoO6${S9-3zRzC6X
ziC69+_Cn8<3+yKSnrJTX`1iz1_d7L5z9=u{pK%~Qfm!~>3sz$bPTy{BZXU<LhEvK*
z<m)p(3!2GCex7)-zoX9Oth<vv)31pp@<0D{Ja@OUKlF3r+5U_=mb32XYE(Wer`a|A
zoOrrFqHf6<cP)FTpA%2^d(^3XQckgB`Z>{1Uhwlo1Nq879gp3m><|5zc(h-mPUWL=
zhrH#Vj)(4C_D(-09_;6+V>#o#uja^U_gytDr`@;J*Qk6@Zjk@^yW_t5FS|ugm22d0
z{`Q#GpYZd<)czN>D({sm<Trkrs3pJf)5P8VK0iC|y1%khda7I^-}y;ULw@G(jyvx2
zYE<4S``IylpSZn$NA8ny<$cmG@1B^~`ytQeyjz+1qTLg1qz`VMc&GQy&5pUf5AvRr
zDQ}Zrd6(m@Tbg;j((Z{?(w%oX-nhNUc~YueZ1!lEprv%?U5?joVdjf=O|+11+&uA0
z@1C0+uiX61AEhZTlh(Y;@zU*9PRm)hYdI+;%8R4}H&49K+mNSn*3HiR(awqIdJFPW
zij`+cJ8qt6EPe7$$IRY@yeCD<)1);wPdwEdke5=VJW0Cq4#&)1hx)vfGj2z6RL;1`
znLpYw@mQ}xUP_^Im$c^{jz?~M=1Dsy9_kgyODRxpl4jgIQD6Gwripse4>wKJmFB#|
z@xbk$*`w_f_w{Dn<hbwl&1_Pta)en?s&bX|$=e+F+}7kQIpww@=SiM&uvyafiMx6|
zZgSjpTa?3c%I%q%()Nk!(w(>KJ0|xY$bFKloVWe&y=T?Y?{lm39`E%%pSyee%X`zF
zo7rrCdavxcnf3OE_sXhgzs=pc{o*~d>e+8{OY^$-@_sY3+P?Rm*>kh&x1Zd(Rwey9
zclGv__r#u?S!`c=@7pu8E4Tgfi;HK!%w4*D;=OCn%*?j0-I@Ev?BeY!cf_isU*u-y
z)&Jge_Vc!Lw=ME-@A3U?X0m<mPTkLD#@iF`l~vAunwy%pdQb1CZ6|N5<ah7sJ(D|O
zd+$!)Gr5U*)qBo<+;;4?M1J%h-;djl+!n~U-gEZDwnMi$@}>9qelRoGUc2+`>D<`7
z$3?fN-Db*X-t+do+5X$V@-7!i-_Nbyp1PCwbZ&Uw<@(*a@6GnyUUMhxshQUHyLZjN
z?cu!1MbdY31M`}TZr{l*+HSj3_nn#g_Jeo7mCe4Lvw!o?bJwhI&%0TXe%bi;yPRE{
zpU&hxpR;rG-I-_4<?Pse_gtEF^xK>*o6nw8vyPs7Gbz1!ZtuCA^_$PmJX>mJxq0ik
zV^+6c<*eF#bSCe)`ka-U_s--k-FErroik~m7UGi4TW9v3&B;!ap6gp;X1clc9Gg}2
ztebY}pXaPCHamCIEdBBv-m^LBX{YC$J(DwIbL_b^%jlW#-rG&3bY)}dCppP!!p6}v
zZpx(>&ske&cI>8Ddhi_GLbD?``O=N&tSvA*bdxPzcn)v<nVk5v&qmSHZ|*p=Ep^+0
zn+)l{XP?dAw(sVaGsnzt-^+<iJ8X1&>dh}{r)Tr#n`v(jo!NUTr+l;T%(HphcHLZZ
z#?3r>%FP95%*<!s$?;F?o_+RYPQm8AXP<q$^*(#w`mg)8JvY5~{mY(hzh}M6u8ceU
zIqF?@dEDmDQtz_2ufMr3tp2yu+w9HjPwoqQzV*iSg!sjuZ@tbgjw`P9J(s<9{l<OU
ze$9H7T^QH=IqFq*ew=gd+b^c(>u2r@`z7@<J2x)*^Q~Fe-QtC7ea~huT;I5F+Ow_a
zuiM1GuIUA}&Q0Q-Ki`^p-6-Dpv(&Tf>FXo+g+1GP>bge!>>A%sTTfg+v4`zv{jC|-
zkL(e9w)OaRnfUCQ-j7?4UKfe?uHpT-_3(9`c<q|r4_gmjXNhP2d~5pkJ$tS_HPu_6
zTdDiO^uYChai6Q#zBkof|8eiO?@`mPZ`f1z)O7FlPjQE<&z{N-ja&Tb*4^yjxXsmT
z-<fKzzqnWIsj0^LvwPLP-<o{=QC#sSsXN*E>+5&MKKZV+PyFTH6Z5)1#JQYzEz@6A
zJ<&$|VDZE|-FJ3&%<X;<_vD+>Hu05vIo`Ua=_^%Fv=Z;!%kjqbMa+}0O2vAQsst^?
zGxu`5b`8^CR5j5;ys>!VmF_(|IbON?=|6g=v`k!cFUL#QTQMzXU9ZKYd{J5?9#}l_
zLU%))%2`*tdi_V06VG)Q#HD;znkDX7JkePE<erY1-3f6|J}FHT*DRiRsyiSq<&)AR
z@y<OQGrJw)QqH&@iBUP@DyRRbV&buGgSeEBN?qcfdpI7s^64j4Ogz*r5SQ{nsY#r%
zc%r`e$D)aP;tz`^>WXvj;dtQsPw!Fr#C_cvJ2~#Ve$$)uRH;5fujr{#mH5fs9QRz;
z#4I`Gx+3PuJEdT~r1FWox;=Js+;v?P!*a^?nVwSlM0N4b-5ry=55zustCY9;@49EZ
zquys#hdqw<J)gCE^~-hB(oJnvKV4UrZfd>y;kvTjv)*QHU43z#+3s0yvP#3cV|mL=
ztyb?{XO?by{pyo7*LF$0&Z=L%dgVH?bW@AfOV@o%Gre-vFT8m3te06!S5I7bEzQ(y
z_1ehX64Q%UudET<CG{dJGwgTFSx^_yBK&rYZ?UP#>ba4+#iquq6W5jPob@y-HEeZE
zZ_(D1S5?BhV|veIO<3I<>3b$CF|0b~Y~j{pS0%!uV|)v@9=R$IZXI*BVC$j!s~q9d
zF}?++2CHi$&z{bT4ST%l*0ifk;mk2_^G)|({S|h3lhpmJ>eZ={yr;9m!!Aeb=9})h
zx@JvSs;SoMyKBu-O?O{?6*hU3)ZMJWu;xv-?qn6MwvE)yGgV)GaP7Bkvu?Z8sXwxw
zc(3!#Opf<1Uwl%^m39fAG#0cGJ~{Wq+|K$NGdbS5<f$iFPrTK6!7rsuX_N3u<B2yq
z5BRm5bBR+oN>^GZJkfaKwayKGDWyuQggcD|ErdPio|xUa!0$<kQl46p)x=Ak6a1E(
zb-Ce_a@NI7UCBz&RG4!v#|xKBK2M63<_W)?!|~k3Ons51poy@h@x(KoGiGu;bJ0^z
zvYcpCFT8RN$5R(IbtTJ*Cpst0<apw8%*W-7%ORg9g-U(GnR7TEyNIbTvY2?JQ^D^^
zfl`~W<{XZPE^O*b7J>%CKaB+Sg<l#8>IvU8ns}g-!S6}FQl0S2*&Wk5KlrBPD@Cd`
zr7DH1Ei#|Dr}KgDky9?Kd|FPqyi+quRVou+IlE&@XZ;0Vl~XPYd{Xk1ii9hTChq98
zn8|U+<(}H3Zximf|FB!~U9np3&~E`-{+qQO@0>r`u$*@;k}rC$SSHu>d&2GZ8+J>+
zDQ@APS=;f(`IU{zH^mM73qMb|-hQUC<F)e>n<ZZr*YHQycD!<aWW#dKIY|D~GsPAB
zmbD$T+h^D<`J%X_o<H-mfEj<}=Lr|vJM3J}Iy=cT{hDCH|Ffp!xwDo0p`R1ZwrAL}
zoOM2Dqw-lXO|I$ZgwyR2c1zAUYsowPoN%(;!%pRsVu~Eo&k2V7f}bZC@K@G!Ja(3n
zKlEe5(RK|xm5+)Y{FXHx51qN>oqkL>*v?_ca>jX|&5_g2yKGucJJ)ZsQTd?Q!2h$l
z<G%ARxkXPEYxr+gdrWIjs5~*X{e`W{d&LU=jh`lH@h|)|VRySvWyf9TS8_^E6-)R#
zKM82?&#dma<2=ts<(;CR9Mks++uL{OJ}Fn+$Ne()#JtuIdM@Xk%ETA#o?yd$aPx#a
zt#=|j=C(f2ds3#jjeBJ*$6KegdU2)Q6Rfy9V>#Y9z0i45s#q-cXqSK`cV;ZdYo{>r
zMY|?ga5rwAaHVxmB*!Z!Kk-Ltip#h)V>w<r-O_0}>vT;gr9^QNci`p;7g`(iRL(lt
zi9gyo;aqEhUP`gzEN;im6O6e}#&pbVP0)K%q&SURbMu5#tpR!|MT(QSJ7YLzw$?l7
zrJQj(qN8%gNlyIHjtR$F4fIk96}z}SV>li;@rftxm~g07Krf|0v5A{;^8|hFkDDgw
zaX;KNL6@5|hU0<LKe0#KC+us@h~&8M^i6D1s$zs#QL17U_sM9EdroU~mYi~0q4Ok9
zF<2~V`-EMs9+4b(ofhe^oN{_5rnG&6I(KJ%bjRe@1G-Og74z7C+IGBmtm5DFTwxFU
z#o`Hfn_tMOoOk>nqw-y$oG<CQ!VdPGwjFOBv-q8=C){j4A-Ck5V-i18wSX0SrR|A1
z%{$~=&N)W$AF7&gwRwe{$~ngeGAdsc^7)#oCR}dbVcGH0(Tm@yYJwSiV(|o1c1hcg
z7minC>Q%ldEMWI6o^ZaoLT<@tg*oguZ91MgUXXcm#?gpB>6yX|_MJ8zPaRLmEcv7`
zg?*+?#}h{-ey3*&6WAq-1q|6aizgg!wvb!$QK5%D(x&5)qY!^n#e~Dn5^_sED73Ik
z+H^c{JRtMrwBsI`C8r&C$fTThWa4M4n6SV3hwPH~3Q_fZhsr1HZBDV|nARL)*>TVD
z6JJxgfHwQeA^|P-oz^F&G~bY2@=hU$&#8QZ276=Cgq_VNWS^XLe8l(Yi9!K;rFF+`
zhdTC0))VeEzLDg3@9>2urCebb^GRa?8|IU;C+0TZkmPvhkjI{6J>gd41-_Irg-y&W
zjVIh_Jiym-&LNJy-Y8vR9rHxv3D+7o@THV0tYYpo7O-IUlsz%KaRJ|x5`{drB&!LR
z8Yl2AIqPtPC*`bz8@rN~fGIPlEXNCnOFU1C73ML&l;L>pV8*`4Qow}S(s;s|#u<_v
z&m8pFlPo6~F|U;2c<P|Wu4FmkMB@ZWjwcSscwEjn9O8LWsL;opSuex!*g=eak;Q}~
zjS75E3KZIyHDx#+I<T=TSqK;~|1=WNXMSlUpvQdEXu^R;2EHfx3U$mcr8}lIe&9{X
zSBPY5N>vDFTVy_APvZmLBc~iz@wA+Bc*kaxs!+zfQo3VG;{{%oQw|GwQt}jvm@ADY
z>}a%*<hbK-kL^(z!+!P$)%6T}**83AsNi=v&-g~J;T_`>O9mVE3Dpecd<V`kK9D=`
zmhpz1!&}A+atGcpo{)2x%iLkhaD#b4F~fRxgXat}{0`?Bx5zQPW?UoJ@QU$>C4&X~
zger!7K8CLhe*6c{GR}~5c*!_H&S5rlLNP-&U&0p#7k-Dcj4g5uFBq3tHk_|#{$SH^
zj`@L2!&&AFHVh{01<x3a_z#?6OprV9lrciiVJ7nm8-`QNGi(@6GI!W87_l={G9>dk
zoMCLSY&gzbVAF7nIl-pkD06^K!x3f&n});81~v_cm=$aq4l)baFc`3Zc*?Lx#$h`1
zgCd4#K7|hq4Ezt?GyLIO@Sb6d48#3;#y7GK)0htwF@*Cuyl42t$MBwEjf}%o<^@Fz
z<?IGe8Q$<Mc*n3rhT$%wizR~wyFoca5&MTH43GE@oMb#8d*C+Xj=zt;%kTUD;_vZ!
z^&kE@pSLgjzwmdz&Hn?R`|s4>`Pn|V{=vV;-{iObU-6gyt$o^m#ozr_|2zJ&zp;Pu
z=keEi`Qm>MfAL%X&-ly!+CJ?6!e9Ls{~JE{U#Z{oll_&w-~Wft<d^-|_{;v%{??!7
zv-a2iB!7`#^grNp|AqR7f68a=?fyUf*?+FS;9v4*`C0!RKKC2{Kk=u1W_`lH$Dib<
z{nz;1f2uy<U-BpUN&h?ku+OY__?LXf{>UHYGxl=-AO7gCKUQz>FZrW<*ME;c?2qjE
z{wMzEKU6R9FZqLf(|?A~{rdkueCpTx|KL-<?thLy><{e!{d@Sme_wsZPxkxv-~LT}
zDj)H$@Tq*&{}aF2@7b^Uv-p(#ia(Fv$p`;S{NBH--s30xUHe6Um`~Y1`=|K5U;Tf_
z@Ak>{2mU^OE1&oK$KCe#^>$U?H>S()`F&w?|J~Xb@08EmeR!i>E?@pFF<pMg?;UsB
z-`Zt;cii27v-ZTh#pmpjzBBITxB6Xi_xPOJ9q*ja*+qRnxU2ta?TUBG=j<N5Q7)Cw
z|JJyx|8nh)o9!>{yuLf`>NoqHu({v#x5VA{7j{?PD3{1D`0cT||9ox5yT!%w^>cpT
zxYPd3?!ueLXY7o=C#K2I_`Ty!`%}A9Zx$EHPx(FLPWux(rSFbu@)LebZ00xo&9S-v
zc&){|#f9=cza#FnKe7}0-ngUxaIM6<#Rc*$za{RpKd?LS=J9E}J#QADw%hS0`LrF=
zcg7w4`)hx^U7Rl;_3hyH{=Ky+H`%Av#@uYbS8w;}TjO?q?cXal@oW9war^j`+8b{d
z=g9|sbKKsq@w;JD|IXSIZy%qud-UyLihRNEirekCZR_4YtnR;8{bncod)qH}lE2IC
z`hKFA-{$*?y~pQP-`L6i&NlCTVs-zm>I?UhzsYU-zM{DQM)iSv&F5_6-WxubTlalJ
zasRdI4fm42%GIy>-cihN@!ezZ@!8c2?mhk@m-jBQs{c~;gnNt6+TOU6eAd?Oy<!!=
z>35F3>@RFD-Ff_3Zr=A7d)S}bn!R6G$#3%AqPYJ|^^BeD&usPHCsy_weP6MM{i&_m
zd&SEB6V(%TvOlpscE|aQ?V&r5Kg#ue&)CEM*jDWQ!ixSQ)e83>f2fyh`>wHv{h=)z
zsG(u-{YMeM{`VI}{CeMS6!jmdX1MqGy<FY*7rWc1Re!jf{9Z2dUE@=^@OKN#`}b5o
zxO@1N?W#M?r)=N7Gkhvn_I<_f_9@jD?kb<MU2rG)om|oPilY7<)fPM1@7UgZ_t32W
ze&vsIi_7JzpB=R3xBYx$Zu>i%`cG$=&)XC|FHDy!d)8>(f4lO=xy5C2TRzX2+y2Jp
z)fwe7xecEe824YVJTtTXwat?=i%aF!e2$pg{>tXj8Rl~~LC-&?$*uToF}Hno<&1NS
zOXQY(&M@XT`y651f3dRToby>5r{|1T{U)D(%xQmaWA*%?W&hdAjC0IqZO)xhE|yEH
zf7WQ(f4VZ_+~PAfTF)IV`%hMSoKr56OL@j<*>Ct+z_{PwbH$wY$2L;W4_fpet<*TD
zTqxJ^*<w!nLmRH=ju!m~D>=?FpRw6@=J08oU1yq4+iW|dTp-u*`N!<``!>IxElicG
z`Fvxx`?Si0na8JAzBsF#FIVw-gHgZM=LJUnyDRH`X13q8dG$;&Rj%Z7hY`QV=NYrx
z@7T;cqnsz__l(iJe|zPQy^p`k?tA~D_V~Q=5Br?YTbJEm_`A>M{ejPYcgpWnw$Ckp
zu<!9V*=_Gv)Uv;|PP?!8yU*%<M=kps>lb?-f0Zr1_wW~=<@=0U_Se>7_ZR-^vv}X|
zx$jE(o=Wyt)_(Q(A3l>^_Fkiw{iXG-J<VsWukA_xBD?5)z~{aT<qi9k&sy8vfB3WS
zTzSF1<j=CR-aCBmGk$-frhR64!oJ6!WT(B?_}q7@JYZk)C)r8wJ8IZxmOJcAK4X1k
zkMbF7x%&@)^c^cV*q8iKw(Gq|4f`W&zWa$k`VN%~>`VS2+w`8{b6>sw`wyS`^xi-C
z)TjHNqlW!~^}l-$zxVAc&!}X-Z~g7w#HX?m_X?lNR=qz_&3?~%&7Q@ltXJ%L{7yFb
zUgGz@UF9B??02mf?O{G;{p_CN_dfOa9o6lV%Ma{*{8l#a^^e&0_f}QcH>S((d3|AX
z-`&y|>y*!1eORMhE?a&rF<o}Y>mBv6?QgBJt~>7TyIFc--QsgrN!J;7^I5&Fh&?{1
zbjLd9b5>E;5AN!_TDoGL@;R#qYm`f6^RG4T>bqRJBeMOamDhF0U43S+6E^pmzLtn>
ze_?fHjdF?Xg4Z6K`_7kEtXo_xJLmO{nD%E@7uGyJV`X$bF->;H>m4!ePpwX^SzIJL
z<#qjxnD!@DO4l9JWGB3q*vx16nqza{@luO*iwk9YUPr{VKe7_K-ngUhaH+()#Ral0
zuO(vIA6Ok&^Z2yYo;8b4TkTkreA<fXI^&MM{iQ$FF3y*Yx^{4T-`>)cNcL%^F_G=}
ztUg_9+|H-{dc`I_t=BuEk54JRv37BuY|u5w?R^@r8|pXp?JPa9_VG!pN7o*v$QHb=
zh;F}aS$F<nb>F?>H<s-0Ex*i3{w}lY`H5mao98ENkIyZ>Vafi^GVgq1b>FSx3-gk{
z$!vPQqPXuy@qu~G=PcvS8$Op=_k2Qe-?icm^OC>Hta{#2%xCf3!}j>>;sx^_f04;M
zmsr(zsd&P?#b+&V%&AX4Yw31gv5L?1IfpI#3(HG$9)FgZ_xyzo`*TaP^9w8aOrBd5
z_nj%8Vafi?Qty0XWuMXW6*lZoE!EB|R`#7Jo?yxT#PZl2=QEav<~;r=)Au~XhW)Xn
z*!hJOeMgEF<~{x()An4$hW()>+j+$bK7;2!ium-OzbN9<dw!#+??5p_{k+HTW$K>4
zux_7L{9$hLdzr{{jZbC5&n+zP+f)2t?%`9GtL8MHvV3>W@TpAM^A*<ZQ;ILlRX%08
zU{3NonWE<vMSVMpEiBpZSl&DL(5&x%;g7z><ucXB4qEfsKE5H_{?6i45A%78qT_|>
zGG)gat@~~l-soFgCbQ-74B7TK7O#5hmCIx{JYHbjcfIh8WczE2Cq0WxW!5~7kZpfu
z@u-LSoJG*_k7+V19$Uz^&n}$Nx41-R$>R)TKC{OW#(ftHJNlf@S~wkNwCXc?{6nVw
zxrNp7gO+_~3p4td&sv=8Q7)EAJJx8~ce*g5Z}Axmt>ccCeJ2Y&`jm@gQjRfN_8C4F
zs5kC2cw8aV{@6n5_(6-lqlFrM%7ro=k1b@{A6jr7ceLm`Sjf@Ge8ys5&*9S+yLy^W
zTWsr5E|6(>{6o6^zQwO&3sYrk9^a65pH`S4d3<W&i(ch?nTp37jQX@5FEHxcUFaj(
ze%IpFF~wAwlE)oJd>W5uNVngynAfA6C*yaF(Y(HId*P1S$KR#*-GA}-*u4A?b<XF_
z%l0q)-D`9Iz~|mO`FDP{&CP#M_xPLiw)-povb{A=+pqY$*Xn-9U$!^qFKQltl`h`*
z@E5P;{fxhCug$~uFZ|VOalhen@0I*LKiOWH`|W@DOnTXUjlXO!&2QB-pEbW$ll(<`
z(fxqWy%+Ku>Xhrxn%nJv__Oz1enDOGXX#n@9X|IO-#_uEZDxK#-Q!Qv)9!10?md+s
zP?!8kdeZ%lKWsDe9qN+Lm>;Q8K4UJo|KX3`WBCSk$seV=?tA=Udt}bHKk-NJp?rb5
z<PXwK_ZdF->fitHsaNm*gHOG>_c{KsJuv^b@8S2}efb$b+3uTv+gCsFsdU7?!l%+z
z_fPz0yJx<pX7MTW6*Z6FNeAys{NB4O-{U9SUGqgX%%{ws?Nj{TtA4-ZciZIr1GSIe
zO6T4FakuTgS=IK9>C$^{U)bDxH}^%J@_DllIm+eI<=Ya|rFY!kakuTQS=M&P-Mu$+
zPvk8=XO^^`aW}8k?TWj{=H%|kbFM#U7PbB0uHLJ;EAo`jnLWr+E|t#T*0`(pa_)|s
zZ7<Edwma_XHM^a#x!3fz#ND<RW><2QOQaXv_SoEeKDQ!oak2EA+c)mCJu|zI^Z1OJ
z(e}hN=^3|o+-ZAib}DCak@S??Gw!rKF;m*^m?k~pw!~&$!`mF2dynT@<Sj0g?ztUt
zr@rlxnb7ve9leKhCGr**NVnXUxYPE)>_E=r(`I{e7N0iTk&}GdjA=XLj^6#bKXMo6
zOGj-xxV?98ZpuxzX}K{s+wPft+Sa(8SNrygO}tvScicWUCHF?|;yme~ZI0V}HEuU-
z>fM=pBKPr0vq#$=rbrjuuDIQH+q7=|!|L99*>85T)xS6W5|jL0YS;A>#k@AxPwYK5
zH~Yp;ws)p^>l3ScZ)IPIOa3Oc>H3P|-W%Bm;+oHy#;rGeF17CZgyP<7*&E`Lze=sT
z-cihJaouC@vDw)R;vRpI%3GIM)q5#>LfqoBrZ-}e&ziceSFGYSz0R?h?S<*3n8%-`
z=3RfWhwZtk+4_Z*^}Ht6EsA^3WY5^i_RLgoePU&=(e)L3*q)lItyiq<J&`?OC)*R#
zV=>NWOb^98{wURVJ!22sV^gv93oCk$WGloy{vg$MU1Ja1LsPc(iWR&D*MAi8>R*3R
z#H)AxMp5s9Y=*eU@1^Rlzu4V2E&D@k@_VVsb&XG@!q+V<@7<IAAog(mDbrOk&8JM?
ztuuToRd#*F?zSn}7h;u9nJ$P)ekWCQy`rdhN4CXIwmYWx);%=qy`TBRZ*jR)^|FK3
zytbEb%x!yT^2vw!yh+jW!gQ&!WsTOow=-|}EiRMVa(Twwwl^lPe3Z+iHe6m{+<QIq
z%*?jeCQp18mrAX<95J`;mB}L?=5zHXLCZg;Nv*hSF}H1Y<_y2ZB~nW+XBhLEU5+sB
zy_nhI=X}=0X*r`+ugT>fbK0JpSS>$j*?TrK!;kr_$vGe8VyU!cjh4NqGb8*KpE1!|
z?r7P2GSkCPxkxHy8KY&d;bj5iUW3aObJ`x8NG(5T(R(yg!%w+Ts^hZ7oVJH1T+1En
zEqV`Ta`-WyG1=#H__WC`pXSph+kBJ@q#7>&nB8{Y<kzx=sZuqUZ_IX^mYFc~*woAy
zzRLMh6_+;{^=e&SVAQ)i(`RPeU6WVK6jP;2E_WF5YFwT%yX}t2JRjveDZgcm=Dpi9
zcg+1(J?nku-sNvCd%v65E`K|3S#{LB%OB=UtG-n~_wt)LZPmBlW^P-4b)H-Gtv8vQ
zmS45h{bq9G@{2j&s&37>9OFN^IO=ugn&oTfEvt%}bNRuXX;rsoUk>oMwmo|`bJ_B#
z^W3VUW?#NBXWO$a7caZ`2Ny@Z$Xu{|spZ?xCg(3(`9HSF{cLjf@`X8N&$gVod}dBt
z<*lcg)0R)QT>Hu7RQ+W&|H(GGpG=IFJI*tEW@5O!)$;8}ljE1A{F7~RKbjo9EadNO
z^Y(+u;mch9$~L(lOb%W?Fz4G-6aD3-pm2}&<F?`bux0<{KYp*ReNSiBEPp$9+EWvq
z<*}B&@3-u^{K0Q?QPkASE9R7y-<opyjo)f(-&2_-%UvybPh}P_KRLJl+mkIjF3*|6
zR(|VtX5Qkzeb20;-e*+1J(l%7pRs%K%f4ypCN_(o_LZfZSTBCqS7tryZN}Ec7yHbt
zXT8ZNb?cVpEi<uNytmIR-Q@bkCq37!q+VyNUc9nTEZxLn@zTC;X(m@L`neYy&w81$
zbn(Q#YiTBCi`Po#mY7_;c%?_oN~-=vMyA_unX|=P&Rw){zb)fiY+|x_uB2|UiSgpZ
zzB0>MPcu^8R?GAjZ8>>S#l2gm_e{ow#l4cgXEGAqs%6d=ZaH>Q!aZ8Xw{XjmivsS}
zGG`069J<KiE-m9*U}CViR`TrWj99nFMz^M2WO8Sgd7E#t|KcyV%SKZ7GpZM-O7fnr
z&j@$BEUlYwvghKOp0HFCt;KhH%~DNvUwq{@*+}YcMxa}>(XBffMT>1Eb@NQr7a#2X
zW;W}#aozlfzkBYbzo}$<Z~Vn3`Mcz<^Cv#@*qlF6du(p{jY_t6#(DD-fA`!<zhIaA
zO>)!u6`y-<q#v+rK4%;^-|)HQy7Lo0_gqWgV3%C~RdUt&j?X+6=RInV%}!rn_xOus
z-n_(LJ(toa*eyP5e8VRBtg+jC#a}$8=Q(QGUKn4pdHh*&-uV|bY|o9&<}duoV{+c&
zbI+Od8I^3$jP>Rx{_HV2zoLfisj=F8#h*PV(kE21JuyCJ<9x>Wkj>+dl6~hhYS<nd
zi_Ks7qvuGvf?fUN50Y)?HEP%%8new;{J~>z{s(CB*^5s+dgpI^>N$|kVE6dFWZn4}
z)os(#KiDR}myDd(_*61{-oo!ad(t1+9zJEf%BK00@w<73PbJIFuc&UDl77Kf`IPYj
zo8)(rMdvF%_3TKusARiid~e>vZ9Vr>f9NePm#m(4a5s<b=^L?a_3w;6=`f!+Dw<xH
zE?G9Mad*${)Ejz>%Otm)o)O#j#^{xfa+&0Y(+f8DTu(g{+4kD#iO%9u$u*}VV%uIB
zJ<?%5XB0I3W18fO(-yI9vr}j2EiRE<aynx(kJ;&n%{>=WJM^5-8aYj8+|^@p`bSLL
zb0e$i2Y2?IP0i3_K5KMNN4dCOGHqJp&Ysh$5qgWy7->y++}U$7)k9CYNHS#_<IWz#
z(*m1&3{F?Xv^_SGntpIc&(Ty3J>^2lj?)$~Z4ZsOraSKFIhe|!$9%?UpU&aaM!R&H
zPaAF1Q7({dIQ=8K?Y_~kX$w;&Yfj&YcAJ)(5P58B>I+@ve94N_8#eW5onEl1r+#;;
zPh{I&qgT@uQzc7IcWmO(I6Wh}?T*nr9pyYpziEuyd$y<UkbV4JV&Cx>w#Vire~@!N
zZ&=p9u)3$ccg5nMZyI_o6&)T<B8puCDQ#&vlS-V0JPZUR*xK4d)t(oz3p+SQFER8K
zII1DgJjL*ogmaIg5)^b!V*V^qAL3g*+frQjeBJxGrJ*;zFbRD>aN&koy12gB{0+Jn
z6CU5VH9^DgQbK};+O=zzPwsBKwa$4N=g}?y6nVTJE{}~V)b4e-Wwf(@Tm62$Z;wj#
z+FFtuRUJQUZe3`zck7WYw^Mq0rfgogci%77pC7w+%vswyng3Dbowu9bUAm)O$(6UY
zKH6g2>6_D}PE}9pSUV|Dd5-Ub?x$(Ll`TDVW|_@?z;bS(<hE;SY0ml;YmGP(PhZ>l
z*?n5B;8XdhVcY&QTc_{NDXQ1pw=r5UXm7Z#+^#DNF7@{1x_#Iix6r`8(WkwOcW%eK
z&EhtC<$Aj#&;N-#vTlQ3=UGdB>CEjsYHCLH?5pcrO+&XlI2`tG`<X=H|8GPk#I~JE
zN-wK(3H`;jh&xUBhJ@A?D;M@0PWC@<K61`hPCmKso8V0s(-&qfvOWU!8Xff$uV0_$
zy^5uVx0g>|d;L@;y~k@i?|dr!>)d_KYlUm1MDLQ0RhH>nyDjEkD@mF5U_+*_YRlC_
z3m0t7X?>bhQr~-h^$uQ($A{NyFI}D5$<{AeaPj(sr3~!6tGDm@{<Y(}(x+qt<Brf;
zxtQOd^k@8HOng#RcKr78Pp#%ZvK%>LJrgD-D#@;17rC=ak;nUhN%oQ5G5rU9&u{p?
zwt;iI?%a$C2c5Q@61scVq4~?^UkUGi8~GS}%(^OiFw9cJyRj>$K4WQ0M&ROgoX>vm
z+hMxl#;-VCGuyuIyN0`uzH9vw!tfyUPMy!EEfwb;3o_bVs6VkW^WLe_$zNS;?{5|G
z{W(=A^=@DAsiLQge$G1SQh&*-boCt>23}E)hb13;1%4!-Ra>jd5nuA~*!OU^6yE^v
zr>gurqkXqd4HRB5F;#DsfO&F#3hSmtTMcqdcni3nxdpUl@0z4}S#QRnNj849Ps2Es
zWA+7Zki5h7nB}doc9uz0S5Rlm63zQNqbF6|5(x8Vja?V-lir^k)Al0h$dn}uD|!w@
zInG<URc+Rmhf+<yA4F@OTXpM{p-BCc&Pz&Db5Hyf3fjb>?O}F{>vvo30+nheuX-<;
z)Q%>F>yFMfJJvpkU%S9C^kapu#VdtXzaPjN^*x<+Er2un51-D5#NBJHb}R_@hzQVH
zcU^Lg*M*{*+ox{sbzgaE(~szKtCuUMhI?;|S~%qzyS9*JNL;JgZ`Olh>llwPTi)FA
z;n-cPwB=0ltHNBbBzhjsDQa==Ir6_Q`L)8G`o^2372?ZIY?A*{bmc6=gT_0De>M5{
zDYMyEY`J-2;;jkJma}Y+CC{|l)UaNAeoFp}mCF7)$A4BVX?w8g$pW^gQeEvWkNGU4
zUTdh7wXjQBE^FOx)nR(;%@4Nyeb@dTl8#xSY`H>2-A--AqAjHlSed>~OuNBQK4quk
zaf8<a#}2#Kw^my2$X)Av|H0J5lX#b9sbyMUJ2zu(QTnlKZK*!ft}kTYlirjlWD~IW
zaoEvE5mHWG5#EWx3Z_M&sv;FhG6i?Uw`|fhnK3Ex`6s5f)eM=FZq2{1!@%9Z@FM*4
ztEEpIk6w7}bnyFx*lD>--mi?%)A8My(^|StQDd6SP51NrzH8TikKANcaFsvt<NLX5
zAI|u|y>BU}_JYmQ_oDu={I63oZkJRwws#7hlFS(@E9zgg@@Up-M(tkh*xAKJd)$8O
zuKe{!XVncq^EcC$wm9WFubNk-f9?_UT`uV_w-4OBzWz$!k|e?1`^3&n-T!IxrId*~
zUnCpk)hq7~^FDJxshjKiP4{}<<fmI0BA=!**IkKAz3G&!BFMBycq#wIxw;E~INWMh
z|0Q_aLFCOX=j}anYIOd}y7xDFu5+k;FL`kH*Vt0cf6t19Clzxpeb02Ht>Ku9$HJ@g
zIZr6{uw*Ub?rMB+Md7)K<|d;WmmL28TMm8AO_pDNc&f#|M+?6AbhNGbqoYx>tUjad
z#L<WK%@^*pzfqgkckzheHDxZTJx4kktj)St>Q8#J+c~u%NLbZmj@ibZx{VeeG}}tr
zZGt}t-e8f-+^c+Q!KMc*TfDdIX72cDdM(*%+GXoaZy7lL-svp)mv&^K!xastrWsbh
zGLmgKpPj$2e46K-zinL%su%1%pI9^2R4#0-7v=Y54EiY;-)mPT^87W!vl>};t9W<+
zR9oF&4pzVUS$Drq|NqIS`Ak>GeYH2Qd0xLg_NKmVb9u;r%b2?rn-pw#Mb^Ff9;3$n
z{>?GtJGY(grSlc%P23lGNby_WD}Da_@<$icSsyQ~*IH+(xBiCB6%D~#yVd%1lohNO
zK3viN>wo>Dha2_WF3!y{e!HeL*WqBpt(Y6v$`n-sgw^c~a<5fh2=;w-C~~oYf-Qqz
zNWjA6bH5~8T7$F91G8V}22^srQ9Czl2lw(dxmnla&MnBBJt=(l%C&D#Ro;4k<%XZn
ziz`!a%(}E&{o~{lTK?vyfr=L<-V*KC%Kp+{V7Q6zh}zD!9rcA4>6>PIvpUVmI@4OS
z$fwh@Mla{o+qfUeQJqta{!eq1*~7}SVeLYLEk_pcEmU<k=k4@f_jsxqSK-S|D?a&)
z%XIbhsGee}P;i}Kqsnt&`PYJt0TzZ_7DWntdtUaHFV-x7owqI8{7vcWv^|xtI|{e=
z^_IQ9!W6u_^s;C|G<$0O*|ifH8#b1TX05D!UL3F`xPisSTv5ua<JKZw*Qt*>YmTrr
zG6>5XSI^8+dgU_PD<J6uyX&kKnwA;LpW0Q#&ZzuL;Sl(^{PjX6soD@V#gJ6NB}U)3
zZkc*ue!;9K5x%0Xr=<NSxv_uO%US!(_@NGy<^L{O^BlSSWFF<v1fh-W_1%o#7kH$2
zkEmV>WSTD}rxa$*^f7TN`_~6Icy!p;B+hv4{i(Ry=xNMe4+Va{4eUYeHHTBC&2n5g
zbCHjOYp-Ll&=G^g@W=O-JDs}5v4+99LHCnDoW&7;nMF6s-f9-iGc3EC-ZELtd16MG
z-<My9xgWUj8U=2->J<3pZucUF&PDZWnuT4XGwy1vI;Bw+zF))3<B*0W>nZO`+q5Oa
ziZwjq`nJtYdts&=yRxDx?6yX3smq^79FL|i3EjT&#(B>P`(@Tzc`d%pQxf~}Ri~fS
zqw5c1p9UCuYR5K~O(<p;Dc*8b_1@N58?!wPzfV-s-EF=7wg<nWPUh9rTdUZtqh4#x
zuD|*w<M_H)7Mr@GxFQZQEnQc|d*qG5^5;8VaV)j-ULeO77%R%U)GjG-eZk7+L-))z
zOtz|Cem|xE@@dyi$3@mvJrC-aw%&PiU-DgxH?GO*uCKedOkTN8J6z6hy7BgP?^#~1
zTguL&_iAm>|GLZEUDwTD-kjF=HT_r8%L7uYuXMh4uD9?Pt$pg|KD%W8#~b%Pde=T;
z-2Gv~Jzpz%ms;!Q$5U4?ZI`fK^Xt#cS4|2M|DW2hzgi);%DZ;cwsc$DE9<sPs$Sa@
zu-9$%ihGx&GgmH_G&xzbvt{>@f^@Eje|Na7HEMhH<<{ze*mFVWIhQ#ZI$Jhwy{I~I
z>S^CSrjDi8JXV@tuU|E}<dW&4Dw7{xDORhp#W<!}@oU+yHRVW^Z`j3RS$?s>fiK`y
z;|6`!ra6<R#QUx~XWFC?!*#`o)%;}ybM2Q$zE2(+X)2h16aFiB@$cj2^X*5z^Hg8)
zWBTF$$fx1oT5bM{8*F{%&F@*BhrC_mm9&2M`g@Mc-}`kW>E}<K%+*(aS=oNM_C>#b
zE4Q3EKTq9!y|=3Ne#Q?GW|zh@-QE?Ko)`YOBJ8uO^vxWG%Y4Gip4DF76MK5Px@}V3
zY?*z>GB;0pKZE)I;^$@0PMi{G*_HS5?3Os!xy38PU2QEG>Z6wX&sUpk_U@XyV`-k#
zDy~3t>8me;^xqerG*WmK^CR!$i;DW<BRM>){&!Ayzw$CZ)#)qOy4h2{Up9>l?5|bK
zDKKR$th#-EyOz?lmsjm=8>J>~mRipKxp>#4;@5@J#<kD3dg@!mJ{PXbIsfzWa@%mx
zehWFiea{!Y;JkErf2PL=uYw|xSU%q%wM)xyX@*`jZkT)Gr_fgGr<1lV-TYgjGI?FB
zXMK`9r$_5=`@^=2KFJi%O8CtAY{!b|{G4{%-xb#$|9ttc_-oPG!(XnYPu;aCO=?wq
z+STN`Zejac%NyO#zC7`f-#$O@(HE%|d|$4)H?IrQKj6Pyqf~I!@zCXy?Ut^vo;Wv1
z|5&BPxi5w~x}_C=O4hFUGv#Ht;nI1Eb4#vTt}-?Zte1^b-RX3fcfzzP#gK`i?<<*C
zDGQY@d^>q}X=0-H-qm~aCS|Rc(JQ@oxWqQgd*8bAFW+w0o4Mln6suI1+NAS-?ORU2
zbT91x@OAg47vE;HUp>bja(^1@>U;fPJH6kT+eqq`{*$cUYxsO}T;QvtrM5qA&i*p{
zv(8uDS4^AhSIG%P)H9kgHkPk!Rtdbn_DZ8|$g7M4OPp46E53U9ePPCtV4?RH{)e?@
z7e6pbSovM;Rn632JdYR{JA|)WE&bR3{HdpP*H=xp2{-o$o_PJmzV^UN&%57V8l8J7
zd|^4~z0e6^8DH+qxBFumyJc>>*ItcJl{=?0Tl7@S&YR%SwRGOjxhIVL>u;P>oFp*o
ziC~88*4)bz46X(&i)h#oX_;8zD&BGAs#fKXjk#CCmAlN%qMo>Ie_p)aSK#@{M7u@F
zb1yotWfkqe$TQuxBkTGkp`xt6x*oTVv^qH)SlXMryJ>Mz$m3~kHuoRzl#tm}_JHT-
z`{JIJ))9MMYxs7{bkEtU+d1X*$6J5ux$0SO`ptcG^!=-xeZrq+c&}fuJdt_I=FX^}
ziyTgLO)%uvm_3y#__&6atC?MW!JTJc($nOQC0C!$dA`PJ=^<amZ|WW0IZuyRD}9T$
zhzaZ2(AEF>RQA<X0xz>}G;C9PojtR0rR;b6=QgGm!a5T+zTb5-{p;^*Ta2}Sv1lmE
zO);xq5;ISS(I=_>BX4@O<x{&kzS~-|qC-x_7@KDI<i~AX;L<5jDR+3z(Zi=7a2#NC
z(%$>@jiskn^%Y_Lx6ie9ACmFkG=IV-+y4zAH_xB9-*z?VRNzkc{=_9SPF8GHk$JfG
zRBh(92{rPk<DQ#M;kn(GSXh3r-8}i-_X{1f6EB!tv#PIq>=DlJ%-BbAUg5@<N8VpL
z5~L$z`|Wnr48PUaye=J`T>9y9h~@4I)44WMQb!a*Qr@0QQf^e_O*zwgD`a2cp|?6$
z607TH3+b9>Ok-AWvO1qW-6ects8!*w&aA@=5~j~MCYgUhKH;F`fr95v7bEtq|0<`~
z;_%Giz5XWF41G7I`V-#aOE?yFzM0Fzy=vP5!x*_!pKed>pZdgDuz5p7Z`ffi)__|{
z8QSF$b6<ok*4^xNG_Bs?{?)G{+4Ig7c-{$15Ii&O+|d$e{eMemPTe5+;>kZl3q#F?
zb2r{kwDS6WY|2@lHNAB|#g~0r@H$Y#fAu`M*U1jQ_SuW;+-$u%Dg0kvea{sK#pwDs
zd?G#%vQoZAyj*i+z3`G%Rgv<O&-P!d5tZdK=c@PgcM`MHah(-$%%wU$;#ka+qx(W4
zmTzgaIeBVrua#8w<&wE;GHtBfefa|;T>XxGSaRqNXQk-om&!7MpSEU6+Gv`Ur245Y
zQ4{G@pB?Gn*Q>scrK-csVE6U>#(J-<cLZWi`#sXoe0b`*ovHlV@@Z4P<Ug9U&tS>w
zkYt|p=c^{C2yr{xXqew=*qSEvQbOG4zU$VhCvTnIxFRnz%;c|$N2Rgg{B8HeL#(#C
zmdLNUUMs%z@d35Ims{3o2z&k%%)KI(oiuBuhS9X6m)DgAUJhEQu`p|<ZKleRW&WZc
z>mN3^*qdz*n-f~Gv_Ns0#ItV3kl$?+P8cLPUD%SRGDXl%Y6iF33T?APS&Wvtx?NIh
z*Q~F&op}C5LDCb2;?OR;Qpb6Jg|~FrT`thM)FL!}%B&Z^>pf<&Ps}md@xtoN;yE(c
z`xh1a{ZpUGn7pn%;?c$j)+bYhRxSE|Y1(I@=u7tXvrL3|)ED3Ou-M`jd4OLrIM7tI
zU{P|T)56?D1HGtBML`vlC#&aWs+#LPjaFJ7mZ5y}d!^BZhi{(tboJht(Q}a5P-1s(
z?MsE3$G0%J@hf*7y2~mQ(9@H5is8vk7e4oLE+<*;nJ%W%b^Mp#sV+QXc4ykm-{uiT
z36BpR&N03uRL`BUq{m;xaj}7PS#+FIpyZKxZD%$lbhg>e-`33_{UgQO>%@lk{|Q_E
zn6UP~PYH`k_4wrJ^QuK4ZJ*JmMeY`LQc0?lY}=G~UKjk3IM+yQp+R22MCXI2Sy((O
zG;c6zO%6Sjdr9!<f=h307?0|wI=Zj9yyVKXY^9Fq$U|0r?;Prv2Jk2+v81}JjS{@@
zs7rIg5fN66UV(iJcq0^&7pg`vmhO8wQP<lnp=X6%%LL!o;eS-(v)oNtOFtB!ZR<1H
z{w8=^hMuZYYq+fJ(%2PgyJqnis2XM(xWr$(bM$4<D}RY%qxJe9)Q=T-7fxlUSaW4z
z#H5O5PU+o7Khjn(a31gQ@<<c8$rCU5&Xyyv$3LiS#?0n>BD0>`1Z#vER^8}aP*QqT
z>FA=s17}oL8K{>`Tw@o-65!3uBEkRyj4d^hVjq-}CowTFOk$d@c!g21{!@=fy2e)h
zzX_hqt|AP}QW{(tPG(t!g@%@X_u64S!TbOJ|1b68Ls>sQZ26<*H*0%G%(<$Sj?I^y
z-^Jx=ny)prUe<VAcjgSU$Ll*L<`x%ANf$aN3T@Y|U?^nBVECeZFMr$SEegE0`V(8{
z+~E7WuY1n+X?>qwJ;^@m;J(wEX;S?Z!AZ<U6WV6?^VN6GnQ<gQhs(o*=jfBg3TG{E
z?X258_1W8bGOr3lFH3Iut?=yDI<KuOLoTzdl-&Gz$*DO@Bh^+YzhPayopq~`8j}yR
zAmhUJ#KSF;|2Slp@lJ7L@kt7qxPGcoq{o>{>ngQ`=6?Ax=Z(VLCod*TFP|*+$TQ;A
zlZg7v>n#gJt_1#bulAK-3x8JjLcGdT)8gZ-?&fKqj?Srk5&8bY`mY!Ft!WWzl6@Pg
zojX14_~tG8&wQ3#{VHC(ZQ&et4$0?6?|N8t(gJFa2YkJ<!QY@;^r{Sd>#j$OgP1Fh
ztkBP6n*B(jIKpvl)rmj9UQU^RMZ&$5eFuwi_|9kN4C~w1Eu3)h5bLwE*6(EA9hmz}
z`rVG~9j|BIn|-liA=Bj#`qkMwYNy{^%6WUN!Gy)uO?GLF@7JTjTMgq>qYj3zn;iDM
zW{+^z^vO~GH|TX`OuHU<zkFq;eP?dr#7DiarY-le-F@QE$_4BG7QgD69}@dutv~;c
z=du4+`i0vTiZ?pXI8+~J`(*2D{&{(G_ov+z-g?w~*|{BFZ+-=@2y|&onbX4}tft`+
z6!Ah~vF0gefrLroB2T0~bh_#2-Z83)Jr$aC)$#npESD>a=Y{7tn1yKl6`u7+EZ=Hb
zmch2x;A&CPKP!U|Px!l0qJQIzqY*u_$~!CiKZ(6+aL-i!mFQ9U`;I}EiGH<8|CH_J
z`tK!swm!IAkgy@JFX+nBu-?ZX*<lHng8`IqO`Ll9|F|+TSmv=!54g&x08Y6*d&4Kl
zoZPys(sSDyu|JJ&n`fu2u1+scJ6o2c8|&ioOnFtn-8H!kt$HFi&Z^&dmvfu%?2gUJ
z<?DLi?s3dsyY*TWlrX(!yIITF_S26}BW3BQ|G&2%FYGVqe>`!f;90xOD;eKr9(x)8
z?_dA^|I`1!-<`Jq-}n9h>zBvxU;V@W-{ty$U+wF{KmPxB{r|`H_5b((|Gd9eJ=m%K
z`WN~CKlGyi<lq1Mdj0>m*X#ej-~TWE|LyDh|1PipwY>k-z4d>Num693{r{);KWLt^
z%M1JZ_j_pW>Zom*u{U|->%zYMUiHcBzWM5`yIb~Drrws1GCN#txVAL4xaLpcP4@aw
z-QFA9HmTNqu8dr~YTDMRrn+6`)%#txO4of#ytzB{W^8T3zg6e{eGQDfS$#D(%QyGO
z+uy1Cv#)NEy!Ug<nlpd0udI1|ng7(i%&W^Pi}&1K@kTg0_4cd%Vb`vNn65tZ-pAB3
zc;?Mz>k`-g`xhVZE$?Q2P{nzcsQXTTj$N6@dv-y+R?UasoBF$Mwcg(s{w+HB*M&ES
zI;Hm;&z|Y=A+NUJ+5KCyv@VyY%5VAid;j04-}e7rum91T8&SG5=tu9$?QwZOU+-V&
zS;(<JJE-lETz<CpzZHHtvvX(N-1+uu`6kCY8SYPa?WfhsSv%zZzqDmpB-j4Gi{5O$
zk$5WXUuWm$e*vlWt(VyLeSf}5--|CHcI*C));;paa%}gTfBh2?d2`jKWp*jI*I)hd
zVDcvZ&Fp5ce_fH8dgtI-vD0TZo|BC@@FU7}_5E!db+@k6uT9HZ<tn^5wLiD)vfDE4
zS^xk3U-RRu{Qnc*e&nC^OqA}qKf`|2=Jfubi@&}9|3mbDNZ7Y?=j;Dpua7redpCcd
zMs`fx<t?tamz|w;b%xl@`dP~hre9%w_y5`HP3OJ7io{)Tc%aIw^6sL;LsMRpdlMWz
zZ4^pd+n22S*l~r~M(wMBzlZIi;2{6Uk}K0c%(--X$%koISgrm|d(mOGY_s)chXumC
zDz`2=EM(?2x%Gb<m)9cmmD*pFN_*!msh3;8zOwy=-z&jg6RV_RJ#Cxy`r`f-_x?RT
z=jQr3=hj_k<+DhSjq`uV!pq`!$>EU*uZZ7ehsQjUQ;s+Wg<H71l6vlGdmu50zp&+s
zvW)6ilfH>nY{xb4&bgWH8T&xys^GUX`}~+2rA;R6@MLa{Ho36FmrZr!{41h*6LuYQ
z4dSma=)B?_qxO{}+{3na^^$o9x87gyX7QT1f7`=Wtvzz3zq{oE2e<vd=bP4Va&o<V
zP4wBTu-Msa7aKg)@h;%#`Sm0I)V^mjnlk>IIAnY_dC2%~(wO71DPxXr#sru9{uS*`
z^I6*6-m|zj#BufsBnvC`8Ymu|cx(xaJKJR2zC$<eOsIb#aO2g>Z;L)!S)WugP(P_;
zXnt~$f&R%w8x<Bh3hfq>;rc8rBh@V|!?;Y<!pX<Kz-7k72Oi03P0G!YhVHqg+Rs%y
z69b!^6H3<H_LkA?@!rJI<G;zn$7hp<kLRY0H{KZ&j!a@{KXi$u{n#fK_XbhUK7qr+
zGJ<Yu7MCO@J~&aI&~rR+2J1I<3!kJXFTX8{d{8sV^?}nQ*QDY}u8E<OT$6pBnpOBz
zEkyX#Elm1UESO}x3tDc>e$Y{1ba3Lamn`mV&+Yzgd*ff(9;soq+=EO1{?i=?Hka?$
z{=Iqr@(ZtRuPJ<(v)=I$Ykok*QE8L7&AkTQ)4p5et^1>M{l)1|4E28_<QCbVR^~~n
zlwyvYcaUL{xZ6+ZP4!&Kdt@tly4@<u?<IuI+vB*%Hb0=kTkgrCiFX@wmmCS+HjzvA
z=37&%%{C6=)7oF0D%#wXS}A24InS~G`>V@;x}MoDyR~eC`uy+dtc`cqE!c2b)TB&=
z`_Pq*cSTvY?QA+E7Jeb(XW`;a_5H<8Ya;_^dzl>-Y3wz0O|12~81Y=gY{phY*Mw4^
zKnvku6Thd-fA(d1Cr-0hw$l`1QvUMe$?Hw}#ZFhhUsZ~=sS29$ZQ*<_o1YJ6Z?gZD
z!4+(mvf)4opLfs04TlQUjEeWwKUmJCFR|+5q=k%eh9*a{+uU9pIFci#$79+pwyEAa
zYqi$@ps$DHgCq_nHck}LJD+bm)oQ}m8#=)&!mje}6M1GBl3*8EDr+if)Xu6_5Rqix
zF3M*x(Nia3#UYKhtTU+@4T?OxhEo;_wi%lAUgR;=e9Im`wf5nKXS%DRuZL~R2>osT
z<=2Drsr4GI_ivwftE&63eAD^vnKRFwnVJ5tzFV2a$a+i0clNL5iLU}SuUd9?Rgi_-
zD<*aO75iU5d7)YIcLEF3-3*1o)^%PFwRla|T~s(wY82Hucgea9%~#HzYQMs~M(wLW
zzK5;Ta(+$Q-g8UlIZhASdq=KSdg<5cw-*<z-=e%`-k~*@&TVr@(BoBkcF`fxj@N|k
zqJjheCQ+gK?<d1FY!pg++n2~a5M0?l!{eR#p^_l~WVV&*2A>bxPctq28uskN7O#c#
z+d00ddsLRqW|UJh*pTx7s_*R3*}C_Zmu&9;{#bV2)!+y(=0;VM2@yWbt*#~)B0QQI
zxKCuL{+hVUDL&}>3+GpiRg<ea5|_v&vaVddan2Rvh_F@DZ`Ut2n16*;ZE9J|)!>T1
za-1ypE;u~W<rTSg@%M!apM1du+LCJ}i{A(CI%FGU9<05R{e{al`9;br-7DO#O+F?Z
zbpFB1>W_gzx%TpAkC)&6Z6f%>Z^EZHX0|pi3!eY3*T@d>DH6ZJ{jqLsPUgCqQ*}2!
zSS*yVZU&pquNR+<M4I0mt^c01NAlUB=)22ObGfG5xIFk$y>WGst>?pQzvWa4jPD(>
zQYk#VS-o|(+@iwM&P;c{O%TYp6?D1rSTXa<?*=u=JALvJm;aiY?)X@z!@FwbpM{Gy
zWq#ZLB2vtH=B6Ucs;NhVJXfc0UXZiy@@}0npW+=dwO-q@W3#<{i~ldkKUo%8zgOn(
zinm(zC-TC7GXDy`9r`bzk1=&)@r&oDmOXo-8q(<4qGdQEK(y`1@2AgCeVVTwEaCNv
z>99v^lEq5x9);50Z%gDJRQ_?Ae${!8!dDLc$J2c#FTU2h=*P@ZcNV>4?OwK?52x~T
z?)h>>z3`y3$hqo{W0w4!1=pON=6syM5pGkj(rYd^>EP=h8b-xaC0+IJ>DxJm&WO#r
zqU-*)oOO<9Di8Obe;fX_@wNuP2s&2SxK3yKi(^Ht?{uz9ocP4BdG15W$3po#u4(Ui
zy1~tQ-6w|gJJvdvJlNKEVdCM;l1Bw>b0zPnwOY!UX|pa1f4lZls*ZTXxuQ-{o$!d0
zMZKXhKkCzL4<~M3^>D*6CO-Cv6AuNtEo2hK+Al{OddM>^Xve#4jgqG)Tj&^cy}D}S
zmuPgFb=iiPB(2k;%QnU&Tb*V;^I(>`;jF`4$rfu2ryXWIR%mggg3o=%frln)MHbBy
zdCZb4)!JF#1sYe#E#J`jl&LyGZ{zf*T)!jMZJa+#_4Upp^=W+CIS)4+3*%$IaqOYM
zZA+O%_V&v+PCn!*tBsg*H!7xNa{m9{N6mkJGT43YhIsn7#Z#7@)mj~8cC?Ydc8v`C
zW9v1^S97ywC*|9CYc%DuzWZ}-cdljG@9nzgx9|OpwORIS_N23gD^%`PU1QVc44bz%
z`KAo_-Zi{pmfx50WQWDohh`fu{C7^)nETCKbK`tHy_-thEYmDbW-u<<aLt+JT4l$P
zD*nJ54-}=OZB-8BS!HxCH3^7tWA1%w!m*)=O;PNE!XYiGB^zh4Nv=_BoOylSMTZAg
zH`UAZsvjsa@!PsQn%J&k^G%>vT~6qMrtFr;nU@dfoXJeM_SD4Sbdglcj(X>2j`GY2
z$3mr23igXkNk4u{Qi?-H^X+6EkB4j8Ip%y8==?0VsNkTpiC&dQgLlaVA@|G$1wCw1
z$1?>Uu<$y~S>o6dY~u0Qgx4tI%^lwr>}hLa)V3QWKjpfqUbg1TpAI#qW?Pq}j&=>6
zuL8Y^azY6^itBa#ReoJ?SSZeGQdNIh!69C%<%N4Q$KT8e#~7qi3_dJ=RLv7P;cv=;
zo@s)1Dh8LD&s==-!kJ0`v{ztdUi|u+6KfvXo~X+`*3NQo+ML{P>vrA@?w`b+DaKJ!
zp7&AIf$Ob}%Y&80&WjyXwpneL=RT5BE4OIlO=qT@qP)+~I$g|nnR3NuF1Xmr#%Oi9
zUcp6OYDTCX@1++G%{;dzACNiOo5Fmy@Q%Z`&biFfsyhxC@f*HuZP(EGDA0RUPAEZ8
zc8lk<j0GF|*rc*E1rm69eZ-uZCm+3_&^y)SM1rfP#`(UfttJ8yvA5JCCmc%T7qoby
zD0JJ#<zYknjH%PxS#G$q>lSvgaj9o6FueEEqF$T-%-2cxl#UcixmdV0oAg~$XjWD+
zNKVz~J(D&4jj(mtv5JlZ9Q+d{-YIe&wsA?`(9SZ$gI#yyY&Ndl84ET}W@9Y7a9~Yq
zrocl5-b*tant6^{$ycR1ehaoWj#~C}!hsTgBlqGqe$F#00hM|2n`=B0`q*dIp8cxW
zSo!{_*wXr`qM~bOT?@JTPq+1FoSMPa(<;j<W*$n>zdYkfDq~w!WXHrfvBWFYN97G7
zrtJ+kw5mIFt+wu#%-csR<7G3Ozb##Ir*6wF8Cjbpym1!u%Oxl6l{R{}_r}@wRnz`g
zr@H3;owfh6yGmYcyY=z!)Bc|+tJxF!^L$SF@$(Ib(zh+1<6l3!Yl7$Z8jmCw8|G8>
zbF9xhi>Os~9An|<G&pj3iNIr<+b$BX6*GH2PT+B~mUl_*eEa(0d-3|`XHT{E7tdaN
z+P&@;TkPYV<?k-vd-lSu+3dx?z&G0#f3_?r=1HoQ?$NR|E|M29Kkmby*&Hc<^?%9Y
zc_Q^~ckcXYzNxxfciWbO^>ZejDxPm_ew>Ftv$-;4?$7=Ovy<&k-%d~rEq=<MHow?*
z3GcGwzxUR;eC@hFcTH|=cK5cAmmf(>h9$D!4w2&U>0}RJ50ZGR$Yg8d@+hUoak8?|
z<d=W7eHJwybCF`1;m|DbI8z`|hWC<$cQeniBiGy6YVPlO$sej)c<85uU3>kisAUC{
zudwz_-gU$<DBQy9m6SWj-e14p7tVO`>6O{D3H-g!TP+m~TG)h|GZz?iut_n`)t~UB
zVdm1PG-d0Mu&P;COjhU3J><wQXdw`<bA7VNwqFwth{;d7@#f~#BX#_NH=Zj>Roki@
zkmKjvc*|Mo&8G<_+p0a-ZlCh1{_}j+u6nuqE;-+dd*6QSl}oy|&gFipC)f8Hj|c1c
zJ@0&cb83x+?GYVI+aqf%ZI9?!*{U4L<L~@%#Q9Ri14YR@`rWZP_3Lu7Cf&Y0MW>*9
z(%DUYlSK0bHhDI#58iaZ)N9%fuajKU9}7Ew`)#lG$=gI=hX-@##*fxYmJ14I-E(@(
z!^<?MeyL+eu}Me4JeFnC^)5I(;Nn%0yX5dtkk>@+vciF2sg?@2W{&fZ(<A;>hZjHY
zi~~`REOQ@Lhfl8cSg@Dh)21tZ#n1IAXTPy5G&t>Sl2+x>ASox5w5#1^#xuoCpRW^m
zmK`yEcqe?5+`jPtzt^o2%dOkEU$1)d|D)l4f;WEEcdqux>D1(!o!oV7ng1*O!<I{Z
zb7#+*w(MW^{>3GYznAEJY`7vSH)+?g#rIA1eygnKzP&_R{<unG@BAYwoxkOesu*WI
z*6U^e>9fLbRsQwI_a{0$;N(@Acgf+QB(F){?%d7xk9I%XcJ^P_`<2pL|MuQ<-k$qy
zdaeH>z00L}w_Wzt=jz{Sc-45oTgqe4qQ*nnQW<*+Z>ycnTfZxJa$0-;-4#o3UR}H+
z<y`NMlxOPObB*qA+n(p})nUP1Yn2HZ{>-g>CKq1(=o9WY+P(M5Hs6`Db_I*Kou6g-
zLe4z3tjPY|rHV(}e4pOFc9M669LJn;=~#XhzRL~|Ie1O_b{+SfefM5{(d}ht`QGmg
z%&z{mJNI&$dii6$-t@fNCHr!ZgB%v=e!<}p3$KXZC5OjTZf`sAX4_`zq=fwwg{G^_
z+nvjO#xL%OYVY*C+f6mM{dO~6Wo|S!nPB0~+*)jM!Q#m_-7U+zZ%?=&XKtSLd&lDI
zg`N+~YMhPd+}+mtb6acy^D1_=`jv|skElw`IMT}|6@F)%>$f>2&OK-N@{g%@ZqK`|
zw0W|0;dHm%{d51i{oEE?3eustwDCx()Qr2A93C&by=}|QTz2y#TW&u5x+7(oZh6SE
zvwrK2#Y)NE*|zj^?(U~-A^a@!E;}UkSpUAl+`7@^g2eN4lO;FbF3anX+<aKO(6fHg
z;@jJLY%L$@_4?)AHu|}(x14zuJCksRg5g9quE`k-41dmj#B(xkm%R1NX?u&x`!t1O
zle(O)&sbD=^5hn-@VA=p19lw<37S5`?G@Kz&)DR);w?+gZi%x#?s&`R^`c^#C1<zj
z9Z_xlTzJyt^i0PT#mlo^mK4fyoLSW9c{6i?L47-$RPo(yHxGY3lsnrt?)jY+ZyBxM
zol&?Xw{dgf^kbacb6b<m&hxG)xbW<<LlPseh}b2E<cYV<W@p+K-%c}LYn*q*?6TF%
zZD-S>em~MHzF2ZQ?8KH|yBS4|*6Nu|c;Us|nrCw1#XFF^|DA0&eX^%XZ#}!kZ+GtI
znb&6SSW;MjEjL>6@~ekzAt$y}U2<3?&MQ)N*<o=%*oJbD4aHy^<fn_I?XpcUUOWBV
z8x8K6Ve#e*oR(efXA_#6xxk=-O^W&Mwwpe$n?Qyy+ZA}*=XKJZr3RMoE>*nVwst}$
zZxORrN>p>Ef?*3AmviO<!!x<F&u;148F;(iCwmb{liu-IF5yRdz2D|r{MJp0I()Z*
zYvwf$DUY5djfXU(GJL>J(FQps>X}=v@!Hje(+_Rgaaw22oo%8<Yv=i0n#j(S|JnaW
zX~Pj|sTrc0ye4sfuXP?zi@JViMeee#*LrWIMXi23>CmYiOD-M-dAaH($jfo{7Y^u{
zXDrz8JyvK&>Qs=gW5HHgf~?vlSgxtlyW2!>_v5t_GHpwhbyK4JGZLnKHwk$0W*$?k
z&+60fyNp$;D|bJ7;`8lQ*=ObOi91At&#Y2gHbZ;)<V?|ZIk9uA16#SH&4Z@D@O#Cz
z*CTdu`{d8svor4&_BC&sd5x+5xrN5;%)7n2MV=jxmAXE6@lvjtVb;sLKZC|>e3bk^
zIo#m#bdbY%i`QkHN{cGKyCQd4sqwp@2$}LsokF*nS}9Sx?|_1fP0C}<^2S3}QW<MX
zZ>RYm?asUMEk;IUx(eGd)mGEI+izZ0+_ueY{an~99q_Ov#+SJ>)TG`(Se)1787Mu3
znVV<L&eSbmylrOK{vF<lWp`4AEWhs%HCp>L&!N>PTas60+9iiXPF@o+uyTEn^0NgX
z<>z;JCsy5Adht9cWTT#dLRRe3ft3Fy0TGVOz0G;IbCzAb3yOlrcUNrgFYVrarc=H=
z^Go^du=*2Q_;!F&>tB-zH{6+vLl-w5liQwac3J8bD4Ih-(GVp#{mds#y-c1$P~t8F
zC+=R82{$~MTV+iy+yE!;T5#f?2TDq9M`F2NKi2DAn0K3N<~1`=Lftx*O(;5J!G@V^
zQnSGd8$b$|?Es~})#Z1V8fd;V>YQWkFJ`nh6YN(WUKO{?2U1R$T#x|gt!7Z5o1O!C
z!T+&dYj)vuOWiw<!%l2*13Uhq$pi^E=FOWHHoE1jU*0sm!P;+G>UxIS<Sv*0GZuX~
zb#lv_FZ&Ol+`_f_e}cNRme0RtkGnA*o_BRz>OJrJ#Gku($?Wp2mnFNl&AcY0zV?#Y
z<tVY~B4Ni>dv~9kxq^FUnEmqZ&j(86c|pzQL=Ik)XFEap*Y>&0WwXmxZ$JU%T<H1G
zA?DJK_n_={wiJ}ziZc`pXR~o_&RAf08I;}Rc7Qb1fnx1#xA(J8Leov;cGc%<r$iZp
zlTV41N6*s6Lm^TbK83f_+HWdv&n=s?ws7$_=3Mufpc``2O?Fuscj)zOJ(=gwdeE$s
zO-ML%fk7ji)MHTI&)#8uFK5}-o1h@t{YbCXw{ZH*n!BLPdHmceBmOgoE<_qWZ#-fn
zHG@@@*F<d3>m$j=YYp@2ub5rF^#bGr-oleH8y`<P7O_3I)hBzQbb#XJC>Q3=qb3HD
zM!Y7|c7YtP0ZJKBuR+R73q2FdYn*#8f@9eT9Lsaq)V3~eJQO39v8D)AbS36>m|eal
zJYD76G1XSyLeJ#=x3@Vh+j@(4#e~eY3mcC_NzJG~GKWp-^?luamu@{YyYcf`pKNaQ
zoYfm;bE1>G4sDyU$neC@ByqP}ZRMJG=X_tHx3Tkz?<bE}Qqgn7ZaSJ>$ebvfyn9Z@
zTY=lM!6M&|i1af0b#pz=UL@?9Si!4WIyrpeu0sVu*CifqIl;G<*{}P}39Cmg+3eRY
z&RDd;c-f4t^DoygI<!LSrAv@R$YPJXDF>IVGibYVHstA+6MQS2{kq>&L_KuLZom9+
zhWkSgpNkf+wyZFicFc03NZX}~py@LlUa8GgD(&_3>weR;bh+^C*!62lqEe=7pHA*N
zwDCq*+P9x8D*9Wuo=Q=lbSNm5Yo)i3YH4fcl68i6GbhF_D=ALeyt;l$u%T<x%F9Z-
z-EN9~&d@1~3W->Fra(zkCm?Oot|K}@;WK<+NfqB)`=4#c)y?PktgNmJ{VWppYvF;N
z<*(}*L%4!>oC|1_=9y}7I-oV0=jx8L7Z}R_@J_OL-_9leC*biSdA1!-+7<PFC$?zU
z%{Ufnf9Am~@ok<D*U59;`TTAE-}=C}Wo=w<5>_1XYtwp@wBlG^{RyG(e*+#i%Fhz*
zmS?MY(yl1?JF!K*ZpJZ3`!fmAnro_=rb_l!{|QLA^WN*1K7X)9*sa}_S_N|!3aLx1
zDwwoTYPp2egDv|V)6TwZ=lcCO;BkXI+l^Q4ihMs4TMpOFI3{R+=D`Z_Sr;pg@v~Od
zSNuNTcWm+dA1e<Swt2~<uQ>TxVpZWM+58h~`@cK4*4AYd)!FkD9N>4B`Er<}{f|NK
z;kp?ICzije@0i(Y8X04Ma@ObaC(BvZ+rRmiC^`Sy{|gH$zw(EQew%t??d@r|Z>Nga
zc?&mwmQyM`=PYurs^i$q``7-LEuN9G|9$<fb|1F-$Ie2_?|oD-7XGpAl7*vkb@5x1
z*oAvfmK|$9bMy7;3ngv&+P%dlo4y|`yDWO^ccOc($D<B@%{kxSdOtSUJ9Ter>4|oh
zXFn$#VXcb)7Iw|?epuS9B@<)cz5A}%SZkN!wvT`2&m-+V?_893yX}4K%v4@`VhOX|
zk`EW!P2?_|(9@}Z|8v5DT=_{mJmf`oKil*4w%22|-Zxnv+W0wRzAJWS+bt=$+HSJ$
z!U?^HdKcer%HDa}PNnc>_`*YM_rs*7zgYWb*XtSloM%2McB+2a_hwQK$ho~w{$!=E
ze=4W6;f%A2SVhMn8UBkiyxFGzHT#$$FEr!5bDF1Dnaj86W8AgT^_e=0Ccf=FYNxW{
zNV|`O-I5JGeHXqRE0VfdS;HE;aPIN&UlWdK$s4^+lfM+<tZd!C{;OhRsh!Hkd+lcw
zJ}UN#z7I(6ecR*v<Y&t7q|NOfIUfaD*UBy0*sHQlep_`%q;^$Dq<U3Hq;gfqv8+iq
zyd&fJ1tp#;3Mt#VB(}6?@YJh!?(O3JEYP@6PRa0yvj|&t$1#OTH@I&&@C!;jP!#%W
z>#}fud&U>V&b?*59|amW$|)J1a~3&P-EoX%(v8#CT=)fNJW&*yZ0nNP)t=#_+POE$
z_p?CbOF5;DlVomxD>A*jx%b%I`&TB1>HeGbcl)l$tMPAF*w5V0ALHXw_0RKf{p4An
zcHR8`(f`rcE$edPA_KKIXvt6YRtc-_I3&e?F=BRn_N@Q6U)NpK(r!KcbGz}hpvI*?
z#RY{-S_6N&R|!Wf&~I1$RS>bzTzKY5lTZ0PI!n2_CA0K4^YCt%uuyTCfytqiwq+ap
z7fSZ1?N<J;cREf+?1ksUL$eLk3nCJ1u3oP%)qX2-%<#$?gOsbKSA5RPh`l(M*!lSi
zXGFiKS)grHyXrlokcGCFwR+2Vct6ZoX?aAi&CTNI1rs(ylV;DK=84xXFKU1C{p5bm
z%Z)dWANqQ3|GQ0nPvv#`Ls_)W+gZyk|C}$r#`)&)#N`DOudwPpop1B##WOBX=0;GJ
z>B!t#KhflZghMlf@X3s`vpTPw{WS5)*(3h17~7u3-wj#QKEv&ovXA;#le7s{Y{uSS
zi>gKXCha<K>{8!`Dz4X=2}NmA9&461?!0rs;js=c(;UUcQzIN-acO(TCR?v$zv1>u
zaoeP-7TqQDj->^iPjLR%dA4%zJKq_nZCn!c9Zzk({pMr6fU)TGH}~y=%v;lcZs!(z
z%~bx$|98<Bk+KU83D&$S+b%jJa`T#)T~;{YWfaw^zGU5pjw_~eld2lnm&iS`Tj{>T
z{Z*!n+E<>kX|JAs)5uXNZRA}loA_0v@3O-K4qlbAiw+M3cun{&DI8!lis~#~vTnn)
zD`&mtKt}Cnd(_{J`Q>5TJ9){xgPngSw|uI)JKNy*w4V9D%oFFGv^QSqaBB1IIo}10
z+dlahtySL1{p#)?;p*2<cbO-?J7aIE#eZsZ{-HY)>(#PlPsG*8A1R+xzv@*ezu=BZ
z^{cXamV7wW&N8q1m9e-rf1t&4#WcO|6Bw@Bs<=G=p?KQfYHM@*lKPLQf}`2=AG51{
ze{n_cC%fde)2{i4Yy{^!6!$wE{aB&((&nK^`(yESyN=IDDmz)Ww)=jI<?58(YvTG8
zKc2Z(c}9cnd3@l*2SsOo8kF&+-fyv-9l-joE?||{9kr0+IgkHNs=X4)CGHXXKy9VB
zj8bW9^OALjGp;a~^ep#{v^`>6e@XsfWzC7_^G}qS)TKS0f1*t1f6i73&xf=4Ib*(F
zQQvsVS>#%E$FVSe&VuXCPBz~JE_2IGI+n_R@<G+VjoFn+?iXMFsa}=EHUHc-UH93`
zH~a`@<MugSZ{ht=L~V0S0@rEPWt(CWMNXS8D}LzR^K8Q*3BK%}rv(j#GJJ;f4hz<+
z6<G9k%9we(u-#5STpW?0c6s$TZ9(M-y$hXBh2}=CyU_YnDt6}w)wIHhM6-6&X9X4q
zV))u;9Dm61*>cV?Ccg9y6AqPbXFU_~_E=)$yO8zI3c3D9>KQaV6=IHDXVCHVnb5&j
z{fo_qxoj=hT<ku~SXFG{BG2dk;>1IfUqu$p^>sXE$)z0atZhbnvyQ)d?Qfy?q3x+q
ze&o6feRub}RqNGsCd!0KoJ;KGli~aDMwqR=;@m?HeTzBAy!p~Uyqf$gx?-n3WAx6t
zI={r&e`PhAq7nc7YOk`GW>@iXzj?ADGO{Ql(Y)Q%ufXC!^s-o?Zpl>}CN7kkEg_X)
z?ChMASfA0dmd7_)+S&NVyX9#www<ouxM2#{mip_rr<Og~_woA{+3mJF*Q!<(Ml3XM
zH~nSM=v|Vq!pXfYt0XC-;T#X|#ny#_yNpbF^LWlKuy%Z{{zBxJQOE-Mi&HCS9V&ff
z@NDA&UOw+TPc|IV<;%YFtf0aA$jRt_)nCSI!z2y_^fvO;^BQz4RAe(YImE2?%w(OB
zNpp5x*8UCW0~)LUt=rQ4)v}#6t{~!(YP)D$VZ>uo;T=b6+q7a5R~&P0W2-n4u(={N
zqeY#^_pzq4amBZD<*D_0>wkXK&#hnacWLhFSF>*IzY<axfAP=pklW9$+J|3DIJ-Q~
zd3M;^p2W`c3a_#vUe}vH$%^=u`7L;xRrBuOqAI6zw@*s=Y8~sk=}y_|xeZa_+dH>c
z&b&Wib>iIf0sDVHt#e-2_H}+*zJ{c8=;>SAbZ2*eIwc=u@h$TkU)Snwxw)^7m?bec
zK0d;xwEbXN5_6~U5jLak2l>jIXT^B5-{CFF%4^<xgpFx=C+{n#Th2KO^@UwG7U(UB
zJ;KJN-pL!1*F5(e+uGUPr>30^y74F{VEHpM3$Gl74Kr^nSjX$$!K-2>Bz<M;LxYWt
zht!gqcbUnQn6Eh5y5;I!h2_u8ZusXYY-|J>$9#lMEmGBN1$XBC<?_?^2LIb0rnzR_
z$-k-wYv1X2{w%H6T(jo4cXH+3_<F``SN@77<=%}Kymq}N=v(8@)w!3SZPoNysW*ki
zO=PNyo7hwlH_@pkZsJp!dNj3KC89&R4Ax1d%HIsCES)_~bgJf>+@~B&t79CKc4}*!
zDPryIT_=#RUAyJR8!kb!tyw|eem7m%w)F3}2N&mixwJYjP3l;?G^ypPeQn6Md7{4c
zMO(Lo{=LsM`E$Lu&zb*g4K)96KQ`shea2<a{_`2?{x5HNx%OZ3&H5ET{<UutovNFy
zoqc9s_U&6qp{2K%iHc5L8@>JSYkvEXknI_%YL<8Yf2r5|t(TT}dDpUgH`iq51{XKh
z-(r}4U^Ckem3ig|mVVg5sMi}k|DS*A{ZD$G)hE^JTX&yS>$N_)jDdfWucDmVY$Y2n
zv!#XBH>VsFu3o<2#+6-*GQBTuTa>9iIWgo>jB@c?-J@r-wCY^?kHt@|4Lp^l6&-$U
zQF`*Gd$Y`zUD+kJS86urDv|B$a{BI-Y+d_5=xeR+uM4-Y&MNp-6|h<B%jbnzcfKtU
zTYGW;#*^E;0%wQSFMF<K*pRj0OnJ=rV=l)}#vJEe6s7UnD$gJ`zxFuq;zv_&_Eq2P
zSYvj*aPEt@Imb)CDO@hRTeCu{)_(ECmpj&l96$N1@3oU@z*FmW%2x}ut{2L#=nF2D
zy*_W<_I;0U1^Ncw?5p-EowMro4)fj<Z*z|C1sSluW`&gU%D&*6ef8BBJ6>3X-Y+~a
zEy#Q2XJ54&Z|L0DJC=uVm&P8qUHE$K`yJauf=jkNb_+c2e7*FJ$Ew4n+a9}BOnABD
zp<PICV#x8AImdaIp0kL(U+A2)JS4XNX8p$t$7lWT2=D#B^v&y}Z?zwnZaNfIX7=xU
z>i;*}YyLOSO%1+rQDF9gTgUz{d{ZAUl&iTWdxHOikmeep<I+!pEiNd`KemzWCzDL>
z1pOlu*?ua?<W11;b>{t)x?!(kyKhpo^Qy_3XR;>vC!{sk2pLN~37&CJVg9i#Y(IUf
zZYsogT;%=aI^&K)ywqf=C#MsVocU%Sy4Du5JZQ!Rh4}}%+TMgEdpqYanwcLFOA2<b
z&k-~;J2LIq3OC*jsT=lfoE^Dq+p1}yTc3tT%*$Ejw*9<CaQCvc*>7#<9Q(iSjr9JN
zyJkkRp7Z>|q2u|*LnqC4?Jbkl8poBc1z&#id{&W8&Y$zC@s+mUimrX#{D0}2`<1e;
z+#6YY{|8)KaU&z&cIk%IoQmufza<shGu(G7Z(07N-oz@Ph)s5OP4u_rN;@N6L(N(<
zZtvOk==iBL2@!AOPj~j6ue_FScw<xM?Xat+TW@;5y`?61W6dhnYo>+k-?3)wPT$#o
zEyOIh?W^ydCu;u1o~PQ>-Ys17XP@uuQ*X31e^39Z+<LWBt})d2&gU5)mKdnsOu6>!
zq*~|3lWMJnC)IlE4Nop(5T4|#sHQessmI@JsiE=BDF@daS**0tXroeb=)Ac(LNg}k
zOqww}Cuqj>oJ%w2=WuOMxG9p9xJmQSqLg5%?#aHAI-WLKT-rj~M&fsuo>Xg0?X12W
zG5H0jf#yZwB)3KChrYP@OI;SSmGsGdq-V2ledeu2n{rcKU2k{I>Z(6AYt}2NGnr2|
z&-njbXS4K9@fkthuUAd8H(7sV%?+jv34ivjocTYkC?X+I`TL>=k3`Hi#v~}8RyErY
zlW2R|^x8(715wl3BMyJ!SRFa<7|XPDgPzxMow0YGrTvmRRn(}cGhO0LQEQ{lb%_I?
z82;{jrupW{hGTqu>^IIm6u506lUT3ae)-0shdg1XMaFxW^8Rk0_B3>>W{GbB#~0rM
zk1w7D8ejYhGQMOz@w4n(9O+xiJ=y-d&lip_8BflgY*BCZJgL^$c~Y(OVW*;JiT@Xk
z67Me=U%bDt%$WCr(@^)KaAMXX^<K@+c|2<7Mc0=uowwgCH|{FW<Gs_l9{=9CYgr^$
z{o_eGOv#gVgpw!ea4nv!Bh@`ghtW+X(y1rs%kfQWuG3a^En6FObLp`+H*$|yUD|a@
z=Z)R(O>Z^}g-mrym*Ob-)pM)&ujR|n$4mq(o+%3X+qyjLY|pUyHi4nvM&(F0f2YBf
z%kxayDm>VlU!I%z!XrOPFRIzbC1F9k2hRtA)_?VKNo`x(SzbI+RQy%p(Q;32lAAvN
z$pz|nExBZ0`1-uMeb||0*X601Tb4UEl$mgR+-AtBv}d8Clz!%fgWN(P%Rg|a2<+fr
z)%uNnq4<f!?0Uhfi_R)~l^rKzPkg@fPvgs`^w|vIwkk(V`8x~lI$zrHP*HMDzDrr(
z5#e3MXIAWVtq)IgJDbZtamQmtu5w$K$Mf1*EM6%peyj3msg;{_td9TW1BSbnT>UT4
z87_XN5Ia-SwEg8NlU)}S4){v7%<!K7O7Pi5h2EbgCldVMG%uGqao1*!^BD%E>W{O$
zWA?bbdt}-#@#;|Ao@bXG5*T+%SAUdxr^uvi<B~L`U1N@V+d|cPsl~RpStK4SDjuuy
zXepGNbgY8^WP;LNOCj!;zGn>PJW*ttZ0nNL)vn?5RiHOhF3Bj6pVRQLvr^1r{wB$`
za9fpT?IS0(-yF?W+beC%qknno%*2Y$bw0_4wH!=33mrR_nsjXFVawE6?#L$Wk)Ris
z-f8J@qx-sK(xQzDt<G}wN*j(ltH@P$9CGHrSn)uS@m;k?hqjzhqGsihH%GJgx2J6A
zx0&|rQR%FEy^^NYFHfCWar3RB&~6)-#OC&lIo~EQq}!+*@#OC;IOKfE;)SB*nPt}Q
z4@K@;rd{b-u5G+HO(u5cG0$IrcnX@>6#FhK95R$zvT-(>q)cb+brtp^@%r_}A3LJA
zY+NtMzroDziVg>lg=6D|hQ}fuJQiZ}>vcS~n?8QIp<P2}k=ADZv({eC4DKs-8I|e(
zwslFA51m<SaL-YS<%Zjp)OazSjklde$^@sT-WT38osIEZKq=?$>2Kv06*R0mW5-mg
z&dc;>!K+DS`sOw+53NFH)^2#ND>bA3OV29rn|ouv3A9cQN&R+v=k<&Qg;UuW*9BN#
zEc^c4#^s?-=*-$>&t0W5Viq_ye7@qxwEMTI+@cM$R-Lii_SuA&Y0e@?sp%``wv>He
zZR3*28alH!?YWo~%MGt)ldvG`&NuI_S9ct;T4nV6z&T$NjtvvpGIJJ`B`p(g+~=F2
zbg}-t^O;{)oK4tF6qz<mzM7_GWF1+$bh}5g!Pdz=ygx;+&Uo|Z+a+g_xQdQr#ac$z
zpLrK4Z8natms;|1){V~E)yoeg^BZ<fX`kWJ#i8?i)%kQ2jt^7VGVd%aQ_4vww>`4v
zfUV0z&aKkc`|TDqo_wD%;h1ff`X#n&lP&&C^Z4>XpuY9pk;aqzQa1DRGJRR*D7AOR
zTn*_6asG)f-Y9a-U2*ru$z9UCOfricrSk25uX}Ueb-@qEZ8yV1*05v?M6i5sp1#8|
zIkZW+`SrKssdeo~lI*xzRrfe1Yvyxo=rM1JI47;N<^j*Q-Cn;dn-5g3TfT|;O;(fh
zW49*d=F>6xx782T&wu>b<a*(~1%++qEISTJJH<TV71S$a`~Bpr>>kGi_3ObmBOezu
zIY07fa(<Z6<or0I>97v3kc?!^!@iZZ%l_!?GZ!iQ%+_nWNAW=1J%J?o{EmVK;~VKW
z`JeGJdDox+5>j?(?%W3xW=wq$Fk|L}3o|A@;4rW~IPqZRyBW9c-_))DX`3AoaYoui
z>|+}PccpU&>mJ2JHRlrU^BGJx=Xmp|>XbxK#JmRrHzqtV=qzqJta5>quXihFA44|C
z4UhVE)fyl2+qYk&>;qfx+dT($j#WB$tlgt{NbFp~{SyXF<{V!hR%vZ;-|OAv{NU*F
zJ<f}Qon*OWg=M5<g=83Ssaw<=?KmY{`rEAQUQNB`mq)x(ww2Bea(fhA%I^s*luqA}
zf3V`H>E(Sqk5$W$I8|S9FRYckwb;(+pyEaIg9{C84lXp*IVhNr(WKm5TvI;7l;QqD
zF5$}NV=VUs5^VB04ExMoBu-0TlDOGonqtsoe4~6i&x5kW_{2-|U%x&v&wTEVdKs-v
z&wY=xw7aykv`3y%JF@Vi-oieHeBoCo9;lVyyYYS7m&d=o6P5EXyf~N|_3c?e`8|O}
z()k@1rkXFg*lM0)FvmLojdXfk!PzbP^D57MExk3*{P51lQ};eM)j6nWXmW6&fy%+e
zW$#(qBZF19s5WoDQ<;2!+vlG5`mM|NI4-uWFF*4}c9-EE#UmN_1QJE^4L<YcpGeRE
z*~}9AbXI1(cuDK)*FCoRdFmD{?^G;Q-l<uLyi>I>d8cl{WaCkgQqX3d|HgWf-sitd
z3p&j+VxI6auKU;~aJ|yGMS727@9aH_&536!C)Wx-a9-B-<~4)7VQnt2ri^a^#~Z%_
z51D%Z0*yHy1sQXE3Ro<rJ~;6}s`y??eB+N9@AX@g_c$i==W}eBZr*a^xU|xn=e(IR
z4|pYIp77i>|L}>e(KsfayZYXj<aPYl5{s6wv>$0;X+Ly;rTy3f7WW20&OV;Jg6F+^
zohzrk*KaM}<M`MnpQE7LyyeBwnA9bEoo~)IUvja%-aO^PbmJS<bM$vAytO?Sey39T
zZKbi*K}7?#gNh$#MQ)93KQx=A{n%|5_l7dg!jytW>-;yd7p~XT&$Os)c1!;^fkonM
ztn!=K3ypvDlz1NTX7W7amE?KI^UW?R{GP&wrq|i2=V!0v<ZI02<m<HL<ZJch<m;{E
z>|;0$O2AL*&q-G=FIBxC&$YUu`B>IHfdr27dpE4t&i)~#l=F-?)8`qlq|eX2zqPj|
zHOKnNrtLhUI8ED!<+!QZMw=rd)7&G@7R<gjKV|0;o@v@WsXLE_Ok<yM=97T?jDMRY
z->CgrpZb4a$MzLj*RF|q%lRd`-Jiu)ttw`AWA%n@2jhB6dB4@O&A6A4-%-f>%}wHd
zLcUb7^qaE@an5@$Eh*>y#+8%5A^cHD^Iopw(r>O>TuI1pXy*OacH>q;eoHRzw^^;x
z&3h&9WUUBaTCn$ETw`{@e67e=x>YkyPK^*tJbP}kgWloVIqjxT_QhqroO%9EyM0tv
zy><Nd#cw2M9THR7&0=PLpk8(3Mn=6>UfzPtHSNDQhaXbisBm+Mf&I-ThUzy(63RAd
z9$AwT+%ogzGLvPhvyEm5{GRH+TC9HUD!u&MCas${>U&)mZk%nhGN&_oqrS@ZiCJrU
zZ1=5`yCEKyJXL<y9JOz24qn^po1Jxg%ckXfZM~11m90;6-O>6qIcfjjlf{Si>Z>AB
zOS64GZjg_<{-CY@>b7HZZyfG;b+dnJ{pyUkn_HI2lqauUTfQYL>Z9_y-wD1?{Ua{l
zkiGErf=tNomOWWEAKvUamvCtJ`}K<=OHZH5y7M_ak#|`ackkVwtF~F)zP<GGRLSqw
zvrVpOZ(MvZuJtYNx2&A(4dw@~u~j?gWNx^gQh%2B+p>-858mVPGr5xesrfPQH!hj%
z4dIV6n)h<KOTD>jaVKH@Nq(s}yoC!6-sAW!{l+&rxOuPSo7@%GpRiX;y%D`38+|`=
zeeucr64g!9O-1y6E}pfcdH;*7z=^Z-o|_ew3+$e^#Gv1t<4nJ;vvQtCqHB|LLTZz9
zQgM^>!^AiBY>9UkepEOp_`t78`N&-s_m*nTK9f9k3!@6D(_fPKCcpS_H!-a}{g2<7
zIUj5eDt=TtxbTC~!G#~S4hlXfZBjlmo5j6lJ7=HCE!8g(Y;S)W$0oAHw@TiU6_R0+
z{W&+I<QXrc*ylC@?+WLZrF#^6Q}-w~Ki!yq;Rw&p^yMX4U+RBv+SK*z>Dk%ab+^Cw
zx$(QQF!I5!;AuOaO%YvZmD;QAXL%rXxy++U!F>gb1I&zLxy<KoI&k!S;J3ioo!9nF
zez{GX<Bn#|$J)!&(mq(H8eid-zVZIp@`C%KEOIsXR=jzvq}Zx#==vbTXQG8z@EI$c
zWf^a}H5vVmO%Yh0<kfQ7uwJ#-*if~3bDpw_+%7TWopZW1nVu(i9ci7hs6cyJ#+P5s
zt65%120MM}&=lNte2U4gqf>Zx{X3Z1a!9%SdD@1uLUW_$&BZ<wUx);YSRE7T<ug=0
z@c70)r!D=JN?W=$Gxzl7PCY37-6La8fv#2Sbwk$&p*|BUB!flbj)?R=H&m@Zuz7~i
zqfDR94@Y<n4%CGkoSdocV)242Q>LhuhfmyX=Fj-xE!q+}kqI5z9z12Nt&Zy!T)ZyI
z#8cWTaM&(C=9{OZ!NdiNU;pHs8}m?{r`$=`-TZifp1~ne6}MeYhcv=3NWA4@WZUJ`
zvC(hEQ?n~_>z=EBDQS&2ILEn_X|Jqved~dW@Ch>>aB&I8IwnujW|{GZOL5r_C)H(Q
z=M=R=wjMDF-{@VlyjFBut^O>FNqeQgt?`_^>ArQ)?P(QPj@-QO|Kc6n2ku|ie=qRl
z&()p9`K5SUhMM64Q4z6SO~<mrIW`;<by`z8>&h9km3v?R<dlthD88n+<(g^4|DCm4
z3j9vg-+$u&FzZ|AVZ+D=UX%4tNlibI_DQX@k$Xwry3<S66->Hfx^8k+!|Ww;kHl8G
z@9=$<c}MXp&%2<z3WYN_&Cb4I8zjEN|CJEG$K5s7EADE=EK2F^o+9(WMz!6-_oSd-
z;HI5Zf;a7)XZ~}`o5NN&eU|&r7GZ0c>uWB;%V9k;ujEuc*X%i)j-@S^N$3vd+qgBu
z=J~UW&(F!#A4*>ShkILXoJfM@JdtGM_B{Q2jWeCfEmB+O`b8#B59Zr&TeJN}uuMYP
zw>OV1>JE9UH^pyQWpRM(i`KT;GmG1Tr_FddMKsMawfCl<<pG)HGD+)#*B#ljs8Yc?
z^;|iNak8F9UQpSgH}$VHp1C+oKX0%7drO4j<)^)m+GgGSG&T4i>*a3gdpvWynT>;=
zDlOO9ctcZLr|^`N_uQg}R6oljpB^pPJo(A&M-69We%{jj-z0j}bcSS6YVA(fyt5ad
zc0by5AY-|X;VDh+o|02i$#aStHu_l}u~;sX=(KQ7Y_U)Isb?WS7ri^NwSGl(@tIQA
zM$1;+Gg8W}AN?Ye76pe%Je*>xX8n{QcurBrN<Yg(Tbvlo{(2=Y)8;tyYtg$ES2#7l
z^lCm^BpIx->zGI@qoHeZf)A&G#<CU(nP4TJPR&f7UQJ1!Vrj*T_qWdYSzqBJI71{@
zW!q7a*0qMN$=*Jk8!VT#+z<&?%Bk<s%*^S~lw4CTz3{@>tusu|?3$sp!EBkwnodow
z)yZAQR?QGfDD&amXtc~FLNNGJgj}#u#4|Ie#k;c&vu7+dbWQN|F%0(h35*a4HW52E
zg@HTCtK+Ak>LDBR0}Qq?iZ1@)9T!fEUV8DCOY+OlMel;{EP4?%=g<>-flZbl{{>91
zkFqG@3ii0WMhmi}o=3T~ci|Gb1df%nH+sAhWSdwe#q4R@%ot)mV`cBA*%NQgzry-!
z(k>VIpzs&&Z?CO6pb?qOq{=SgfAX1=!%4woK}Ax@8kWr$>sRc)BXu(+EBpV1`KyX&
z&I+Dp@nVW7pG9i#Uq8zOcFSdw^8e~~<lL;Evwg-Zi@HNT%l~kH6Jd|&yLt3RO4jbV
zn~vx$&oMGxzGmZLP4*k_ra0$l^BK%usaqyvpExo2A8T|M^O^&Hl5&i;e)Wq?S{)pA
z<INOPGs~w8(sPSC#Qk;(mA`&(m3mq&c=vM-wcy?Hcb4QV+jb=IOlL!8{fg69UWra#
z*<14Mi*Wrji>L7)7VLO<)?mHFY@fFif~Qrym?A1?nc92Y&+>rqa+yb&g%@u6<Su;t
zgOhvCC;f`B7_*tTK06)IJ+sqpdqeb%;=g^t(<)w05skA<?Y-`2c|dx(%%ioz>zsBU
zDqZ0E?#}#o7IlYomgii19WTNf(^q=*#+=`>T$Q2R)$htd`ETx=O>XJSWgavI$9E|E
z?c{Rjw!NohE_ThLZ~g{Q%1%CN8`CIx^hQtXW538pEx~4|tCz1SJf+EQ@pg*yoWfI6
zEnZJqU9iqTBxk4UIqN1#>Gtl~Gi0S#%N*_No!Yu_V(^(8FW$a+slKM*)cyxah3+PY
zKPBZHJEpo^r|_nxc706YDXH+eMGe`0mPbmL$Fxcx?LE;qHMQ@tx=!h-{SVR#Z}v=$
zKKgXNcHwV+`DuG6$Hx0?c|YmgIvuquH~5=o|G%+q&)M(xDfh#pFK>Hvd|O)i)U!c5
z{<_<XzWAm7d#Vh-??U-@)?dXDNeu0xY()`^x!aj}o)vT`%JdmbIh@H;|G0qd@c$?8
zgFExi98+5^3EG1sV|F@>PrK*YhR7>LH`Wwd<`~KHxl5dXXfp5b#DkJ|63zv;zVo@8
zW>_+L<?S^+d4{FgUlRJ#8s_ovUhG~dSY>R|YsYhT!Tb4dj8}iY@<+Y;_5r(Dzy3{^
zQz`iB{kwKwP)KiL{H^?5M>|i1{iwfinniA+weYr63%{ft3j2BEG|RjTp<KaF1*(iQ
zSJ%8sWjg;tYw5}&gS|61h1z{LY7{S-dMd=S<DSoLt@x^$2efy2<*t(P$QQez<zG4T
zK;5oW2SO|x+_oKKwo@sXVAK3&<4ga%DYho<H69NPBIny0>^<4L>G;zQeoh(H*PGp!
z*30h<{I)>mv*Ki)iC=FzUz&bLquRyq$Akl(o9wD>eoc^W-L|jRPNiVh8hw+mUlq)|
za%$6SJRayo#;3e`?V2}b+t<0D6&pXN)Mf_9mlrMWS^K_;pEE|~_30VEs_JIOT3r`3
z4!*U1<I)1t^20}`vaYM0xK~tQa<GNRtF*Z;uY}s`J!2oTtjv~C{L0|&X?tX=eQ;IF
z>Lv4zi3Xj2@M(T$-h>@~Z?B~&PG6Db^Q&;;6;-_nyABlwUAORm#dvOFRmbKfau0b{
zE-##Q#n{5NwE2+VDruib1(Q}COW{#pk!4aA_&loN?i86MepUAy?k6+fEPukYt^Q-B
z<fl!0k7vls6g;o*U%Ki*tkcvT?kl*y|Bu*Xy*h3F{htk!SmlB?9Z~iQtBBFM9lzFo
zVM^ojDLLn2yuvEtv~I_n?O%}6IDLxF$F51DannzIyS_eOBl3Z+s`r-P!iw5k^rybP
z{KhI^lY_h0v==TXx#oJO{ywwCaf;4{X_Hj11#UX@E9j=(%xq>=?wtB1DV@Gk)@;}r
zvdusLmeWb5XwAqZX;tkU{i$#LgUSOo9q{v-7U6u7Yqxjm@8XNLQ*<^=pQQRNaMPiG
z_D9`U%UZE>Tz!(&qE*-tAj&uWjo+=0buE*8r@5XKI<66!7``&6d7k@8rpX$SNk*#L
zKAKbCR?PQ0$#hvGGRaC+`;5la`nMJHJx?-i)`(0pQ`J5LRuF8I>0x~@JYdt2BCoKB
zFs<7k_f46^IxS$+ktDCMh!7Be!X#F)fK5kgyuxOLYu)~6H+|CCisdQAd_kKIT@1R}
z_g#5PPMGSHoHjmHZ5f@ZZ!7E_PNsRfolM&kyy?)rNvk*8?)4X3b>PmViS@bu?>Jjl
z8SH=ZC%A0Sd(F;6`=pt7YepuCtIp0@6|(JdExRgr&hixF{NPQ8PEDG2TXE-tCB0=X
zdMY8Et}UxJ>~Pt7xR7%pmyT*kXKKr;4SQU+Iv27o<XWQ=(iz&aYQrv<t%nPg7IMwe
zerasY;V-=E&`hDLd<P%5WNk`tnrh)$zk(~>JH-0WIpI|YSe>Ts@L0h$-7CcUi=ObR
z1ENk-cX+Mfx<046sr7u)?P<3Yiym;>i&h*7=(Oeueeq*y|1HHYr*^NE^?W-sSJlcO
zWMTL8TR+p*m+vpTXL&53)0`)?;`P$9nC+k4QjdT4PK%z*V|;tooEzS6cf3Cp(3r<F
zb;ry4-c#q;`S)Hq$Gu%bt6=s*p>oMp1?Ror?)liTkjY#^>*I`tT>g8moYVG~)cVk~
zP)J`Q>sn*{jqQ@xFVFJ&?EmiH&RDbMZ{C)?`DdKHa&2Dg<oZqdJ>Mc+*3DX6|IBvV
zJmDN$rRejkS^C#5SKh5Zb8UKl)A4J?ZFi@ix>js{F!^=;&f`@j+vdsU*jPoMx7;>Q
zA^QAdmj1QRIbU;b{wkC3m~->jIq9!EH+{A7{&1se-llma+vXk4v3Z$eD}JNO=w_9i
zYDJDM|NkR%KJC2xfiZvM)|lttREifrlDMulQ(E-+&8nh@cl<uwsLI<k@6HN~L&qjd
zi;CZ@Dq6fqHrhWgq$IiCc4uIr#=+#*JCA_m=H39wF~2_9+tth|7kxg}Y~Gy}6_X!q
z{JJO2c4y$m`Mv7qTI)7^ed2bs8{|yO@>9?K=Fh#eRjK@2%;RrMiWVnXU)P!{EgBB8
z<dIv`@oSf7a$A-g?SCRQ&wBd%f@ybF?&-cA_|C`f=+#Nq6Ytl55{ve(&U4>+xk5gF
z<JLLP%BEO%?k?E+<lMt`b5zT@-apjcHf?i^&Q9SUcKI8(-YMFr88G?p@j9((S<!(r
z51Fb*m#&PwS)W-y!|&oM`KYk#Gv1b~TCkMWWy+^ITSm<e-7i0D>;LIT<IiX~OysVW
zZfD(A_p-#-a2<c0_axVZ&GpOcUCjRY@`y&f;0{Q69DgLS@#ww>E_VM^J;fTA?&E0f
zt(SCWo%Wk)%FQ3)1rZ5L^(|)h*N0AWP1vgMl=(RRa6seMeGH*y|4L=VA|7!2J$-zC
zLW<yx7u^9je^^_77mU%maPenSkl@vuKdcLGtoi=`1*hNh$NAG!1S?*5$KU=@f8QWv
z!EXHn3ex`*__%pKvx+}?EI%tnP~y?+hX;<;9~G}pc)b73;+r4z4MQI6N{`dwxhKuZ
z*EoCLNki+B{GSUC<of6AY^-iy$W(4yDBAXRFH5__bNfpNQ`z5U_&>R6c>8Gao+9UM
z+Rm)I{!Z*$ZIBROt)$;`U2fiq&4;%xm*=Yg^6AJl={0*LwJsbNu1s9<4K(xfnPs}{
ziobsY5*pOE-7%0o5zy#3ZwFWNm&WDixsywlJa0L{chRs;!{A!6TKmlt#|=Xg_NcEj
zkpJ1j(tg0jzhGf+%_Mm)pKnLRQkCZ)3TO<Rw_{PwlgmOfOqXpBx|)5GtkW>KEF5hm
z{Zpr{p6krFBU8`0+o>N^G`KDtbIZ-{JU{ER>ZH>t%JWYJGz!k!Vf6DvySfF-wd$tR
zH%@&2weY~ah#a+p|M%_5%}sqB^}F)$v>P0{%hZ~CW7A}_iVXO;eI9N&lEbHc=Fx^@
zK78ym4sP*I5_T3fGYUy&c4l6ap3zat)4O5X!pt>^8Eo~d_g<;nWjuEw)8##}Giwu%
zOYqIE>^Ur?Y_Y~*(qXB^7BUGYm-RYV@`TPf6VU6)!)q{Sp`x0B$)Sq2WrlqVC42rZ
zt~JYRtc(BG{5R#0ihBG6hX?<}kKWJHZ2&EIJRr$G(c-Nl*K!+|$4lB-ZaA{*7S3Vg
z3eQ+jIFpT0@1jEe5mzaf9S+SV=PoNW7oU6Xy7lVXTWS5#+`p^4MBe?s>CgJ^@6I1A
z{ehFW)E!Nj{IMuvk#W1IRbj+p<#y(h2L&D9Wcn`j9nReIbeC$gxzBvBJE>;V($*B*
zShLSU=VRAlE`7^2A154Uj4QA>Qq1RGaqOYVydsNcb)WfKcM{FQH0y1iZ8)I3EU))A
z4{t&DLd85IlS901%RV+Nlq~tZ>Yd+@_^eg++su86O<u3fxV3B1s#GrR{l1?#&iub-
zw`0=RB^xwvs%$X4sj^Y=<`M(Dn@bEeZi*xnY|=b3Atkuw;mKttZYr~lBxY8Gs9aMC
zOG)c8JgK(1&&SNO$J<QP$H#1D{SlR$A_+yCG>=S432s>l8|Io>5hAipEiC2PtjLpU
zn=g6anSE@Xi+{u1&UpgXLbht#{l6^PsCseo!Dsoe^P;P4u4<P2*E*<l!9Fo>wOR0`
z2QK{wCb;w;x!}@&XoE}tu@5f(4f{Ih3DgSN3RbCCU3xL~#mNQfmljTVF}*&dcV>H)
zN)-#6N|nko)hdx?YE>r7RI1L1OnJd+sCiL1F=>%{FK6dGo@45=T&){Dug*A`?y|@|
zA?DILlVd88XKtCD6iN!2q<ZLtinkQ=WF1MKU#s4wPqy@){r-PY;LU4))>m@n@BgOI
z7#@H9Z_N&tnZduL<%KKWt`2&%lwWgC{YS-Kzxx#d4d?7c7IpKxzIf%FS@L-y&#vkK
zU#`719t*ZFU!LWozwWb9<JWy!s#U)x98#0N6!FlRG3-}BN2r}h;#U5p8;`XcMf~=?
z`?p2MC@S=HRQ0u4et%}EE#seCytre@hXa;dyC)nty#B>6m0uO#mRz{*Z1Stpqv4;N
z(4&?0>P;Nwwko~Va+40$cFjoj=jyj{d0=?ecG{8;pbe%~uO?SKQcP=osVH^cM&&>;
zKj+6o&Psc}OfZS7^k7@RUrR21=gE)y+j7(n%sZzOeuky0Cbm3z-;|{n?(wtks?MI8
z@jR95?jE1TtEaQRc$BJWRUO&l7dOq#UH|lg=Kh%{>kF2z-+ne{$9HZ2<u_k`*d@;u
z^ZA<A#^a|&%Bp8ZI#xtB6vv4@+BV&F$J5lzJ6|^O<O!@fHtnos)%l%%BF2rc<J1bT
zpFUIZ)v%Z)zk22YUH!>BUZ!%H?_0V1Xvq0D*RPxrID1dV|6$f&URN&en4hQiJwDqW
zY;mrrF<EE2#i^p!dS#vK7H2*&v`5H2QaG*rCb?27dEc`gk$daUmaIRLx~^(-)6Aox
z`C<B-BlQg1pK=vPt}~qflu>Mh%@L<*?h!{mnTTz+X?_~>T`T6%uIp>OrWIVBEqXF&
zT~%-Qog175jfWM_6<Zvt<6B-h>9FJ+h3L4u%gjy(N4Ra<Gmm@Ck6Zid!%v(JmN+8K
z!uFZ1Q*n=DqDKA&iDS}CJkNO*-9EH&u<uLa&Fp!SeIh~R_GKaMn_OpP3}%^g@I2rZ
zO0H}^WN~kS;XHGe83&}De4g+Ms(oxTQTyD+vuuOy+6`T65BRVLS2iCoDdU$~9VwT<
zd)j$TYGq5Qj(;-SX=4eA^KzT-)Nc|IJN|irjc|mBzX10cpG9>V8xHfUZu`CPkeU6J
z8?V|KxBW=$I9n%?I9Yz##*6&MGoHV-?QFfrd-lb>2klI+{{|$@mDgJH)w$QSP9kBe
zd|SlRcEPY;iBj5sF2o$$yLb791G^VWZan?xLd@R1TW1|PcADwTmrVkj_vjpw(f3YX
zS3f<~VD_FV(bulkMeJ(ydGLC}fn)hA4CDKQZyYUZ+^I8t#+jm4O`YpAPJLpy93huv
zbz1pMLZy^(<h+AA>#9|!70;gi(R%h%rs4=a!_KE%pCj`8Ql`!3nfp}DIeq8J3z2z7
zCDYP3w7iaMJ$vU_T7!P-&I3m4s(N*0_%=*EthlYP-oi<qZ~4Z#hb7;9*uLggo#|VR
zO5OF(ncPpLsGbkLaaNke>;qfp**%Vl!uc0&oRDT(^PE?4+ov{;+ZD~0e0vVcaBf?!
z%C}i`hGl|!zQB#6(kk0Nuz_Z7z_Trr&0BUHl~%g*j5qVnLte={snt;r1)>{g^1b`W
z*7*C4oC#n3CYu9{)7o#G|HSb-V&1X1Y3Uo(>x!+bXJ1mNw*J&8&t>yx*SqLQ7N3VK
z&a8Srw5L@(Y3J(y8}PVOo~`0lyW+f`i7n6TW*p<TKl7kX{MwR&OZ=>UmA}v5bJ%|(
zpz-m)E>*wZ3lB-!U)k}bol)<1VuyB}#KTqc%L>o(8}Im6dbeJ@_VvQQGAI5;JbDwZ
zB4FXn`1|PZ%O(cLAFkfjK0&lWRx*9RVoLCT^HTrr(kCK(lXo3Z44Q7?@rsLm#obxS
zUMIPhYeYU)QDwJqJgL|hT-1_0WzI1<uX7Jhm~Z@i_0%`}GNnW3DjrQ*`b{DI<IW!^
z=N-MNxn`B>vg6{$tXWwH_SCQUW;ROBw-;P4S^B%9Zu{f6QY*P*R7*SWE?HMF<<{CG
zab96NoK8wT*RVWL>BU#rI7vBX$rBU3z#_KkosYwl|4+7cknMaN9&AyU-uXCuvVEO#
z$K!DKw;yNA?Qg!a=&b(DRc94s1pkX@oo7+26P|6srPI2vPQ&0%^y(ul`oR+Q?^C57
z@6kCBq0eo2?6gwPw@oH)m62@9wP$#`6XVnju3XP7YuNJLxv}hClxkbW%tHzKmnEL0
zGO|@gb}+_?E!;nS>BaM>jb424{Z@MU>lr&MpTwWrRTmxGv?HVb!IniKS7v<Ay=%<5
zc~Py}!nGyR3$t?mZ>zWvW%_%<+pAO7Y+O|zvMo?&X-coEYW0?9jV-GT7r1Oa|6SU5
zh16oNkl#N0Znms4T<)^<{KaVh6;iLgLVmy5y|87K;To5%=U2b>Um+#z74o}h=gXE=
zhKpUco?jW`utKWaJLLD9ldUbQHomz1NJaJcQ~TLxXJ2$@Zmhd6Rv)~KA?U>`MW$FA
zmqnY~HA?C~3H0{K2`y-DZ`tv_rg)8m(w5ITlaEE8H<Psa(e_5Bb6-hnTJ7Hn>(1z`
zk+1AHlFT1i@kmk1-&W;-F+b<WJI+dbK1?u)tMXu5|KeQW3+M8_NTL19w2iYLnwO+X
z?S1*#*g;>a<%MT6hi%3Lw{oeJ3uk|BtNVA|`RUjA*;}{osei9l#q!S5K3&09)5hP7
z^NydH=N=C;%{@M5nR~p<Sa;0N;rgg{Q)J=g^3G)@R_e2jUVMtZ6gY9mWQj?V=VOJx
zr?r)BPnqoUJ!P^}f68RH^C^=h<xegXke%!+7^nU{SW0$M_NfK6j{*ZLChRabp18&P
z&g_}N_tg4)dfPkaG4$69*(%DZS1H+eep&L-<l^Lm#?QYyE}i#E#7{kv#ZUFk$$xe)
z5*E2Xa9HG?RKLhQF@BMIa{eOq1M^(`JCr-;aij~`X3p{bl2V}eGQeYw&#Gf*oSzHZ
zGKmY>3T+p%<uVtxl`<EyWn8CT<rL%n#bw8=7oLy37O6L%_H1{0=n`aFpZ00zlox_K
zW^B>!ee5}n;rJvS#Xhx2r8j<?mK0i?oOEzuzP(oU`KUK{Cx?Bl=kCe5y5o)T@AB?j
zpWdfNe-=Mh``qK~s;Hzl<u|uqz2-et*YKF>-zi$hC(KYeWoV*yvWTb8bCt~Eq)B?m
zPs|XRlI-Hcb=uHI&Go6ox7fRrtkkd8hiqH7=ID#}oAgEhZOmOQRzEd&_OcDvL|Lxw
zXgZSRZonJ&HTGNS?oubyu)68B&kJgIMqZ6q4V#i7b^3L(NmOX*&bz;_?NHgEsy0(L
zZ{7RPRx71u?^_)$eNFr3+&q<#US8LSw`$K7pJFneyXgpM6!fv*%{52n7B%cVnkxME
z&#(Hr?WwzSww6EMF4{Uxbo2VMD6#En@d80wvyK+j{C=aICpLXW*~0xxT(<JhoG-He
z+P;ek)hjc^UVeN#b+7KmEiPO8U(FW?-&&h^@JPkhZ@Em5A1{i1qW^2%yK>7g9h<%P
z%5MI8|LUFDPL1D8+wA1hnCCu9G;7-@mp1>O{ei=8W}DQrT}r%lc<Z_LfAz=it@58F
z<NJAU<e9lMYtyRB%G0XP&wICS-K$4Mo^5+=_rF}Q=H%r-!N7@;vBBX#LnqCIgU=q%
z(%vae{ylg9|L0SECUM3|S|0Y<ts+%7BUZ@Q>V{sY65l58MJ<0ntF*n`@A>ckZVl7q
zK9d8N8jb6t-R08$y2Whxr&uYiz4PG_{~0rcC-3%{@xgVU*M`sBg_6Cib8m0WeRo5H
z<)h<D_x;-+2$=im*!h&4ZgYO~d+&`$(+Z6oT)OkNbF&<;*Z=lvS-^pXGOY{d+ZuP}
zmNh0WkNvRWwBC;!x#CJP8@LVmZm}G@owXvC!|=)XM{+%CAL?0R?YDjuh_y2{_WHN<
zP482VlmDmi{<)IltSDlj=dLJ`V$XGyW%mJti+U9^Qp9U~ws8wCyU{A~IJfDz^y=xc
z92YOE{jhO0-z~6cvaf)0^_Ex#iPY?)4qM){d%qXuy03rBZ`zN^lO}#!Y|yiTTdwUk
ztNh_NOz*qP8o#^l7D(JsAKPJgOs&J-=T)va$FCbv+Cpo;-ntewF=OqyZ)Y@q-C}L4
zD?8|1zxzRQLu|)|!+J+v<O$Ar5zVZtKD~3F>2bA=eWus-1kP;U(ka08V)Eh-8?(>%
zB<+h$h)58((b>Xnx9nys!{Ikh9S_SC4{_`kSlsR_P@BCWRzV^md-9Te+jI4S9P@4%
zFMg3PF7fR)D}VKyrheHn#Y4`!1s*T+6>vNfyxZZ!nXtnVSN@jtITak6Cwa7Z_kj<G
z^eT2Fh}X>7z%A%^t5ssTj`pGmr}I&j9mUgnYy_L;ZRcj$tgEZk!sPZ$gC+Rw%)po}
z+&t6YG_{{DJ9u1lx4?r{u^fh%^;~8o){7_kZ0A(6nw=!hp?3S0O6NJXXBsS%-^>g=
zvx%E$@tdahi)9CoOY9a%SQE=(cuvnnB40eoX9K6A{V})Q0!htFr*{dYuAka|BkN&O
zf8mW&oP7~|Z@MRMJftVEX3H~|!YijF`yzL?n})qh-Z+&#BI&8(w5ZCK)G)c^ovq!j
z#Z&923a{B_;<72_-bQYg^0k(MI-82xwuZe+oICY*ME+Aov&hPhvte?H-BXY6$bI;z
z>5#3q`i}g}j({xz`MVu1T%RR;lvz8yBJ-(ST;$IF>@c|pyQa3k$a^YS6;;_2x8J|~
zux9xB<T)qGE{RP|c%Oe{alB;~BYTX|!8-SQBFAO4>JJCDzk1l={I&4LLN|*i0YCN3
zwtKm(ar+%p)baS|rW?!cN=~xy*D23qIX{_u$Ga<>YJaXxv^`pT{i5W%ohkg~v77p>
z^(+tMPM3K!&AYGQpz84*6ZO@@H-DP#y5{5Ky4kl^o%FIlzEb#1X{x{BHC5xD!jm>k
z1t;y?c0OTHjy3A9Ul3E&5~*i->`hH%OzPa{lQ^F}->&67tz`MR@Url4BHS@Xhk2&I
zNu22YZ1q0xXWjd~pBXlIKU>(~{Y=ntpUyWA_0R21H#Fy-n(sL2Z_xKYVg6;lwlVI@
zE#6vL9hRK_=HVLeZ-wVn*)85ra=ue?QgGKUEwRP7^FLqXF<kq-WCct8-cOsweC;l%
z3iA{_@x6F^q0yJZ6>_^QLgfF-WVQU`Gd<RDM=MaUh^Oo%i*wQM1rxX{X5MaZ_4}ap
z-6vSEjHgs~?!&FxTicK8-8?QlUFN|`Z@!ObRNX7yO-kN#V){{`-x~2^-A|;a`+P9l
ze{r(wru=`N-eM>3{#>gkcCvikV&nQf<tOFrcBIJ5#}+mCty|Rk;>{%Gn4(m<$4Pk`
zH2L;wmrHaizdsXtRBQ9$g>5(Ht?rWUy)C14@Y)@z>PI2#3s2T?zdA8HsoOa<;%R_w
zPSFZGGpj2cuVu1&w(eZDLuTX6g+g=A<@P<fbVPHyPr;>$=0_#lPi?rju<FKxfS=2Z
zx7WvaofZ$5&1%u+Gd=b+CNw9@|H(A3oZSBBs~+9@ZrJX%L4Ete$*Uh!#~K}Ua=$0y
zE~|A|u>IA;4bEQ+Pb_4!coE<%Q?NqtoAnim-$kK<u72e!SS}aMHtJMt-<{I*v2Ty&
zGOLg_O}?v#Q`%o8Pjc=xxVZ3`#K-85??NJTe_o$e|BZL8Z1n5bQvaL2?+>58Z_<qm
zA;GtAG?ee(uJ$jordKfkZD)O+uW-NaU89`b9~+Z%bB|BE*0xRdDD%hWeOt9zjEhCO
zA}zTNzscYHi(7TIlk;u)x?kq^<oW6+uNRUjTv79;W^&m6*x-$mOndE3w!gpd!P&b#
z{=(n#M=QmgbAHzcCoAjy3A34~ocXntY2N>H4J_w<JU(7&;qm7=Vf`jqSm=(a;!#^+
zBO3z+-3JRfIp58mkn~!eEu|vO;TUtg@TZLHEh6iEPkcDm5XYGB>+#`Si^zN56CVyX
z)G^BYdR%z<oo&gB9H%xtwJ#U0w%F~OX>h>u^9#juQU(uRcXD#d)k{9tcu;%eONvE~
z6MwtUi^qMO%rWMP9ocL=+&i+In!MGP?8tFy(`M_b_-~@f@8;uCc%g--pI1Ws!;uyi
ze_xNn!!11K_MY-9PbCy~z4&JRk!OC(<dX|m*UhSXGTB*NSg6EI(N$g8sOF0L9C>cJ
zd9yDZuNG#jNIxWy&wE0BPQAIBN=2?ySGZbAMWz$KzR!!t&790%OkVjpeKAs)f23;m
zga!San!jdESU8{4_KW$#envGOg9|N+Y?2fFdzyVc434zae9m`jOH}(}aHqwtYKFmq
z$i)*QJ8rW*nf%sR_!DE2Q<u8hljd_Ra&j{-9CsFGt4KH`@SXRB`iE+@C*1XmI5pqR
znDB59r|li1h5fVDcnS`;D6W&9;Q!#dk4M4P7LjnD69qS0<n(4<IPNO^sr6WkVwjX|
zi~ob;z8(c<T51*=D&CKuF(F^u=R~=%+LPHF!k_jwGyYt(;eHFtY+sL!*IRh1eO@GP
z=d68Wl-Mv=jc4QGhMI*p^PHM;)t(%jTF)uDZN`PeoWh@4Z?`b!%{Dl)_I_q}cwv6Q
zN8#L$Lc5vnTnpdz=r(`3z2|#}^c}UazQNAHy6<kXY-Hb&FU}!%gSAV!tnuLw^_SNi
z#AY20f1da6+&Y0fCjvFrJ=fs5UZ*~fWxB{m*@^PAUQ1^cKAK!2ujsv&*YxYxx3{i8
zI-vftep^sjeO~VMg^CmXU*<;LiJyDTzu`vY!!znH*R9?-_5GK+XSb(DePk)W9ToVa
z%y-A9nLn1zSoQyd^Id*d^>+nt_#eeD`z%wT7x9Vx<Es9{i^V4Y|ByNR{*zr>-e0(L
z=~6(yXT8DcS(Tl^wRctq&nXbu8XNq(&FxL$l;-LsPb(f|)K6YA-{z0be}_X0#rp3=
z3fPpTJ7#@6GMDxKx1F08Y?b)Ho-W3-SXNg}Cd=^D+}8GqdF=Aacla3Fp3l8jWhWQK
zZSm*|C!cj`m$Tp8hYNzg7aUmm`^Nh#%x``>TixKDyPe_NKgGQn2`g&8$ek6vEm~j|
zuVOK~`a+qFj{VDkpDEAl1AewV5BRy{d4O$9=?c4bPr^I$e|&On^ZvQ0z5C~)e(s-1
z4WEA|brk<hYBBzq)Dyfj$@u=wr`;^E#kc+U#N0i*P^hGQg@~<;ma~8RtA*2@zh1Q7
z?<7+D$+d0Y&qeKZKNt1?`<c{m?q^a*<IkiP$Dc_(2Y0T$5cQwC?TOmoos9MUpVKBB
zd$w!;2iBKw(=#0wyw-htROFMHex>*CU(c4Y@toF<^scr$zUOo_bJVTv*{fFPuQ>es
z&Y$|cwf}c=%71!w?bbeKyJx=tl~xE&j9q?yE+5NW`}3L!uMQmdVdb|g(5eV1S^ePP
zg}qJf?Q)_vHDA^|5L^(>+ApwIyTau~@WFazxA^kK!C#8g9l0weU(XNXZ;BSR(Z~z`
zkgy~8;Nin}x#aBLg?`{D*x%&d!7gf}WV7ah;Nywa8U}Yt(hqV~1RZRCu=2Np+`OH#
zH8$neEENySI6hu_EAVjYZN+2R;kJ_{{`kdxnO!At=buh`{G>{eg4L6_58n0C6Zx*S
z^Mi48{mIt()4lZmoCzs<_<Y$UZhPygF+YB;d~)b~K<l^V6~T(acI`Z8Z(F75v3%El
z>hy8lB<{n%z4YYlLW(|q+&Ia*!Eb7oNK%^WbdKBFJCEE5OKdU7Sv!GqzTdWxBE=gq
zCtH((RmIzSr^eXita$P$Ia75y!)vXbEfLWtRS(Vb(le|N3(u(MU#*q$NOZ%dN!$m!
zy!1p)YwtABS^eZuV!5ig{BEtCEH@%gsvha{(i6NEUesy0&FS}ViEu^X{S6<d#&D>G
z6fK+)ak4ehOI4gdSbOJ>8DS??4}9^`Q%nmf>NHq3$-5^~^p^dBH(WDWSkJ6{;$*OW
z68F(PUV1#AwWpXJsc+(v6BG;k(6Ld&e9g~?%j+M@Ma10loLaK4@$|ev%?HQQ_2xd<
z6})`Mvn!J8Zk6s+^{|s`lAfO~?dzO>{R-ps&yy}EeR^GaGIP?OptzzipE_NAOYceX
zKXh)VX>H1Qz;y0;H_LOo(`E;jMI4B1_bRxxFlbKU3ZCtDXLUu6o~@Z!|IneX)aK3!
z|Cf)e_DMX5+9&ZSYoEkJuYD4aH^t^;X5>a6xKcAQA!?t8p>2H1jE|WsjXT$O|Gjc)
zl4VE!{eUw+uPrq`b5@Son=RvG;JR6TrLwoK|GToUfqVY*)hy4~X8r9yy5+fy)<MJF
zv*f<-a8|XL$SdF}y1hEfYTIhz@`g?Iw!1^u<$n*KbMoYZZ8Huon8VYx=|sYV=b5Wd
z$<?GiKAs_FIp=Rojhk_}`u}T&dJi5we_4}TE_8h7l=3;Z9u=}ZNe#T>D)x7?x6I1Z
z`lYf_($?GlFJ0+p!xwSCMcc1^e$<CAm%pTR^h}P<`ovrtUO)YtpRcdnt(}u>PX!Ad
zRj#dnzB#%?NOec*LJ@JDDGw*MR#hY}tl6HiknvY^MhByssQ#M>je`o@OC@p^@;K{U
zIUK<K)$oiHGtc%50?Iz8wom@6GbLe4Yf#U&fHp(1SBYy{KmEAm#N4y}f`G8ksqOK?
zx>FJ+wFdcY3urqh_Uhr%o4<d&dpWh0ts-}!;=LImHuX-K3t8UlOnJ1o)u?7e0KdHM
z6?gT`c{R-+4?U7z)cx}G;os}Tye^z|(%coT;mptd^}=PR+Ao_fFznSeagm?=sbI(Y
zR-v9P0bN(cPHi~mWV>c-fc$G6lLJ-Uyc-WLNo17PosuxQH7I9WK%1`Esq%ATuaalC
zvfapASks?*X+^`_dNHpJr=2v*qBRc7aev)#-KqA?<_iqJbxn@cO#akbw5s*fjFV24
z8&Ve9cx?*cH`cv!T!;JVZVPT+!{?K~aPz1}#fa#q^RrK_*d!BY#J0Ag<LjbUp_mN;
zU7y8H6`Wh5v|xKcld+gr;VmagznN3?_0@H!B+PFOI<qyP%~I{@=>zpS+^RED7m66`
zPMM!J`P0j)U9F$qB`*{S)}4~r<@s}q)gh;!n^G5w2<uKsY-+8N$X{49bL$0$WL=XZ
z5|ck=mTqbNwBdl0rdyQ8;S}z#8%{da=4`pZAgyC^qzWWGw^eA()_^Wku~QpvEvfkx
zow|_av(A*H)>b1ObItk7rbX6k91P-K8j-Y+r@MZ+zxvU3uIFj}yFB+S<ow)obNP>m
zhg061+$VH?o_0(^=#jV2TP?W`E|O_YD(pM7;mPDLGwoe7#mv`;rS>zw5;}OurZdTL
z-Vp=)>cuNmI=KUnvhz$&>le_9ddYb>^=5EmS4`cJf}Ix+98Kp5mw2vRd7>coTm6cq
z`+DzvYz<Yov5x!Zv&z}A3OBMiUK(z@!P<0oRY1Jf)jj!ZnGV*(p77dwK<|TbI>$>x
zwcD(AzIC(T#dJ4SXs_M(^ZBwXBD+iPoH5&8ske2<*PTC-YUXuYSex#My|`SgeA8>*
z)cKbJUlk}+-#xqNTJnGMO!>>96H8_Jc0H+*sSipm>{YwjWG=?s*_mZL^{KpzxnGQ0
zN_a(=-qX`EveqWsv-8^3Z$4Fa^*?%izE-=xzU`6Lkh&?cu|ZFY?(z5TX`R=_ZxUED
zd*{NH>y~Yd&1Fp9cTSWut#0iVE5X}W|6RGY&)M5KxOVrl_uMyr`Lc>P<=$T+-2CQJ
z)a5VJXED`pd!EH&{mCTm&D@<*{0nqlv%<Xh?w-VbaNe7@o+p=niF%gey?W}sQ*&nM
z2l@PnvYos%JM!hpTVGnIMZ8m$-XBoy`SDfI%IP{koX$tTRn6|%vovm#)$fvW&o>w5
z#B_S;9bNlNX|F|U@X@Dhr&gYv{5o0t)1>t9<I}QT*I%BM`e%E6*2V5Bt-jx3xznt+
zMjp#z-mEeGvt05MUDMMq@-J(5teoK!S+nbDQCrrAr?b2Kw%+*D8^x3P>G9sh4TVwX
z14^4V#xLjZj{e$by7g>*u0}$QXk5*;^6IN*CT9O*4ouP7!?Enu(`$Zr_cYzw<7z9)
zFLot3eEqy#&aAfiU$4lTeX5`4cXy(bl-SawpQpYU<tk{1FP&aDvCU$W#-U!OX*Cn$
zCQMtZBJ!|Z<RiOSmaOg9jEqw<Vzr4PT6=Hpy`dBG)9HKE=76o93puXMY+V(~z4e~X
zln)x>S%<}6m1u<Bp4IoMrlUQ6Rqer&hp!doYF4iKxH3HUv%jybRFw4WY?m!H_4j8@
ze)9SHtYb{?LLa&oZ0FMNvJR~<ytD4m>BDQa_SD=d+1kueU1@!%;HgT@q_T+ER`G+Y
zwDxF(g*`mFVG)-;|LN5qK5cyCUHV6CZ$*1v_<e<W$M*hPYV{}h@9}TBm2O`na&q1D
zKF?UYQ#bzI{`>=r^slxqe{nhB|6UE|b&>o5^<8y+yHlF9<E5Ne>wNwfci<_P9Lw~5
zCT*pUF79LIH`0sJju6Ry^?(2TTjeW`w(9p@JY9QhTXvSm{*c0Zx0ntsj187OSDPt)
z+v%ycV|KJ%YjWFPj<vk@pEBcoeAD-vq|M(|qP2I;+TW>~JM+`d**HD5e=U8ho39`+
z`g=E@y-EF_335Msw$=(O*GklGxBQzbmnpY=t$HL&x6NcGznv*fldlOaDZ0e&7xF=2
zM^^6s6z=LvG5jq@W-mJ*zHQs%E(y7}KVH1}J9X{LqjfPUceKMr#TBaRQeRI!dYbLU
z$Ek0ZaBSQ$`~AGxrt;G*(qerof<G8uyfdrh_t|TF>Px-|{;yvbfAd^tfk3d%u9{R^
zmg(NLzxIl0O^-eDq~+W<EwxYI_XL*|y<(AHD;&Kn*rw<e&-=N%+ROd!9*<rw_n<eJ
z|KqKd?iEijMRQl=$ZntM_u<6KV4IRxJp6NZwF~>*J<h&d?!n?<{);Cg|8vY;yLs1+
z$n(d~8~uLpOSRHEZD;9+j{4WJk3QY!YWLc3$x0_^YeTKx%!Kvc%WgcLBw1$d<+kzE
zLZdZhE97=rhRC<eW;HnTnI3U$mwMRX+`G|!yXCB-PcL&`3ss)Vd2Dw|SE1g_#5JLM
zwX?F$On){jFs65TX7tTTN@9-#@6TEtu!$qk=kK+cLsx8%gk|~dTq>k`J<iazey^Ka
z%&Y9Hu}9Xe?^zL8EV#R4ZoFim;P;?%!R$4bFL!sm4XqH&S$(KGDO)s-CwkR~PaAe}
z>C4ZKc{|~qlG&~zt+z^UvoG#?=xVTvOTTMkXoX?VibJOlf6&@f!(*(T{4VsKYmPzt
zstcQAj|3Q>&^vWWFR4S)xp;n#_{Mux4VUWmlID7=Mf{#>xZ_ljkmI`*4;%|l#{>!S
zZ&Z4?Z0r0LyE~-QOitD=i9J$UY~~|-MDNio$-<>snvbLs*h&vA-P66}*Hpv*SC(J5
z`xQCce)f)EQN`2B1Z&sGF4@z)BPsX(5sz}gy=NrsK6`xLkz;#uY3vcz>1WKVt_hvk
z-JvXM!%=@O`nLW}S01x)7R8UtEYoe@U($QD=IfsH-5tg|SKV-(v+did67j-QJPU(2
z?&!9dIeY63R~^&sYn`T+3#zXP6%N|fZINfIen|1AtIXzanTpeYeQ_#Q^oyModnB-0
zv^!Agy$JVQtAirT{S0reH1;WaC8uUpDla^zs^O!b?GcOR^>T@8yr1vxczLaG_Dhz_
zbFBEI=U5#US^h3@Q}B1glPlS0Jip=`V_YsdN$%mQ%9G(Qj>aC5`29fZoswHLyT4(X
zZo(92)fd^aVk@H#FiiKka9=g}OYun_Wm(n55)T6;+pI(Q56i6TU&v>AK%iYJX@hgG
z!HI>(Bxc-}IjNVlzjA8*A{}9wf|DZbu||g#SI69Pl`&gyBbt9UVb{)Xjk{M4@)U~)
z&ew6`={_6lvUgYajkI@4E$w@{BbGd!Z(|d%r~8Jtv|sAV9}4yUZ=+Ho#aZ7kjGeBv
z>SVKR<i}mv*M*Fyf0{cf?^dnE!-@NtFI)}UwJ1a<R^0pLsrBq7+or4w)2+XI{7osx
z*`+bN{Nh(MF8HwGa^4f2zppl%?Jxb^VS7VJcbU)KSF^ICZl^0gPReBrt8uxq!{hcG
z4ZfwW-@b~!x_f!j^gZ`yXX$U-_b@u3SNh<etUDUdLLVP9*e+$xU%aaDv*B*3?F^e&
z6>=MHmfFr?ysv0(bIE$kyEDTxH&_1e)~dh5@h<eSTj6#o^ET_NJ1Td?_Rj8)zPf{T
zM`UkwhxFAQt`$qqUY{BLi{m<I5HD=^)k&wG#(px%)jTD0Dg9Jh=E8MK!e>rSynN_v
z=}8v<H>u~pUH`tt!f^6t-fywX{R-T>pM=`pjQe^;F4ZW8!>uOw-1^c}bGKcLk6t}7
zcanQ;eePW2sj;7|PYWs6oVxvFVtllJN~O8=pX{$UmtRqgY&jP(ZDPpk{ERg}Tk}ix
zZ=84CCJ?((e8bM#%<Z<pw`VPtb6p*AB=MH9;!5wAr~ho;DxEa*T(Nvs{^J~HE0uUL
z>q`gYXLsjleV$M?VQ=Q?>PwA3cYdC4@%O0wtv~0K%uUqlpIc<7@SM<eHQexi{&Ka6
zwf5D5U5u%WwXsHy^3{iz7cs7XtE_qTr_Q4*n)fVA+rInVJ<PuR-Qvxwt3<xPEsh9G
zt9UTU)~58N-MpPo7}m!Wbv)OzJj6X+=5blwZj<ibLw4Q;k=HoCS(SF#`pr$8uDVXw
zj=lZ*t>Wy|l@izY1=Y_#HMPWW?w%|2w&<+#irL*6wdlyDn2D9SOP)Q6T7Nh0#iy-1
zFJ##%ZF`$FbNTC|sp*>A-lome^0G_X^nRVK%yNq<%YGM6yJ7ZGt2N$c-K#|XZBmOx
zFRYX@Z|a|>akgM_MR2dQ^ZP8mR}WK7cmAvh>WywVesxD{MM!USOZ&7N+v?v;JGO1_
zoUb}Zw|VbdbEtPgwrQTo-BpFjFXDP<x657K@$*GwZ*;@Gt2<g>#P>$GG*0^wuw&`N
z#|qPZF1YSyoN(&tjc-k<WukmHe+zwkv-w6@UEdtByhYw>6?vV@U!DHmw=L^Bhp}aM
z?sm)4rnl2FR<9Aymk%vcys`4`eUI2BH|oo#@0}Kzvpafsr`pM-C*Q46EfKMMdZVoW
zugkRL+k4|@ZOpB$YJ56Hb(`;2oo%*B_To2_O0L8?Xl}F3vv<3wC05w|w`FS5?eu9&
zLtfZ?|NG7CT+(gkpPM>z`LoPVs?2ns+Wy8jx-Xai`SWx2k6Kl?iEfg+Eq7AW)o`2b
ztmSGO>)#qy3wAjQ@E64x9gG4;xc?lhgTc%FKAu@=Y*YS9F3zG<UO)Eg;)v&yB(LpG
z;g^ot)NiV1c|dKtOwwZSz7Gdgk5|mOuXk2yTWw~i?`f-pmCOAK@2)hq*~)w3(Uebz
zgl>y1P0IOwN9gvndyWT;y>p`1AKNCavvF-fVDjy+^&xvj^zW@(Aso+kDEMtw#i|cE
z8^U(qiknuk?Iin}d3DKZe(%fmH$ItNXZQ2w_LrxpO;S-?|NQ={;LQ(?2FD!ej_G}n
zy3XyRR(Nv7+|`v8lUM(&n7x{H$L!UuI~L#ldSc6xe!bJ;oYS6ni+F!_ZJYXYQG4jm
zMg3QQCN+ruOzQZ%t=`PTo@0A-b&L0<O@3cpsz3Yf;hI^ugrooJbPel&R}OuT%dO8?
zoBbl<dcXnY={_F<r2S&T?k`+*`1E_N9ak26JIZdd?=&|(x<2gVq^n);KZQ0;y}#12
zZ%vwhgzowm&Kqt$oxCq*>y}0Q2UorKx_nvRW=Y<w3%Bmv*r;YHtGg)tUA^Z1n`sw0
zuUV9K?e&|R7{60~>en`tg4%#O#~^V|y}IC4v5{BeWcP+&Y=4mFD&J)-S}Tz^{r-i?
zHM6cT$!hF>J^i^$!G=x3M;D&*<7waQzi*}A%h?;<WwZ`nteHB0Rx;nz2NnCG!Vhq^
zdu=d`U#p)m*I6|p|F!SU?gQI%_G(tw3;#%4r(qW~Q(q|Nyl7?U`lsD2;l-|T7qx#X
z9en!9BwXxt^qlJZQM*=utNWxHJ^fl-z`pp4?Td<!CL5Og+9tBd!_K}mz<bL|F8!vn
zp%sBSD-U%iu3q~_xAf=<&D%ENUmi-o_*A9-^UrJjM=^J{Pj$(Vm0G&~`P)C+wrst$
zw`+a<zxSKYE_Q8KX_npga>0t}`{h3<{MUG7bV~n5K;7BM@*gw5pKRBg`5>@PvR+L7
zx^!-IwwKjGiRFHV*H#+$6u**dvnZ8+Jh!TW)6e#Z%W}EINx}VwKds$A2icUF>gy(S
zX;*t0TwNLLQ~ZkO^4wkR^Zf1}uTKBTTvPB$(a!2CNB!J6$NMkdyt;?SKj!9Urd>NW
zrL)Aw-mI2*HK~@T_#|W7?k57uF-0vC^(>FAD4LUT;^yL*yZbilxa;=zCT(ticRx~1
z-f*$X=j2(={L#NZvaYr}`y{q<zsBoF+U|SzZ9WryG&@Y`wc3||=ZqMaU+?~Pqc8f-
zL{-7O_~m{V&X;}IR3CRhW;^r2nC;9*ZMHKXuG!w)&YH(A&pmhRk{9o<NU~X!@-xrf
z)$izMdmv%CT++1QeuLX9kI$HS-QlL&^I5sMI@TXD*47vvc@=QrD3_c~*qR59g}a*A
z51-_clk*Gx@S*T<Y-E>q9(&vAJa+!+clhk|cE~c#DYtI1cwW{~aP4iqz+<0z&cE5@
z?tF|hR9=5uM3y6OXYqm^x!wOKz3)3Y`SICH$Cd{A>`j^+_pj=@!7Obdo~@lXV^=Ev
zR61C(dWKxF%RUc-X=$@JOp2&$5?}9mO+_p;=1Pt0rl%|3T!`71^lMVe>50C2ai+D7
zoAmNN3$j=GZQ@k?_`~(T*tg*6|AOne`_k^WYX0BYxZ_3Cug6U{uPhZlQ}jy2c&^o9
zp56EKem`z9HOX}e7O$5zu+^{pb(}T(^Q6lvKj-Xu@={)W&1vtrKaZQPM!pYB{b;+4
zDeP%Ci}vPqse;@QF9JBlEJC_|@=Z;Qt6QiM_N1HV^k-N8)1O@By+0pi@cwjEp!oAq
zj{5G;M<u%7Bz^4XUiP%R=Jy2sAfJ=sl4_SrHf-~{?D*|d{>Po4-#9hDS!jFXzvAw)
z=ud*ocGVZu3oHNoT3wgY`}Fw#`%T=XUDM0LpT0GJx!3Ek=ic?7)OPO;-sAIk^1tOg
z(WjVCE;7zpwm<80xs$lo^431qn13<pHotz@{7wF3RIk0D_+W!b^Ge1_j|8>vg_kV&
zj;_itIX3;%`;uqLTOW!i^d3}Nb5-Ku*ViEzB;|MCU%{%fsA2I|CZV_kU)|c|?6O!@
z_B2TI>}QbX6-&Hq{*v8}^|YP#vE-fSb!I%cwEX2<ZC3FMe~qQpU!*-1iCsHqVfWPP
z7dcPutZsIBe*73*&z<%n`SUk}>ut4XHa=w7oI8X4g_%s^GT!4eKF%yrV|nIlcQ=9M
zvfboet`kKKuC}lQ`*;}MY2lgd^CEE(XRVKcmy6-O1fIt{&l1-htm!d~Xt>D6ZFnl7
zhChFUgz^-p{~s>z@4T73$>vJ;goI1aU%GdwdKfg$nv&FG(<q{O)TQ1yyzSqo1-efB
ze|=soUeD?L<)@pqjo{30+XDFa@@S>?X5T-#S9>Yzoeha{tLxLB9lUUO(&lzXu~*6a
zTG?i#FRba^c0uBLuTel;+s!upr>Qei7cy>(F5=jDc0tegR$VbKgQ%IGf}#$%ExtM<
zW2^5p8;xaBsmV#En}1YABrmj6tB)??FgWRyoMTY*p~6;8;_C+&+dc>x_36Rkn-=rb
z*|xNPQp{ag6A+!zuswdZ$&qC4-ohIiGtZyD%g6n+^k2;SIr^_^?yT6X|ElKDB=)1u
zi$(0#f3B$QdT`~i1@~9OYfj8P8!rfa*LCl{5I?`lqPOsjM*e~5r1h<zI!@G|Fn>^b
zJN09#MAj6Y2c^<!3+>t>G8ztwNhS3^%@F)0S;}2;mrcUt$<`gISCpP?wMcj({V7Uj
zO8|en&Xwc7-uoEyBupN?y}dsnsOfe5>sT!z+uR99XFi&xn%uTq?A5~Ah6ze>57voE
zE$D7-dy%uykWEUpyYi%!<UT|Fo9>HK>J9a8vUBrZI6f)A<9mFz_N<N^_ZO>|L@aTW
zigo8X+vrv<ko{hv_N}Pmdky38wtYo!;#=(Q&1mKNaH~ZzZl=L8m%YnEj_~P!?Ni8L
ze9SH8V{mQ~`%&(_sVgI1Esv<Nwb}gRS4GxB#(5DL9nZz2k~bBaY`n1FxW;vMuStz&
zerNr|Ur)3%7|(w_8J_TN?fgV7vHQ1=eLPZBb=*nv-Ye(XT~EKvJ9_;%GdJ&t6HY&;
z<UG-ycDeJH$HJt>IY|q7zUx?4ROBqQTLy~7!%og;wp@@n-&1omXvdiqvm<6zNm+Je
zZrd%V#SwL&S~=P9H{X_&g9ay@Y<ac?>;nb!La}<Oq#3Qpek4rs@mX=0H{Nhz--Zhe
zcYF18mYtJh>pd!xYT~am>-oe$4#UNLMttgNS2D$3B~C5uOS)&{t!r{XiJNz0(EJq|
z^V7>;8ZVNV`}%98ndF@Hy;dC?El*0!PWm=?#rb_x!poa0b=u1BOI|o!vw4MG*$jgN
zX2NYZvJOe)*ME6rY^a)lVR?$<&rgf}PcjMjTsm(l9Q=uE@6MSsCYitLJ#l2p9?-Dr
zwhIi=IwnW5PG3~&x#rI-Ec~@#@t!2f*yJmgH(&c2yqcr4K3TUiV2!Dl;<QVKV)6XW
zx>t^eaPw|BHz~j4shCvqq>0ThE=Ko;3CC6~4t&03{yybp#z*Vb9v3WHp0_Q4KU(L?
z@iOkGx1+gvH(qv1<}uV#-?s2}&#BI-1$zT6)wHf3e<7TtIVV}hPbcMf&&7uy59gZm
zsZZN3`|FXehO7DGf~2drGfy@pt_$SY`0DJo0C{d5lLJoNyc=&gC9g5oQs374x@S`7
z)`IPUJ5&B&pBZJ)-`Q)`UBC98-{Xp;&s&e5uoQ^gW4<fl#N6*v=N1;cOOo-|nQPwr
zxby4@JLPVVl#kae;?B(dE`4reL0wv5T13*8O%V(AA~HHQ|N5i1>my^Ws=(eK3kvOy
ztSLFZVzz~>ZBLoN(zmPETPZ2nEY@dB5|A<Ce(-PuXRVB3V#8v!C#I(SA`7S2M=p`r
z{?)27>2&dRC(d&(lcO&%or|2KdG7V{1Hzj>DeX<PHPrUs93YnzrNM8gYjPy>^hKqb
z3%h&NpS?16t30Z?KO;oX@_G2z+=c@z({(EYVvM{L{XY0CKJtj?{H6f;&AKKBWVm??
zZx(Ig*!%U}i_Xh6M>W>ZuzmhY+4lm|HL3bizja&JZhzufcvPcxM#ytXRk6vhYPg?H
zUe)@^^Qx2cn{6_>hlC&7bgwL19T;bL_1(6BCd;ZdIvI@oz0*9>9^CuY^rBO{pt@&M
zr*=VbZ&gQT<;K=u5lIUfr$uFS?47pQwIX>-%MPaR2Ucv}ac$fA7ato}6#LtL6PmGL
zdSH5CYJJ}RK(0dGcMYw-Zlo?`+!ghSxA4Lv>BX}jYHw>i-4iz_hq+zyD~GGCTlA*|
z7AZ3RZ}{d*y;YmGLtX6E;|;3q4DLE6M*_KfKYGQ_bGflOX8ygSJ8aXIh>O-GPVv4{
zzP~l7<ob0c!zul*SGP%=Z2syUl%&9Ku&`e^?GuB#uf(JJDV)h)E`JtTT-ti?`{aP<
z$`@l?eKrS``#Q~<SLN#Jve~QLmuc3zU8_4{^j@jE#<<>He*cG1xcB#26A~A4+Rici
z{AJ^bFFYG=v?xxSVQ@^-*1wST!IHXnlern5`-XQdty-qEBPz{_|FrLm<hh*8YYY_c
z|C()Zto2P~8l&{={rUCb>hsQI+~2q{dF_thHy>~QQpr90%=tTy-rPTsW97X6&D*-!
zZx*ii<||OE);+HFdEc8!%3sdtTM34~etLz|u4bNHdHQwk7k_77ZcGaNc4qd~#zp1d
zq_%{n|CsQ%eM#u^v(MKw_SgB@I@B+hTeN?3jbL2uyGzMD1yA(N7?@>wXSCFR;+Emn
zSoUIiA5+K8U#*Wt)P%qPd{d~rn)zt)b4H1ImZkjub9eQt``I3FUM`n3VR5T#h1rdj
z$1UEj*dM{tW^t+e!P`UTKY8c06gtZ;w}=dQX6?6;<E@-!lmkoU;W?^b3~sLcedE~`
z=A80Zie(mGIjrYa^~CbUu35W#uGK*~_j+b^$ET6UHN6g<p2@nL`6$nEO`(Y|;?e~r
z<*fY{c0}H{E|q^jr>bGQpY0L5^q)#^%3m3tvt;Y+$lPXisaxY`Z?c%zqo>V%#WP&a
zHE&-2=q;bfWtrt3EH~H7`l=jy>wHeD!s5-9TA9LEjD1#L1&+_DYI*EudyKPPFX`lD
zzqt?Ur#$9S+5R$or>w>@>vIhqfzPeI4{SKFvO40$l}drvS1N5@U#ZM^eMMQP^p)W>
z%aX#(!kvp5CwKQ0e^&M^{F#+hw?boG^4G$XjBF|Sw{ATV(6%c+AsF`TdT*CUQC!{K
zBfd3VmOtk<cXijTmSy*Nch!P#LJUXD>oSEIbL~%Zv)6Y;o>L0yIp5E^(!&1roX`&&
z?ydZN<G~f?oRU|HW|m($m^WV!TwB$1w(rZMwo78}-0J2N#jK<PSaz3xZvOeiv90jt
z^#yOM7^Uq>tpajNr!^$4c`VYkda3kW)h`=PuKa!D%@yXH!dHshtiE#Go>SHHcK)-z
zq(Zjx9Vtye`>qL|t>1sSu;k*e*`J^57n&Ew{bNzh(_U9DdhT%E^f$@<-h3ObtG3U0
ztrgWW@n`Y!9j-g|?TatIef}g}uj=ocKVkk>e<%ED?$)zCIo0|wM|c+h;n+?6k?YPd
zpD8)1n6~2y$KjaceTF9SV!zM5{5i8b_qoaRGvEKNJLCMN=%h`|Is1o6^`|Qo>gT9P
z@6-MH*yYXjWzWQ8bGBDKo9_E&8{f0(zB$X5JxSO57Bu;@b@HaEuO`(OY~+`Ed}?mu
z4)1RUXH<Vnyqd(!Q+QI5Z|5!5Lq^kO7Q5H&J^JstM_ty&zmjwAuR8fIJ-n{n^P3s_
zC+nUYw|Gvh-+ANanIh41hjXUCN$&IJt2el=>i*(g)^>@{pMtw>PyhK-=2<55`}1wr
z7#+DO_w^oe6>k5tsWba1_ovfhpJ(a*G5Nd0MX9@d|E+69bGKz5vRfMQQo2gEOD{%l
z=3cX`6OT?eKbFxty5fy)q;qa&j!r`UyNNq}HXK(Cep7amXLrn|_PKgDkMm8JN!abp
zx6zlg{*71B%eyaq{pKbt4PGAc@QUO#@k`UqL+4Ik+t}q)mJ>aD&$2yhK2*;3kEy$P
z`--==wb=dHaX~+ho9#a(<Gp_M=X<svxK|4-dc8eN@sQF_*?nKumf4*WyKQh#=;zTR
zLV5`+rwX6hQq=V{?A(S!r)<}3DUx5Eb96~W?qQznoEwLu>ZQMJJlDo-v-zPwd5*=Q
zMCrc5_rWhsts5&R-#3>y_||`Eb+BvLfns5yJqC(Ly@ieT7%gnSuJ+~QF%7|^(anZ?
zjAyieXZu`uFoCg8Qs+ovv#Uko1_|}2FSmux%qu@tyy%BoYUV4CWw#%HYFTw6X_xK8
z08PJVX>)zHTgMn3ESp~Mv+=a5u}twvxxQT~^6oK34Ttq+vU}gOezq!RRvy1qX%n;G
zT>U$Ka}(u#<5z@#z4T_roEx`QYR&Dhca{s>(On|XbmF7X+y`ObYA3|VcWI0EU0b)Z
z)>&ChxcuPkqwS6FM769w8ikfEni2i8poTl*=E=h+PWjon31*6Ju}EG0pgvDaH_vQ;
zj_94}n;Um<y*v5O<LI-9_~7{aQ3s5!NBY!oObh?=aHE5(eA`sfTAMYiUOY}Nb)C<U
zy-ELaMD431%fmOle;i>eHEYAUmlxJGe9dET;yvfI<+1nGV_b49-r5xbIqM!A+_1E%
zy?w2yO-)X5__U<mw;L1NZ#S|Z&SRIa-+hOVv23@j)0;wTmm9CkIyRnsdEv}qk)M-x
zzI6Do;6c{ww0qieVILGKLJl@RDrDtvQx~<dv03}z;p3URH;J6T%g3XChfgkUr)<rh
zGHaHK$7LKBZ`b-Me<`*;^5VUW{o1el6J{89&zIhCz;yLe`Oot2K6&ncwf{otf@|Mu
zil0Q)Z#`mu`AGS{{_rxT9WSEp1utjP15E_(<kIh29a>=+v-;5K!(TxYfh!JaA2_SE
z=ZD-1uJs(>SATe9z2ua7$D_QbYcd$cSA9^r5#K6)v{7r11Yg+0rw;=}<LvmtABsNk
z5RFsp3wtQ~FhVrW@LQ;zBagaP`cW>6$X4-#D^Ic4f7!5N)8zikQ|B_xS@}lfQBLY|
zj^|p|M=j!4@=l69blWLazp1?S%lb1hajbjRAM1VKY?>z`zq&B_h0Cgf&jm|o-Ki;A
zskWU#fAvY-BS*9DD8;Ne);s^A);ZCmJGG1+7vH#<b%*0z$YZy{T~g+4!B=-wT158F
z?iaqggViFeH@c(V`|1wY9WlMpJ&!}@Xt%8nO*yuFbN1C8f;?*%y*KJoyt>1XXWg;0
zhZkhsso`03OxNLh)*YuWYmezV&Cj}%^kr4jvM(1lcb(b8R2A~r&0v9)c~{=m9fmz1
zEq}7^)SOv;O!vT?tUFF;)*aJ5v?l9L(wQ}nVt&pDbWN*cyu7+lxqe1iuk_I?S$8Cs
zg*<+iSY(=KrxyBHEFsA>Pf;!8u~=e_X`bP+(86_0J!_RVFK95lx<jyJ-Lc+9)uwqo
z<*Q~Kb-uIikoKXoT6>c26vR$A`>*>=)k5Fd_4;;yVh%jH_C@{Rl56`jKg&-(?^tZN
zYiWvrPsY#NX8%|2tMxwabbJ<5{j>Je?gs&1%5(n}r->Fsnf?5vKU*X{b@F?!l*@-J
zZ+<CsG-HmL;=Aw8DYf{pRO4e)SH!q=9iDW;dHU*2o&~;Un(I!h9p=6Hr6Aa>_RgfU
z4ACjZM~ZItY;ZD5UNhy?i2|2RHZoIn<d3UpA1FM@Rp_)yY0i{263dmg{M26=s;*aW
zv?hr0;Hww!Z~y6K;fh!$*tAw^;f-a2ZEvMMZg5t%E6Y+jpx4`SW9>waY7?R4^--K%
zrmMK^5C1kfWPVxdp>%q$!JNw-?Rgu$YD%tqG{{MDUJO<itjbhzdmpiq<#5#2Fu7|h
z4;-%&Wx27SNkBSOAaOERQqHv1H+0Mjnwh5x)bnuti=MrIswZQ94X@yr3wwVoS)tsP
zXZ7hqsC&)jC5sv6mWnKz+^1A?<+SMI=JnUwlNL<t``-UO%Icouy_X%2*7iwMy{fF7
zu~=DtbE!zev_7XZR{~okt-1_jt?hZ3O&%@W?^g5WvPZ){Db9=T%7RtdE$!P5uD|ss
z)=R2h=zm##jKlnhbe39Pw~OZ!c>X@V^d}_x0K-Wo35n^;jz`^l?sih`V8+cQ63VlA
zl2b1qwz&D_<06}n*FNnlbvJXqqkDelR*~4HMjw59QYs~sBJJ9|!Wt4Mi6-qxag|Vv
zG<2KRUY9#}#?<#y-B&WOd_TR|gpu{mHI9Scy#aeJaU9O>t*Eb9EGVCED)eBko70|f
zZjX-+tUUao4<1hBs<8=kY*5$Y_!z<}IB%uGvErR6XOq6o3VXMaQ*lO=oRjMzXM5R?
z_m(Jk1zIIZtW@S#E_sr?qpu?ULSP5`(@IT8k>D+xjD4<kw0lZD-0P>zsFtB}q@veF
zV);ag<mRCHYqTFYSMt<f5v<YSpI~*buH5;g$`19}BHvRdKWxmYs?eBiCwDTf;rJ%W
zN1ZutI}~Rd&YSx9oK=_KQ^mOB>0h2t(w~1e{)F05@0%$Vs<Y+dPA}^>-z529ZBAQ-
z`fR~_r`6(Xmlzq9OgnXg>xafqqo&K7JU9BANq#%Mtett&%f}0H*eq0LE7tR!PHR!#
zB>8wnh#P<G5~C_snaMS_O^-Ku7CM<p`kh+V9=z#g^7<S$3+360=e(AFFbsZG&zR>G
z)e${O^x?LYqdSEE^_$htk1}1mcK?;DTb>)Uo@@QT`E31VgZE!|#Kc_`J{NmF+fv2<
zgh;``Hcq`;21l2EkiYdOoYTB}=gUR;^$tzkYD+Bgo#c9d{om?4Tg2=`@3p4^+cei{
zl-aKHO3m;PkBON)_sINhT4qOsUoJ7Zl9;3NbmG<vJ3g&Bt$1H3X^)A$$(3ZMkf;~0
zrEZz3vd`1l{infm)6J%nlWzVH_tBjH_ZQPLFR^~N(|?2iOn>&L$m`?vncOLplNRO}
z=}hvi=kHFvd|c=z&xQ~)=P%RGa?DLNb`xLOBXU`F;(6ED)X58G=X}zLG?T14v8;Vw
z&@mokmD7hMPJS}<-o$if+8Tjk741VYC%X*wwR#&L?bKQ@y^o{nb;qLqK8atiPB`y>
zv46Xx;NK6y$?f0X?#q8vyCOJ5%3M<P@yDD>8?)^qr;X|t%t;mecp$)Cq`BmXv#IRI
z3Sak{pH~Fq*POn){pWh#wYk!>>yJt9zmlTMI%k?rQ*hnOo~<9`Dg(?o`A(=Et-G0W
zLw&Z~w^PgdZ8u3iXvt}-(48$9cQUPKdXS{1pO@16iTWv%AMDHtvYG7LwtUmehilYl
z+RZzm6@M_*_=xh&p85{~X2~%VPo3BhE%ftMMZ8(%6vf#h<*Ab&_T^OV(4B3UcTz3h
zFU9x>@6DcqShM7q$){dC+@bR6>c>?%pROuQ@af{-bh04M%y!OXU-|SD;{%a5c{VPU
znY;Ceh1yij1A!;G3SBlS$xK@#(f+4C>ofn#(8~**owI{;Yn|Ec+LMdxKlJC;E!9|A
zV4!jIj&5mEvC$rx7H$WFMSEma7BsxA58*t>*BkKW3ddo+-ijM*1??0}gc8=fIlZ~;
z(c&xBS@@veOI5>d)zk;hPZrGT=%3^)wa{XXV4Jek$AS>$KW{Qs4ixsbSS*~#p>8Vl
zcuEv!TVR&z4+*QaYL5<QtaQ^ztIujloaSb8=2}O;r4*+@xU%B2OqD}5y+<MzgdIAZ
zu~n#Mf^LZL$HHwM$|BvShc>t<%cW%;Id0U;60vTgfc6!pUpE5pt>YF**!=1s`)V$w
zoKVM}+)s&<9(-`9be<;A^!KT4@<fphzV0l-rAL?@{hfKzOP)O3(pNL*QeeYmt4H;*
z!R~^6uQiSt`mg1`e?CL?Y4V#D)B5-~DO){S?BmW8UHatVg1#D=3xN%PtsZ@D?NfSl
zHL%Avs#fA#*4KxJbGJ|H>)#%0^(c6LpG4V<6Nk%Wf8JQ8yzk=Wz>ajQt`8yZhVwGM
ze%#=`nqR)Me``t23)@SAN1bIq`tI+uxpRGDe|@zTS3$VD;=2shuN$MKwHeDYRgU=e
zy4+YeQQ~&<rDw~x*0xKn`IDR}C{mW3yzO!1*W*DvvpJ6Lz4FTb)XD6PZW~{3_}0I4
z7e{o?lxv!LUnBk>zw~cas7>nBC%YXBe=$mn?q*Ft-C6hObcvzE-WN-`Z3VYKIro2K
z&c_Qc`!jVE>(?;pom9K;eoAe=ZOY__t8%J#sLi&?n0S`qdaCh}*qc2C@n*?yrl0aC
zbQk)0ra<HNQ_jf`7UTrUOz>^{yXj@({G4Amv}ZGZJCWAmyGb%}dd{&MGh<h%KALtX
zcjXbeJkM$UvnPo@XiI6`p%5u}&NHm1`{tzsYpn$1eFGQ|etQ#FAIQbEV}W4PXQ_o2
z%LUsuOMNVeP`2~SRym;A+hVbDB8R$((Bq12ZepBA&91i2f0!(#Xt7GLOIa#0VyPfM
zx5=aAeQr!=E_-zBl<G8aS2j#rJ@vurJ}#S_z{dWwS{xgqSrzqGD;!c4{UE0(z9jMp
zTlZ#3163P_Q_D{F6fDnqT|Y<h-BRVQR;#3l1<L%NOP?gq>#I1he6fIVsYv3szN9m!
z^lF!Ph<mT*e=l#mwPa88nG1qPOJogwE>CP<Y4yp_)tyo8wZ;(@*^hOL7EA2j^6P`y
z)pHX0LA@Wuu6i_BN^x!sJZ*U>sP{<3qvg}JJ$_ERv*t}$bf@4M6N#f0&4xbp`sXXR
zvieNcX<GU8W#FAj(fYGlUZ+e>TAO3EW}+|u>eS1}oo@1M2r{b_(3{$n)RkiBGfjto
zvdZb>E+@GR95*?gnXraKT2=d4+ouI0<+HdV)-g4uJ`J4Jz{HkrSnI{Xmf4cDz)gZJ
z<A}3)?~fPDCo<HU3N7-lyeZI<v@$AbU%g^bWBXC94;wvL8PBayIAZWsL$Ga?!Z87G
zZTl+Z-NvKU@iPMCoLqw(<YfhUE(;zFkTvAFII;bp)h9y_cSg2X8b=t`E~%->Xi1#o
zX47-Equ)@9(;!M&(JfQukVo$kiHWg$6dz5Cd0%uV!2N^PZabAVOv|PmRxk9<+msVj
z?=#7_t#i}M#2q=mB=l!99y^)Vv2l}RVo%O73Eim^7fo0BBw0HpN2q6tZ<pYvlONXE
zFm^;2`KMeyo_v$%gNK>3&6Kko{3*uA{(kaUpZr2ocG~*~?>zU_d@pk~tDK-U+eU7R
zubjXswSJD&$?YwhBp>a{aoeFb+i;y%>X!@7TFUkJr{0}f^RsWw>NP<n6MfsxZF;$I
za?Y<G8tI}BnseGJ^rs$jFMoN5?S+1%;xg~Bmdr__$*<%ud;fLWsruc-FaM<HjPF%z
zblaJ3etVs}=GlaMld6*sv#igZ@hEi8yIDbZbe=6MZDi!UHhV%+GpD3knzTpI9@Xzg
z({j7SyJGvF2h~e@ZGSTFq+d<%T(Og8M@uu8m|uC=8#3XN?#}Hu@0m3wE&u7~7uw%{
zv)KBO&-a;gH&xd*{cSk6>1NaSAhzE6gY9paOa!+-*_C1*+qpya`qnu?cP717pUv_&
zb#hWejuFok-+ez$F6-a@)Ttx7s655^Nbb#^0&lbAm`PqfAA^K`*2k1Mn{lo?sdhB<
zX37qw*>ZZ`lEx*|XEpJMp8Rw%coWl?Noxf5s%ksQpX|D5uG8E2X4;*-Z(jMdalCuo
z@u;y+BJb6S!;2O6&T)JaUDV%vX~)F;lWR5mkH49AXL8ZBo4PxfTP><srrh@2>eB}&
zce}XP8V3#+eb>D^DLQuFql-ZY>a|WP&6s_6u}~P}`jpA%1vYtpbTgB@cWPOC`KFhT
z_vU<(3^sGFnR1rne5$eA^G{XxoHjZMRrbG`bk|ErO+I*&=S5$$%H@-N@4Zf$TyA~y
z$zMOS%HxyIavV-IK324{hv)QCqfb{0Tc4Z`KR$Jn=SD9x$*|MQ+D$jTOkSJA7NI`7
zUh&%Lv=-h?lF2he-1tu~0jZu0Qhj!l=SEkvpM7y=wmFl1<!`4NAK<&mvoTn!wSV6v
z(FOBUT3_f#3dVWZnjZ7k->d5WCA!Fa&zGzePtVq+ahlJ>%7uTJ>4Y#GJhWkpj7kGP
zf3LurYaB<VdJWcG?PxER`nb_Snb9nxr9MB|R48$>TT)J#w&O;R(ud9|-EJJ)vRaa6
zyGd-zK603^_s5Nu6B%}!2qkTIQ+jjJqo*@VRYGjFUJVcLHI9P<y&r1(+$7pETioAD
zeKd4ZW^~JH$+tEUO5Ea>)Dx)vFtPL1A@-XQy#hU#Iqq9$wj?&W+3;NI==YT3G>BAI
ztY5Z*^~=T(R>o;76^;~%x<o8&lF)v7Sfu&zr>8SoKAhOFM@-C<ae9eML8QBgcIlCV
zP<Od?FHamVmHpV;(&u#NiXeYmiOAzQVe)cUvixd#a&`6hxw;g1xQn!x9w~5fm+O0V
z;<%wKtHrv-0_r6q50~^M&Cz1t%lYWzjxhN`_LzEa^=Z1YfoCoY9<GtCj98#7&s{2#
zFtN``=UQNks#Vv<kk$R|zEQP5PDC$T`q(*tXJ19mLgludR-ZO_x!Z-k);J&~+ZwTY
z>XqYZYx7xeEE1Gkm#uQBviHc22UE@LPwMVmnV2aUl7G^d+uLwWiFaPrH;ZJaY(wXb
zuMIZKM5J%8f0CxD_jSfxTeF!rUWR4%J^I4@OskCh*;L`F`6qKvm9?L2y~R{!bW`od
z=}Vd`GQ<s3qHlCJB&^`lXj|Qo*urH~5^DVQqAx3B)d~d{e^HkgOPeJ2PMs9|CRpaj
znv3qNEVZEy7yVgz{)RqCY~ZTl32|(2)Z#FVU=?gzp>WJstA6^N#Qj0S{J|o#_3gW4
zAGA)gJF;L+y;@mIW0&mW@HF-5AAWq9yi`9f`AFZI2G`vUky;8fRx))-Y9-8A$Had*
z<U#T(E+(E($BvVcw!#ORb&sY+thiD0DmX{}v#9j}o1=V&;Tx6D1iq2j?EOt&x3!OT
z&X37C!ZP7GUCCW%3qtBQ+R9AK`FLEWU3B*P#4g{$fQ^!T8r#~}PSX9?oG?G>^TyRT
zM5;w*CvH!wzM*>a(d%E<qO-qqA6<51-3^}GBDW9MofNBIQ5L<CGf&g(sPECV9Sd*B
zt@GaYL*kF=QMDbbZis}7%zn5esd|UX%}31d#6@R6Xi5s66Q0vn-1YY1nw0u(|LOAs
zbDA!9`4)O@l)R>~t-ZD0YdZhaTaRC?3BP9g&-Ke8*2q;yo*5pTe<^Xw9__Q;hW@N9
z#i0&{?yNkULmwng<8iq^cO{ct^y-GBU0f2&RvtKfWzCb^>{%|3=9*>)-Htw+xFE@R
zO?b|}_mgu1k8SPp-QclNGfdm;u+~Yj$Mu;@lRh`NZj_v+ZFc{O_cjT8Z_(Kaok_tu
zVL5Gf|8;Kfxu_c5Gk<26uYvQ%%5H75{DPy;-s;{=`r{Lr({!@S*U)q0&wqX!ZG9%>
z7}g!rHhVAB<!czWQSz9^w)TsYbdR0aII1SG@`i}A$n3-&N!2s{o`1P@!u#2;tj!(;
zm1Vlr&sZDr^?YWzNPU>ruKQl10&4;oj~0m<=ma;mFa1A<t(!@uo@JMdGE2AVA#t<b
z4@-TOYYKxqUfla^>SW-rEK+QG$iQ7$PEE_D<PU#+5a+?_-he%qISxnnR#Yq&FjR@l
zZh0`(O(QO=<zcIv&7Hsw37PXIP9H*)Mf^<;eTY!5my^?U;hEenrC6~*u<N%};*RBl
z{N<ubY-fslKO{t1iYHy*xyW%ip|?U}iGX1VTUJX#gPX>$?3RV|-E6*ucKmqU(eE|$
z=>6MYuhnQ&WwtC_?q>5PpyP+|_IFZ>FO~^*t&>W8u}F}A?o&riHZOHiftYh)i<A~b
ze5)5dV7^G}L%qTK|6c8$|EA~ebNk`7MzE_@DluY-Ab+>0(y84p$}HZdhn6e#8mzg{
z(Qe5l$Xwm*_Csp5V3)5{;*B+e{LvyxY~R9q1$wS?998K3ux^bYzcWZEpjUwBGROU*
ztd{qdrjHV*I2}14w^p#pQ0ig!G&c#htQP+TAj8_+IO@|fTavc9NrYt`Ib7A+(YV)7
znI+rg&||6I51b~|4^&m<?rFO4yxA_LSg}~p&NRE_;X*eXoA3^a8|O_9El=zHurgA)
zChJ;9JNwOI8_tK4_Cbt}{*^bn&e=Gy*0`@{;{W^PSW;5L_p2O^^1T6Hu5mc)_g4H+
z2yf_YyzBf?kh%W%)Mw5gqm*rQt_D>`tQNQ^WNhkW5UVV5+2oKxsIuHL4Hup_C#4i;
zED`MDluDFXF37Jes>D`S-uvNaoN~>+>m2jnn;v>z-P+N3*Hf8AerlK~bM=NjeTIe`
zc4r@PHV27^Dzn6zI9-fX=9z2q=;7iZC&LZ)87;?Sq#hbhuXmG>%WOFw!zIZ4d#hW;
z`pX>hH>4g0x4Hc|5awaD!SoROHn$%Ku7N~9eh8DBvgmT}RPl_9&yTHWNZP_B;kL4&
z++ycNTf+_R87&Dr+%(!UTM}2e{g7HZAtfQZC1HY_23uB3VuPE_7f_h}HF3HSt}Ie(
za_B;|vRsv>%a-~=|6YNZ>Ha<HQ9rl1N%&<RIqccm(OB!G%yQh+snAE6$KCYN!w#n-
z2kh1eHaSDa=9r~EZirV*N_c;n<6vBGz?+L4hx2+XZY&ir+)$p?lF;s^u`Rph;Q}`s
zn<?y_8PC|Y6n3m<>Z;aC*s+>vU;m`WjEA?i6m~3S>YA-ppRi*g6MuS&g4Fj}Tpa6G
zH9YF#lF(ay;IOJ>N7>#LOikQc3oO<%wH0f9C<tRqT34g*E|RqBj*h=}(y}{?nA#+@
zJ{ZKYCC!?%fT^idYr%{qOl>E%9&mr2f2rE%(*37byF7E1HgmBEzhOFBvHO5{?(PSP
zI^OgDPiTGe>evjK)`a?yJ|{yX_lJ(veUB1%gbD_~-yqYP;NR!8(b_%n#?-|XH}XP{
zi0svMS9~#Nam9<2&?8&^OuQx9#Ba%U^tViF`_C}fGjjRGB3<T|T!&xF96jv4T1jn+
z@3mQK(W~FARPs&SF@N&0!?vrHp3Pvl<T`j*ru9)^-=X9wGDi;!uU1l9Qor1i>)>XY
z)<>0nhaRt&Y2CgxRB-e4)iSLQO8cA&&D|3#W-tB_ml}E`<hz~w!@cJ23xCX5{9(t<
zTh5cRZEhB)cOBxsa8ysAWGlDG-8W44`ERiD+vXhgTF3eIOd!X(+gdYYl&xkgHjp_L
zXd|;tYtcXVB9W%WmR$Wui$vNUTXwbA3x~P-w5u13GzD97^&1z9v>97+#qVC*HN)xr
zQw<jOB9Sg`ORmF5Wm?-+wtWpUIheZJq2Q=qK+INdp6hR#+FzSFUisG+tFR+eTqEx$
zYuoLz#`az_$2D5{Z<r2x+)fZ$eO6=l0fSq56%uK}M}mwG=m~t;&Mory4U=>H?gtC|
z>wN`Y`{(a=Fu0)?aAq4f&*nEx?K90Bb!?5_FdZz}?O=FT&%kFZx7@KCEE`|>Y~&W1
z{Fdpk%I*h=i+nwtGIk$mj@<nqan5wRw<`P9KWJ57Qmve{x?%0jy<d)~-I!$C6tsmq
zVl7issMdmrl}v4-S|2vVu-Z*qsc;}jv?XFu6UW{9P=UuggM_=zb}{QdkPKxw7%UoK
z6UfM46#^Lp4RLH>*WxIQXBB+6QsG$a(kDFKQzva^dZ(c&aWGf(1E)7D&+?E558JqE
zV!|C8vb8u0Ls<patx!0Yy7Y;hnU?Bzt{qF32;9FF#2B9za-blNRqou%1IHspSu7Se
z3B*o`tACT+oWvfn{)XZ;&9auQU9!o%-uYAhZ(X-8S-CAGUyO6J=<5GJ^Kbn*-o_Sn
z`-AQL_p`m(_>E4p9bLWY;0HrfsadbMcWCXq6mP<@ZYpor>(u6lK^H$vdd#CGUE`)J
zE#iEd?eK;x9(|ji9iQa5VOvgsj*c`>x6tB=D#u^WN|_*0&vN%Pn`^(=JucV9$->U(
zL%jP;G|wtoUb?W!(Qr+U0nh)5^LH$|xN&d9s`V;a*&j3JPL>gFUgUi<;l(r=D?_Vo
z`U}EWskX~aNSi2Am2h)`M2wk)np(SGPv6PSYS-)joX`CidwbS0rfJ*6>IHSY>jQQC
z>p$Mq`TI06_1Ed+tG?8SH>kc`FOVJef7{vmNBga=nS84JS{i&)sX{qYBww}r;oOwU
z3jIhsJCCr2{z;;bQiaz~*pM~5K5JFBMuK}c6Gz#qhNS6S5@o9o9F`OPabtB8!|xD*
zM-JTaX{Q!c#9jZJ;n4M1?aPMKEsTDDf5hMV!_FwpJ>&kQziJTyzs~M1sDEkx{@~L4
za$?i#en$lTIy-&FrS}4-qyCl8{{OS~<HwDCUwu#hFDS{$z5b>4c$x2vzpwu<KWiW5
zYG=OS`}+U>v+IRc?KqaKcJI&Y|J%?0KQ+<y)4ruoYTScf{0Q!zRTHhbQ?vWYU+<HZ
z24{N~=j*GQr%tR%(6r=lUs?DicIlI4I`xzMHB_H7uFaRJO$RI9>~*rz<CUcQ{Xnn0
zQ%XP21Qp4Jv>m&+ecGhin>_6HPMOo*+F1BS7o>NRzqV?>)hFH^bzgiTd|lOk>mu>L
zFQmOs`bMhE|MYsxVWE$fnz|p`)*O~v<Y8rLz3E2wY}vBBvXpL}N&Z@@&+DaAKi~N5
z1y&y6b<VsptvxmjZ1aPlBD=;JvSoE(XFc{l`P1$6n+@DxrSjok=eB#LwZ}$)mEH&{
z+IQ2?`pu%CqF}L;?$alIe%p03QG7bs$*ZQ!dGB(DZ}*ZZcc!?0nz-~y&E&+g8%x0s
z?mtm)rE~v~^znI<OCy{`JloUV#r#EQ$d*-t9mub(sxCY8W@7jhut_S~7ViVy9!-rr
z$Q!64J4^Me)vVgw&}ml$TrU|$2JJg+*0;;bJF7$KWsgqgg^O>Jvo|ei)0p(_<fJy)
zv;Vf5fu$DcWL~-WCi*o*>hz@gW3p%Kw%UQ^8sa~9_^o&}+x>d<|9xlgFL68GHtU=0
z22VBn&r>4Q|H-WO;K=LX&^7%L=DLaF+_cG)lG2J(CZ(8dpCfs6>n5LW&Sxi8JU48Z
z)9|n;GVLf!cgiG{hycF_54|G4b#bPhQ1LX_Jm<jitDD}43!j<hIVqve(y^hwJ5~9}
z&P{?3Yi@QFE}ZIqzw_dZEx-FGc@}(i-&d|Rll6`WW9&^mv6P)0LaH;Sq`G#`ne?D<
zl94TM@zg0VXGW-gW0qX9LuGo$!$mn&I}~QyojaY@5N-c&)!F+~&wRPET|>w6iP+Bx
zig_na9!lDDwrJCa899^Z=p3@Oj12MF=IB>1COUcT^vOqeXsdU3pS*VJ<fEibrn<Se
z{``J_yUX|NjyLKDD?Zv6Jev4^{_U-14+2iFzx}8Gz4aE83w@>kqb^^$a^c3tb#K3~
z<$Wt%F(WWJtG7Ng_kZ}u+W#@V2~lVBZ~ck7`l>!7FYETY++xGWFHMtz%w%qrX5>Ac
znc&ttW6Ik4qRl(Jw!S&9H#gzu{I$o=e_>MFA<N;mQ&vN5x2%NQE?Jv1#bHfJox$l5
zZ?4#$DSu@rX7QEb@|>!Um43E|M3&1XKbqgm7jpkr5O~VoXLIpVse@}`dXvi59Wzi5
z|7>6${@GAJoZG-MoO`1}c=v`4&*tCy)4Z^A-To7+u58z?Pblnj+OXtV{nnrF&6YOo
zs}yqFZ_~M`e%=uS1^-V52L7K6HI}~-@h%o=OSSB3e`?v)FKX%4FxAqlBh=EX<)Nil
zk6qc)oek#ylfpUT{&#P!ob@wu*K~EkFMo^sTnf$gMGw!lIeB=k&B^1J=WGhzb1G0G
z?wN*M+!GDEdCxT%=hZ*eP?US7At?7m!|>hz)ArkbPF-kXlDOgPm#x?SH$AN1ruuUy
zqjQ#B=I8p5g%78<eJeHf{c0+kB^4DtJKFHg`D3f5{Zd)KnBO#fYlxm+*Ws+X+t2Ew
zzw)hpyYK(Lg`#`2T};+g)ZAC}yt8kipz@iI$FHVrl$)vkaq77_%Ys%m{H)(8b#P)#
z@1u-$#|m`9KNr}9e=by7&n2?>vr3y`<)rqFm6Q4lD}x$7Rt9x&RtB|nRtEKWrmcT4
z=|^+C;Aznd=VRPd=O!daPxsq+b*1r}9PMrKZE<fVspq!74$!-EyuR=yi*ydV{!0<i
zwnDz>hgM5ktwWPLde5HK-D+A|uUY?~WS>WYTKp1=Y`#s?c71!4(z)I&wrmB<^)oxR
ztr6RBZjQn!ql4bl&!{I(Kl9<1YIViqNws_a7T4|7Ftf}$yW!@-svD02YI90gFmAKF
zB490()gs&{b@ax(+cIa9+ArQ$73MKuU#cR0;qXe~lJZv~cjs6+hcAD(aB}eXi#J1U
zyXqVDW<LD8-y(%yK4w#Yxt`^LgzFofd5TU7R_%NuVf#Oa=g+kIze{V|XYtu@br(0j
zl9idG)3Kr^ZSKwKJFeWi5Vm6Ts~pbG32D*7lT04UWIL@BDcGjyu4Hj9;q>Misf_Ki
zS_hfCe>wMN$Q$m|-8984n6v8Yv(+NCGFr~@?XMQr$FHrt)|F)>Q624<<*D`}d8+H3
zDJCZvvY)N=F}R=_%u{r-Mj`68>XWu*Q9LI@U9<ZOR<Jyl)jG<3`pWc`Jlp@*AG>Ge
zH|fcS?|)v%-N^I)c7BuYNwL$@boYPWz1rj4?#)lyUd_8yR{k|C^!&LW34PyA?0dfa
zt0AAUvGHTR%Y4T5#-Gpd8Gk<0ePYp>1!wHbmOuXf*EJ=8EhQ~AYelQIRMz9hHmeE^
zJr1uax`nSlxEL{tRbH5Mc&e}HmM0vtW(CnkMIjqH-K}ySZsfaNvFI>gUYGV<p>t2U
zOT0I(^OJ~d3iaBSypHj<%7)3|?m?v{&%W)NfA!CV_N}~Ib8`aEud6R#zCrn%(}vA{
zH|7^i6G=N(#gTTjisww<*BLW}r>8PkKh{zEc05u?rgQTR3#s(N0-bY@k`m_}J^aO@
zyI=BA=G@mI*Y8EdTspT_xGK`2+c<>l@sUc~Bb>*wcQ$g?YoAPreePs6d429Vlda37
z4FdP%MQ(X=sgZT^zpxw2o>^TruYZyuXs0_ZY2KRM!S3xj#mvvN6N<O}6ZR2hZ(!K8
zxnrIdcgj@v!jBs!zgDr-54MU<n0UqE|Ekm5%${7HpEPYv)s6V8uV(75mirdIv+9}G
z>gCS4dXv|m-?rt-G6Vf%jvEw?B_`DMG|y{z^lAH>1(P3T@Tx|vUC0)exuWxF(WzB4
z>bE^e%H(D<nS4lySM^7bGq29o0O8ZGmV7;$QM^mJTL14S3HF0s66|Xww>F;>{UUNG
zt5k1u$={{xcIzAz;x+y7Ej%kL>Bagbcg<e>IJ;H$a)h#U<qM(ZcC%OKFAcuHQTUI$
zuxVn@yxfyp(ztlPJdsg7EBAU;PhZ#(K8?3#+DAj{BMyAtS}9iBqx36%>-;5>^4f8q
z&y*%zfBT|il~akq->C(rt6G9)EKFfBo_gkhj;gU?^hupFfkx8BQ_mc<Q7tx%KWU>A
zU?k5S8tV33Jk&(F)z!;wL&QlQpHL&={Q8wiV!N~-U;Mj%lI4q4DSCEV{m&mZPx@K$
z-`jQS%o!`J=G4zT<Q+Om?Vxt(Br&y>QYVh3h!z>Rw#M)TEAn3sIdQz?X5`5LcdKBt
zB_DoGxAObo7ImnNN0xutNjL3X|1<Klvh&X^Hw-m9V`j3<x-@O_1rg1&Pi|k@8N>Cy
zZsjr-+tkMqol-BBE>x@Pj^^>2ZGF8oZ}r*dg**Jh?mataa=bmI{;|C4($b5LtmnkV
z-Plu3U*gI;v2EE!_gi6S=iXd2^=-=cldE*@&RKW<!cP9$dR0q@nPU6xHo8x1-_V+{
zJ*V>8lyzsXrdmIpZJZmS9c@+SaoMuTEP8*urlMoLsfmGunYmcv1!2R0Yk6mLb!DZR
zMc;-+?qiP0ny0(z*}}GGNq_3kcKtZ}^vCR!-?~{Ro*A!gz2>xTW|i3~sg9~H+l1yd
z-=dp1x9!-Fdo;ZC{>q=s_bzpP-E?Ma8uynITT9PwNn}5nwLVKhVvV_k#3J(<FBGMd
zqjqn(YWAS#P0Q)D*Ih^D)TGrmm0Z3T^W^SpmB-m;@>{&jBNwxp#@)X$#VK4??MJ;v
z9Q(>7X8DpEN2*o%{I31obWbMXR^R(6h0RHOLzApjmVa<-=Zt&3p)uSl=h4z15doaj
ztv>25U09-KBc6X}3QsO?h1xxPUB_L2&x*cy_O@Zo@~JXAb7H0Zv;XgTc3jFi;4gdq
z*XgGAmuH-ExBj9Xnv@fwJN3oJik-bPy}nG`Qtxr_?#5%ccEv1{YfnuMzIZWg{&B&Z
zJK~O1ZhO5`KkhttVN-Qf-h*`}zn|W2&j07;%egNrB$#=&>6Ihj+)id(ZR^}rxK7vT
zobLqQDR1N)4U~G;3%~mx>?N-K_(?;;nw+4l*8zJZ4BX7BrX^gM-gj!&`jiDTWmWy>
z_?_9Zzkf<^eIBFEwA7Y4)8`%ZdN^ZEeu%}vLS6F$r_;W3t`u=EPf0G1ZV$RHuQL0~
zEvpT!4og{<*NZ+^ydnPDu)yqE@}rnF#}8Fzc1C4&zrE;RR=U8a`Cfsel>P0P*{4OU
z7WbRft<&>2e{fX!%d|4_R|`)2^GsLsOEeJpGHITet5^75sjT`1{T0&PmlEpsY0TbL
zyp?rVL4;EGQGvUBt0pwMKGI#K#HICN(wd$gHvR`XYo^c1xq55A&S~x3c&TE?y-#fT
zj{VF@w)>mO6`o@3ebu2L)GU3v{_D74=ce^nFB~Yn`D5byJ6_W*&dv-wGwrI_VaLY&
z6WiwXT$-^t#ISjmOtAiG;rgW|4aeASR?dGvNB_K~@vG7~3A=PYmR)O?wn~oLt8)JB
z&j%YHuKd)|BRNCqb@0)zf2SuM-YjjcbMj=8PTP%X8Ixo-T2~8)b|f@$NlL7DQaiSC
zLhDAYl;pJ$@;svNudEf;-c+(#yLD;OaSg+!RIjvzos(upEKCs#)0){Env}n&UR~!c
z&(huBRv(#cH9wO}YMSW-uZ>kNjMmP(D-pNWiADQWD?1DKo6Sa=I>7=>PcJ=bdS3Ug
z!}_<9(vH<mZ0}Z1==`mf@^BZ|&59LHd~z!%bRXAx^01TZXGN6ydUfX4qNW*1y-g7j
zs}#)Av^tulyJU9kSg9bFr`geeJLphY+xdEtmIphVBz7!NQ1jF1Xr25>Mn+&;+^WNx
zg@pkc_mcGDVi$EUm0&+OQ-VEK<EX_8BhQ$oDKaI#<#A$r*X`Y?c=?7zO$77tWEmFm
zWEnQS&e!)21kTG1?^gEe5MCp=K25agck~;lxQ|)aQVZt`ZWV~>+$^y}D&4TqH|*A?
zR=%Qo%^KOq3Kas64Geb0y*DuQis2KHJ$U!XL@6%=Cud%s>jA=tk6he);N2UOv?$}B
zd=c8~dYR6=vr1fHahTO0e)e&J!Ym$%-N`KnCrz~3VKDcQf~b;&hILHC3%7_p7ebO)
z@~56TV6AHWG47;JO@NWK{**J0;i-}_CBa7gcc-3lujdb)Dk-s`D{aYz;FBCxnll^k
zd8H*yU-hD`)%4N%iC$-p391%v2tFAj6KuqPeCnCwsvkX%C>dXj54D-x=e0`3*GgvP
zq%9ZxuR56hS~a1$f6`8S2fese6WYVIo;=>VrSQ_rY1{aIMD6){R^s=Dymi9sy8U|t
zqGkr?y)-yzD{Ho)-n-q`X6iM|qq)2lO1)7#?|+WCrE>7^JoiV%s~EZT9@>SdMDHs2
z<k09HzT;i@`5i3<8}wt;XDc|HH^0;ByWl6TJb&w>zBL=QzIW+$`L7c>RbE;2GHTb+
zXnpA`r?*$;oyuBPGy8d))TNtYd&**7Ov!vZVddiDqoyA>&U0Em?Uqk{c5SuZc|FtE
ztI{05x~{J~%*I^%g-v+xS2pgwU)ZE=zp}C0rj%X?nw^~#J^Njj#|2+r-jcPV>yC+8
zZGEI&7$2)~cYCGUYu1U}x4n;OOQ*8$-mo|Dn!B_3Hv10$L#-AEt96$fuU{h^u9vf4
zOh2c+?&~JUJteZg&Yl+IHQngEr+!21iz{uuk6PC{eO>jH`(t=^>w{Hai!Bv?{Mdfz
zst?;C^OTLAWoA>?=d7PPm;a&m?b)es!}o658#u4x<Ed|Ak&j!}<>XJ5pTiq?kWKD(
z%HpEv_JqhCvGXcEED$>VLo73Ny1sY*v}e|ruU&I`$d<WJLg#4Q`W|2Jy?)o8GI><1
z)c1Ks>nvz3iMIP(UJ_lTc+I)M?ppGLm^H_b+>GdNHNKQ~;>qSMTb3_fsvECuuPQCC
zbp6zJuh}bW*8XYPqPl5I`IKXy4_7fCRNQ;;faBhSM;P}WJXCixB*ru)$~tNO7P+F*
zpT~06*`3vU{eJ4T<e!`V$?>Y*Si6u-ujBha3E%Kl^#P|dd9y6nI_NI{y8P*uUq@yy
zR+PIk@5koTPA`ugiIdd2H{-@)r!9v<I@SpvwO;5d^5t6Yrh8F44L64E$&dRrF<GE;
zw@Fd8_U*aLH#j?UmSt(2-O@JehOYFX&oBLNUp~mqW`43L_85zF>5T(yvSuHr@<m6i
zKWujG<%VY2P20HM)nD7N{6PLGDa-$n%eHcwFL@c3w>@V1vgmzxH@WXQ6}!abnQ7>m
z1@(8P`AR>%ty`U{z3{@@X{R?`)+pKibW%=g_`bVEfqz`hnh(C6ru?bn=xyES**90W
zb#3?&d2g%izSz%eH#oUZD7oUYxR7gZx<%_btvN}LO_p0&9M#nGE9&asTQAtUSFkQt
zrTfj)Ziy=rrjk3(x*Bh63f4LENJRScjuM$OPesHhTOK{kttTtLS86ryq}<Gt+samE
zYrRu{{h>5?Yx%Uum-kF=Z?nz#m3MZ#<(+E*?d`r-_k~|8-r~O5)SIi{WXgk$ZBiAB
z7M8qTvrufFY3OcU|H#aF+^xNPlrmcC`Gk3{Co&%{xpCyR8}BxQ5b@i`1Z2w$BHCkl
zvR3u^sm9c0pR*KIvRLKB*0*v(=VPsuhb>$;Emk^x*%G2CzI^to=&4+b=E|j~H2jTv
zvPMQgCUez2XU=AkciJ3||M`lN5*nIjNUTs0W7F*DJt(_m<}&5^drZ{yPBgZ57bQHN
zR4-$(Lx){&-HFEb)kO~;&k{)qo}t9fvUo}9`MCPeD+2vqd|0HwCa2NS+0K=;uw9AW
z?AK}I+y`}wMP^i}vWvx?YU~v+dhlSU%#I2*cDZ?{8vE6I{|MX{*z+N+W6kxAKliRT
zP&Z*&zgzNFqkQdbt~aK|Pvd9ae-lu<E%4CpC){uAOC^^1wmg_-CK%+iB)<KX*5aHj
zt@Pv;DWROqN6Sww^ZMsr7GQWQQ1xco`peqKZ52<Jb%<}ajhfAI&@)$Q>8Add2l%J!
z`nD$gY)bCsaw&*u<(U(pD6AfO;*h53row<$nK@yK;@3k?9F7$IRH*!A(+s7g_X!zm
z7H^oDH|wKgNByaa54$gY@4dRVLd0}QGq+oEYhQ@jh0W=6M8x!OK3rR%XLRFQ!@5fm
zOU>=~Oio+D(R`@pibPZD-_vSq8y`%)m+)X~L7fQin_s7;6TiAjuDtd&CQ&KfX2t8q
zO^?}Dgj~;`ts*KckrBIp@}Z#E^TKVJY?-n9rytY0`ZXo9&8gJ3-aT0(&gYsmhute)
zwzw0oW{JGs70q5Jdq-@~OXd4vv%MGZUL!5~TDetu;dkZ23G05QY_RFL8|2*7eMqhO
zV9f`=4RVK0M5eLWrdH~15(ztTYXt}AwABk6mu6)obxKA32;$9sGij?Q1MiohX_s8`
zRX1O7Kbi9-)QJ7?)H6rJPu0{{MXXP;yS8%1#6wZ4$`OlG*uu1Dc7}STB`&py6S@Cj
z(xF6AC5t6aY<#OGbTVtDB<|<Bd1JW~-?vp0x@`~1ZEI=r%?RgJeW8}~#mS^K)5^yn
zww?FPg+yWF(i?|#WVabc2kSB4HNA3V_Jz0l^P*m??95&~z0K;y+J$<*GFG(L)l0of
zUez;APS7uLdz(;>K>GrvRB^+yRSLW1cRTZPZd<*uF*hqCX|+^T#4;`K3-h06YaC?a
zHQf;4?5lGrfV;c(<=4w?Li%=R_C)4t?3<T9dBfM_>ysjcb3{BdyKGc)R8D_>{P5VT
zz3UAY&dk;PZ<d-8Jf}UO;eyCQdH=N{YM1LT<S!3fv9R%JRz^}L`@U6L8<y@BwtZ)}
zw=rS=y@Ur1_Yxj0m~imI-mm&sCnR)ANkyz_Q=7&)bJc;Qo%}C(w_N?o#_axuP1xOf
zL-`hQ-ZvLj${H(ulfTX?UNAF4b{CJVhnd_`%aaGI<BC2`oNlA@X!7@sTMQ?4KQQ|K
zW<_u8)wufe-eSi-P3q;`^CW>wf9H%--g3)6PwJl;+FAQ__Fa>R;I$hkxmagxo#J}-
zfMMLC(zD)Td><$EhF)Ez=cUK@Nsphs;^gsvCcpU{U6baQFY10(qO$$q@xA@rvpU<G
zo;}#NVCN3?47qn)v-+)L(wfxvo|2559VcMIZO(G`SgB+2hx)AxF810iXT4t~YSMJN
zDecju1vhtSWbkd{nAIIR=h!~OZIf2BOb$Kdb1)-u=K{+JwG6#!T(jEsS<fC9@=Kmy
z8>^DR!o8CxN~gJ-b#WtWQ(EG_1vh7?XYf7an$>RE^eowIy5#KCH0kt|_?ElM8ER$R
zQg?khXEo1ldY06_;OC9{bD3{GZ;WQ`O)#CF*`8X}oM5sc@`6r|kO{lAWpAzGH|=){
zde$elyqXay#JfgZDLO(ugYO#Gtaj6;XOGpEZ{$CG?qT2Si?imbX6U7H%<4CsbIeY`
z&htW!kBEu*W7f0Bcf9y;#%`VM{LLFzMIF5Dv*xiXcXs8;qgipEHgrv|SySI5XY^y*
zk59)uCL8LuvNl~jVB~0QXlr$pWxnwLpbs}^DE^Sq5v-9D<DS*uI_FrP8NZkbvn|V6
zr*g;Q3%(a@N}igSy*u~h)0us1Y<(~I)O3{XeNg;pGwb}`@}~0#qaBMsMqRMkBV;14
zZ`oVh@ws!s%?jlVJ-5QAsfRy%IDR&)Z@PRVz-GDgmcuO0h4}|F5;_*le4&{k#>O?P
zm$B*Dg8d72zEIDQ`^7b@-!4X<zwM3o*Fa_IO~1`P%<2qoI)5nAadTnZ1(_HD6LE3Y
zvxik3KNoJXoAol`#Jw|;Hz(BkUhugCifz`j$8;Tw3;Zv{+z~h7pU!&rxR_sZyvTi(
z`izoQ54gmAMB7;wH%8vsd1Rlqo#O=^A0ZRz-z{HaWCTq3*>|3)&@t|}RGuBoR(!X)
zHoa!cf}0ZB8GOgMXSJW?y~zCg&4Ig?%D+v18tWOqS^Y$xwf9{P%h{vsj*FG!oKwmY
zy3fzs<MN@c`(%-M&vwZf5_dT_1b)o5Rgau;$i05aWp#Z^iR0dSzuaFRPPu&T#|w=k
zTSVksHfL|jT-`5l^N57wW&_JxwLWIKU&U(psyJtL%QZcFxOjrN{CkUDUFJA$se{6f
z#veQ`yvRHtJKeW(F-vdXvZiNAOBPgGoRMuVZPbj}yW!hCwI4n{qBUY>9Cp&yEN2hO
zIu;j3U$BX(7dMfQclVDqzOk#M_gtmg@03rHdPcLQ{W)f}zjKO_ziZL!oBw_%SIXtv
z){dJ$gk6x?BWPmvzIc|e_3>gy<q9!bqZ!i<<sQs<ux`Q39cme3`@~Fkb&8s>o3orf
ztgB~yP~__r<4u~c<}J8cp^%{`$2qJ0c+<1ToaGM^e$6;xDqKHXYZ>>f*371~<W&oH
z&d|w_Q!A93t7R}>x~J*o;^he@25}dBdW225lUdImlW;6Hh`M0YBV;1aY<YHh?ds_Z
z1iy%xST%6X>fG0qws8J}n=kY;_}E<ZIX0f=Z?5c2;1HjD^~JFlR}T2ST3F*Fe7NG|
zp@O(ghE3CDWS&m`zG3F{`kI`sGB<74&-)!Oy!fSd%W>wkLq!KYwjXM8Gp}uOE3a)z
zOYU7@8KIk@7sffOeQMLQWY*;yIkRRi5IpmkOFSp;IfolF_oB^BN7L4}Hl-y_TyW8M
z@q~HJGn<|zO<VAD#@WoATI28w9LtJWIm0Z%Sr#|`Y)VUNTo4(dkzpprIjg?;Xw$Q#
z&IOe}f-cnPJT;P?BeUF6+1gr<b5>_}Q`*C(1sBiuEU>gt&#*gJAho-x-0K2|U(rmy
zZN0Zy7dHwwr9IlQAacg(Qps((Hfld&azst!Z(H=9-D=I!o3MO=<PB9&ku$5+wka*S
zdx7N*^$fjl+_T!5=N!}fA}eOnq+B24${zeF^<c(>h?0-V8)F|U9!!6@V1eZg?F_qf
zMN+r-_==lY{pOn0?0E6vy`<}3s$AMLu5DB+FbmtV`l8{i(&?HtKHSC?CyzSYEo%QA
z*jd_by~o9Uf5v%kv4rD`f6HDA%=={MyXS%GuFl7*((5Za^Bz`o<{4C+JbofWF_Pc1
zUh=H?YlY>D-^zXIue*38V)OjR$+vEt^XA+3X;OFZo+pXw`af^TPQ8)Z)OgWn!)g}g
zijxNl;*1PCrt92!I(hrYIn!lI9xLyvIJ(<r&jVF?|HYHsi~moU&Y|X7U9~}H&#Buy
zJe|*)bh+9;O=?xHabf>mdGcsn+@}rg(`(*zl{G%7Uv>C%#`DC}dlp{wK9Mukyk~k2
z&vVsXdQVlw`+q*MwRx&4e}2{d8>hC2-Kc*Vlbl<-%RBbD8N0lFU~pC6iVWLorH6al
zg!cSi^63Jf`_10?Jy#Q(%vWt`KHt9oS^&3yi05W4&xZkS&76KITIXkZ6>z0*nbXG_
zmG@xEwAmIbpNiFg%ih`B9QE!&!?erq);*Q`mbtUvcGoM@0$1_73qG%(c6n#SX<nJD
zMZ(9o+&NUJyS>o&v~11Vr+VwMceYDMy?eZDU6pUlHCOZC9ZR3GY~On4fUK^0Vfbm?
zJC}>3zi+*BFjTkvqr+)in=3`~{UukkA5PNSHT6)ku6o6yr)=}Gc6QdMN98@-Iqi1E
z!l!(3S(bB8m0nGLyok?w$AZIp=U#7UFShczero0^{|J{mOBL_N+_r38`cbV(-`IZr
zPtTstcV~rnaHOdgNY0pfAT`O2X?p8R&KR?0ss)xaW*vB%<i#|-{Um3Mo!U|J35}{B
zJul8oNn#Q|*utX6{8)I0&l$f5o9Yep8c!el#G=QpEwm$Mjn9Km8?+ivAAj|O&1j>A
zou-xeTF(bc5wj1ZCd4vL@BGRcBeu`$!KRIhji(Q8V$s{R-}}L*4VsOok3ajtwrPWg
zou<|7T+ateH)b73O^9Zi-uad@MyyPw;O32)2c9N`GfnTl`*CVka^jwo2b(G;cmMpT
zR?q+Wk+0peG%fwF6K8BXc;4xe4vV~X$UM35h@c9=*NPQ#uMG>#uO%;vRy&vcur9~S
z?A_5SnLC|dckGaTy-@pn1b=L8Sw|)pv;3n;3ytqTj^K~&JXZB0%j8<}BgZwz4=vd7
zY|-btHzN9*4L8b(FHW|Vb30m9)6-+E?X#y}+iGt8W49x+UFQl8C)={M9j)@=>HQiZ
zA@q8qp~bbw4<d7J#`5&|9zWa=(ck~C&^Pg6Y)sjUoNM~;0!s8=OTRX}pnffRQTdwV
zPU|E3d*^MGW4BGR)!TJEbYbuI!sPyD^FlH4^^bMr;*Lhv>{+v~Pa!MwoLTCtnd<Wo
zUGPuxWpTC(toM1Z7|gX-c*hH!AFnH>H^y;ZGP-Eoc-pC-MNj&!@D7<0?*~O66&)pQ
z_xL~f^zq&Gjl3xd1vT4V^n5e>*HGeDJ^4WDgHopHo$EgCJ$+<B#pKq;k80A)k9_%l
z9iJWZrQ5vng>?R>i_a(TU-5J0y(>%gTBm#Fu;|;ZtXb}t?46iUUo0elu))QS`LFO#
zpD*4|Hh-`Pw9NV9J7r$;z0MlFD%DSwFJ_*2zSzCEDb4tUjc4IY$y>H36JJ{1(w*3U
zZc}HCTAb?3TD5tq8zU=b9Z6f9J!x05fl24tqZ3rj_?su+sNwNS$X>R4U%dK;i_d<Q
z))<>y-n^||N!~VF_$N=z8I}5vGbLtd*zRKWe6l$EzKb2Zv(V2MHM3U8l(_jmSzMf@
z=D1n%#XObjoH*a22(!&<pDyk+o!FljI_Zm@u<fK9I$wN}Heb~4?BzS*nWOhhW#h$}
zW}Ro<_s55e9X<Q<*a7iRY};SOABl|Fb0w;2_P6zqbXd;U$KDJ$p>y!<@AQpwP4&xf
zIkTF7l0P1)V{=ii^Q~l%h5Tzpi}2XqRW_P3z2<^4o#v7jg84>;7WYyf2JAU`>|n*@
zX6BD-;=dpJ%B?#(yXH<`t|)VQvhbqCSLRj-AG}*Jxv~1An(+6>zG8AmX6M-Sm|Iqe
z<QElc-+S^fZO_T$y*I)P3)RdNjay%TRBNhV|JavJ@7Qdgm|pY99YXn=3N7zFc@VVc
z<k8lO$?drx)#Ps{M;|@(@<z)Vk!{Cjb8I^@o99iB`OF)l`I|Oa-%CmM-*fWdy^6`*
zvKvb?ABU_te(+>Oe|POhIsW6xwsw7?;yGOW(OOG3s7&ln__)44@N@ddeIjY9#zJR&
z(o77LXVzal@+HNM`|>2oTp!=G5(Cwl7mv<KapRvoS+X|A>q--wn5x%=bA8g2B{|Jh
zjHP~P&AfQzSBe|=>q(NiYkbp6KBO8g?lwKyBNwJ_{3~MW3RYhopD7A+J;T+Eh1Pha
znQX9`dGW}m6gO_~$&$G}o@pfpMl&xSeUakEzj%^lEsuBol_oJU6|V{Bb}3Ji<ZM$n
zmXer#CL<}vXmRVulRai?D#lhbW}JDE6tw8qHbeV~=MV02u@f&A{%Pam_vEwT@9R^`
zyV|zBFFnXFIRB6D)2(v?(;hW*MOLhKGTZexs8Qxl;L)OwF|9T>!HV+!|J|Yt4%K!2
z^f<{Or!}+j_SNg(it5iC;ZC*To<8McPS3BUT^B#PoUGvqH29W%W}lbah2PVsmjC$J
z<F}{FX+wPcyl|1Gzg(Z^G;NFznHRp~WL;$Cr~D@~IqMQFV*|Oqd7u95<+i=b%gtQI
zEA8=uNtQR3r|8YonAyJE>sj)|rj7E}@579m)^>^U)IHqkEP3%jkm};s^>V74Hw2!{
z$q6!IpFQ==Q8CrUx4l&t@AhI%w*NDGSxU*;^((eKxZb#YiXiWqaHCx^K}Ouyr<^%f
zs#;tSd@{x+%!r?V%9-PetjYGVHmg%uo=-V*fK}DFF!1Dyh!rVjV%jsCle-dI{(ktl
zV^XEW8lTI@IbChO%{~$A<yH`7m?K(W@4C7t<3Z1)nHH;4#Lj8X?A_b7ar)m=pPG#3
zwJ-L1_IOg$#`x&CKoPr&oyT-jU6{kCo;hNwy7|M_Nf(VhPs-d0Fyc2C?fL8WdD|qx
zHz7vMucw|l67H3j*gWaxjpZ3J-?Y#9o~kH$zb?gYn}$U7{o`S($~WTwE@C_%bYpQ(
zMne7UNi%P(OA#y6nAywR)s<+k6T7_UdD8Srl{eNVtf_H-qV4-_Ro7mvl*IX5H*c(W
z;^SK}p}Se@$-@a;KP`0hXB@nCNPKF~g7%s>Jomob2tMf(Gu7Ph!?WXYrz*_!v>*R`
zvAE;-wJm?AoN=mG-E8P^QidnQNW6K<8Rv4=WbcOyz3SZzeD*mc>a_mua!c}l`0$jA
zZ%=>`_u{E%j!CE%8-$&-xfA;A@^M?%<kNTAz1%)T*xfgncE~!_h55SZ-cH@}_Zl->
zr=K#(-4kTQ9xEz(c-j{EsUK_hgd2qIJN!x3ID7wOt(4?`E=!AbPI`SS1!A>VOz2ns
z6vV#vvxi)-={d%FQKLeq))!IktulAQ6ve-Xo;dDY`K~$kpl+qfwh#N4JBiI(J)u`z
z>&b(eTswEHc9MIyVnYAvq9b8n&7Y+5-3!>{D0=qDb*+@gtGFz8EOOHOwt7Ole&~tg
zLY42DmwxA|G}-nkZh@27vy~HicWOOJ=;PWsW37|iv6F()a{i%;!ol?+Ck~~EZZh<2
zmGKEt6c-LTakxVClc9ItjiRdQTo<oy;kr0C=xcOwpo{e)uE-q=oXql02}XaZQ$5cX
z{rTS1^TG!wmCw|g)mW;PlC+j9GGeilS=j0c&7oRPl9qB+Ml5u)oA!Uxr>VVjp6n{*
zT5f+)isM{O(9eQBS0)^~D#3nmYrRB!W33_It>7dXv318Sa_)2#TI`T~Tu`We?BT<*
zp2NpwYnMt}toA?T@i4Ea`9WOI;RAmq+B?||`MCL$Wz6J`TFA`lD%^2H@bQNY=Eoi;
z_wT#pJLj@`;<63a#~e3kA4^O~?>T&An?!r-T0=hR+sQI~+m2Ygm?QW2LxIAvhmRN3
z@4KWs=em00(gKZRjs+IS5+8W<96oYUqP;cQkWcz}vJ9W!5sR2PSHfrXa#uAscULuc
zdsj8LpRQ`|*S>oH`3at!f1ZgsdpZ+*zJ3q&3g((Dyn|zzO2NgYkxbJ&CvwJ!EmJSJ
zIb-61rwM6H)4L-%W8~7*3x3WJzVE>1c3j;xy?*gd&KQ=@LOXcQ_&+e(sL*)&&><E*
z>29GNGCbZ7iVSTUPap1J(c5L)m9HSY_|fD=#@DBF#;|w`@8Hq#eqglGrt$QlE*3p$
zZQ&g+9$7Y?KKhD9kH1@ZN6nhfd<E{yk0vkLeCHO6UQ;P&4BIvJf|)<G8c!c;W6_hA
z7TzI~<Mp7vXrtx6pxmBrb4v;N{6*DIDkisgepHj6Z1*$ntbAF5yuq5&SGSd9X1|p9
zGy7=AGx;ku;Y?CSvb;Ru&fJG*)rWjmS30wN_T{fR->xKDmAy8QwJf=D=)^Pob&d_M
zS1#T=@$bw-$HD?tZ(R5_cb5Hwt0Kovq%>WeWWrOU8tA)b`igq7Yn~y}*{Z9WuL@l~
zD%JTaagNJZ!)d|AU)QL)d4)6u3SB)=(V3Ox5^;9J`t6(M+wo0Z!E#td>#dE@)guC(
zS&185wi<dYvXamUjO7uy8pEZnqIJ-#Gb>@Li|NOuE?YOmEV>n;7AUJTafRNrw$N3n
zQIUWBrmtYRtg@<+Q|RiE`jF17#4eYuhLMY`W~c<l`iO)^?Vqixbx^D`D`B<EQfaTw
zti;JKOQqdAUnR7=ly2O-)u!a?c9Dqfc_%M2ZnT@5nd_Yxo*E;paPZu-PXUr`H$}Fc
zWITB7+52lX)?67$nqTrKzGPvJYH8WU^7EFWTq9%C+q3p-e#)`mvbyr@^3M8_WG-ow
zDcjsW%U}Bw*Lv-GdPblhm()Q~N8^IX3qEtQ{!N}`zbb3t$MWq>0&g<^U7lq>O>kFh
zm||0N*B72Qp#s9cMUEV*W8GNj;3y*#EFkVKdgQPm>&L<fr>@6evso1@7Avsb)9UC9
zKU*L2`FG+WJ14sht^%BFTSLyx?V53@{^P4RQGuL#9wE%)s;fG^llXXe#EKoBGY4)d
zi0a9E+@oP-q1~l-u5(el@S+!wYnFUAeRgU7rvB>k%(Isl`nGbIt(?%<tL1Wii{O#4
zv@iMF;=C8ScQXl=gex-t4LRX*|HO%4r!Nkhm6Ssx)n`abR%lgm2|sB_*drq$q0X*$
ztlq0XH=!-W+%R_4W!vtM?S`SNtY;`)jrE!KN>0tQR9;xy`_hJcbJpd)x#Vzuy{eVZ
zhKSzVFHh|EXy+6&tKa|3nkg@HWxnUb)=7djvNK;O>9oGi@+ffT<-HT+EPQ>+Ps0x}
zCw**!jkx`%o;fD0TKpm6WQ<La5x@S_Gsi=3R^F*!x^|}C{k&BI2XjS@3fx<L?u06G
ze-AlvtX8z>gF|bKjmRzqZT-i+r8C2HI&_Q<&G1$EY<lH@jpfq%CDK7J?k-x!QfqR>
zL7&(3;^wEvP3xr=mefW_P7RK@yXG;=>MeH;aOs+F40@0&`>7<?ZTs`DIk)}?o#vdD
zwX-obDlcj0iTc^IFS{-Eea__@_i#<%^A{T$Z%zzcu;W2W_xlAI@2^gH&@3hOV?~?V
zF1KfU%*?i5OT8U^GppKnNp`!y!6;Fq4SuaJzS(U$z$L(YLF|~dVNhcjzw=$K*E5$n
zMOVJvI=gm~zLwCNR{?RBp+`l`JVTm#g{~f8>-4%V`RE|e8xdFGdTW=9hspxCY>X3;
z%@KALk9NIySS;|%#+m19y$jCAFA|#bGGJeXa8U3LrOBLnr&E}xr)=u%o}?^gp&jTu
zXYvZMbKW7+;wr0}gN3dhy)Jb1uxY2)^Z9&FKR2)3@;-3Fy0hZ1Zf0(G=jHt7^&tIa
z(3#g+9^uSWSDj8V&RIP1<R;nrkI%$9eKy3aN#9VP?EB{F)0nPzlL|L&YT-S3)^d*U
zo0gmBxypoYoaZQOzUj|hX13;Of!BuFXX@fM%x?Va<Xzd3P*t0uU*qfeV%8d?mckak
zSz0dbKCV@4c^Vy^v$>KUPHVccW1RxuJdKX-+gy(xu5J2Jp{{szW^!}Wg}?wup8A|1
z0pZQcy6&FKG%Zdkt@JZkT$HP}Xt_Z=ABUJnu#tiHs@XF%uj=|tePy=HyOjO%l3m@F
zL3a<wtbCUox8!nakI%HfvD0;ze28l0i1Q3o^!{$7AKLN2_4NF!e^zugnXlb!9(PeU
zD7w1X?rhqHwYpD3*`F_*;5YlWR<;#qSx!!UzGi>2%z4w2V|<}0X7^0%o0w0X_{pTV
z%IN;Ru#<nLo%$kQ5pgm&LZgc%zH3pV>Y|LK!X?i|vyE@$*-U3{GA~Mauu|s2+3+VU
zi<pf=Z)T~tHeY<UVv-(jiPfPLSC=N|u8t)Vg_D#PH6HHja5H@5;_4#oE+Tj~>4@gU
zW4zz4)xY7<DErEp<zW!V%i9y|EbM&s!l4M$ErxE4&A~Zaq=b5coSBucUO2*Gy2T)d
zH<u?{GhQQYgT;h;)eM%`ti5^%m5cKhY|OrrooaMYYGzKtRv)vCT9+O&@0_u7YLUYR
zCq5pX>CD2XTa0E#NWJXxc^x!8@65AHu~J5|!4|6yv(z6ixpAOS)~wL!L`H$KMeFJ!
zhXPkVo|tLO!qb%|dopZ#b8yw%pt*TvOLjHh4Z3?|-YHMH5|eN4u^(OLUe;1)DHc6)
zz=qXuql2T)nJ@wA&(WtpM^D)het4CDqq(Tjh1gb~F9C|&^4gbm+H<~4d1@<Rk*3LU
z(1+E~z}eA9Cq$s0yIbVQv82dOjfGpUd$)2_t)9?mr<Jm3Jy+z5<xXb5!sqdD{8F}E
z-n6^2Tua%<Lv4rlWZpZIPpZ8O|5Y8DcW&tihgHHhQ(m#jd6o+I&z!e#_5C)R+sBvo
z>g5=RwpaU>oOtl{nLk%a^Yrs35A!ac&#GH-B=*xwwV2E$vjfjfI#~ZgEy^cu?co_}
zQM;RDmJ~1V{Kg~4`lReKfA+rP6Q`+dV@%avZQE<V_Ge?)rn!cF8{Zl|KasTNNyX9S
z(Z@_bmL4seRJud;is)^xuvf2^1%xNcOnEXdAiU+;)ry7dI99Lbbc!{!^myJjp*(2S
z>eo)O69Z!3ioMcuY2Ia}cSUqzX}xJ+(VnDO->g+De~KTCTvEU8i~7NaJMXXkIsM?g
zh+D`%HHpZdw-2m1bzjXb{NFZ%WuLb9Zk)PbwrBO9w@Ecm^ZA9f>VMCOIM>wJn0nJA
zG3aT&)t#_^W*;70{&Zh0F67^~4|_gs?@gb&U)E;rpSO=ZpXT%TYuEp-_>!r~t;RN$
zzdm)@hYdM~oN;0Q%r0zyWO#A;r|qrxrtZ)Evg*&<1?f-o#cj3ft6#+ZynTFik(dsT
z-f6M9&06)sH9F}BjXo^=w7v8D)cv}9R{zO;T>3PhJzuMScSW?_lwQTByUH)D|FgV%
z;Zu8C9_{}xlPliMHr;>pU&{GyKBvQ&ST1kAzv}1Ppn8Q?(S-sBKLwmPuqmKp-D)RA
z?)Ww*vuQ0(d}8fRdSb0ka$;>xc48sMZtA<W7TIRiX}B2Zix?T`h%D-r;<}i|+nRB>
z&1p-fv*N8C3k7oT1)Ol-o^{OU%o?Rz=i|19IkUt%pE+<N;KY#`0VfW<2sm+UMZk%J
zCjw3!?Fcw=_(MSb3HSS1$8^rDQo8lKt<8zW*-4SP*;%pbR*RDuTbq*^TZ_|{`K?ZR
zzry+Rl4DFCB>0&=NXj#PkQi$EAUXA$y6OFXJB`TebJp^Q&8z$t*?0B+1*sQuj`;=~
zg7O#qo|OM*il35}v+-$HyJL<Q#2y=b$m}`n@-E%f{*lZZ$J5`O>Z{jn-IA+uB$DIS
z|25B!S9x>&cU{x|puXd)#1HvoTeW}7Bl=tS_kX?dqdlU(`M=$@$B*`Rf35gobM5it
z{k_>-%(9OsEj)ayUih`*kM+s6Z2wkA^mqO@x|Y1qd(Ck-vulqJ^nBf6kYp?8vF5nD
z);dj}8nNj-vM2r@j^IC7FYwy1{=<5ZEmqf(AKnMq624K6y<YTn;m7WX{`UPnUw`}v
zj0ui-Au^q3?yfq4*NQ*t)*L@D|5%mJAI~+%5A`S8n$-!tE)0-=UHI|x4y`R0EzdoA
z@cxmG-oMKh-R=K%&OLf;|G)CA|CDJl30{e3%^$_GWbgkdZff6KymW(UXKzA%z0mAN
zX3rcoKDCXJ7E_L-JuVQM-CXOLqxVg1W95y>N1i2@H*GW8py!xWQgwUk5w{J-oo5g9
zshA1ho_s?m$2)2BMw`yF2Y;xTi9eon!=}eK>9e7lWAx03`3i<s|9kzClqIGfNlQo(
zn%ybrnIqPwzVW8Sv?I?FGK6M#D|+V0)w8K@{P|+~g0(s|Qxy!Y9KZP{DZiL}ByB;t
z(Cp4Q&m6H|>KiZirV7n&uJp{&V^doZD=jUwLng=PLD5Fd#?yzNvFOQ1@B27+R(`SG
zx2<dDpG*<2S3B|bjoYpHr&F5d*KdEuZ)y4C*H!+dR*rKg_<WeUI&5Z6qiwR>)X0fJ
zKX!%Ib8)QO{990H!l7hV#T_dZ*yOZ2I@!6B9`0+pv17Rc-#e|2ZfmYb54Sb_*rB>!
zhB^Fc;0vEBUq_BI?T*I1TuDjun<8$kS1{w#=xA={dh}>QQ-#GE1-rfz+Q*OZR*G1a
z%7zLwC37Vu^f%40Sg0W8r`6FL%=PHOmZlvRixuR~)oXS17k@gn@q>q4>p9WqqAd@a
znk06tP*Bs;>}Y+=m6SZa$zsPE1-*4AxU(L&%2-tBvFpt{+1S3k=)q%8?cMK17_Ww>
zExqtrTFU1_^CSDvM<W0DWz~M%FTHWV{^f?w|Do-=fAp<#9{>Npk<I$WD&3jg|0CON
z|47Sz``AA#%Jf2gY<Z5A!@p=*wI98F(m$Mn3#{VGejnq%T50@of98tT|NhRofA&kg
zdhq{ZfcXFIZB;+^n_M~mUo%F0eNfrO>b8o9HaQA2{_&P&2j_Yumi06z`1Kq<a7UuO
zlhcroyE#e5?AdV(8J&*89T5_bKWxxA_Aq(lzDvA5m(?B5H>(=*)i>!U%dky5X5piA
zIsM9!ki7>FiR?XiEM@P(gDQIu9(CD!@UYL`gU8q8|A|Om(EZ|lrscu%`b8@}$_{^>
zUB!Ix{VQI!I`4dgkJeSqt@p1<=lofC@4<uqFKpuXFG$<`sjh17uV1z{lllHdH3$Em
z`gP_4f4KJ^Jh1<Yw9lW7X8mee^;_;7+P?M9G5L(0%o#ho?_V$C-@p8+-9FVjM|g_O
zvj3<X@-_XB*s<)4Wzdh%Ijs-!AM3DXJ=RfMW6|BZzhm=_jE>C~9>*hNOpZm`m>i9)
zDd|{Q&-_2oN9l{t$s>^*b+YM(A3WzAb@BGe^ZjAS#~s&mxJ~+T!Gj|fF*gkP`0MM1
zAAk6GI{$i&_T;s%FHOkIIa89j->qimW0j`miJH<o=6w`8xcUyLZxniJ6MLOJx75MO
zGPmQWZqYMI*43$xy|nhlwDi@voG&NoZ@Ip(`rr4gy7t6xJW*WzMGF-U9+s)DTPLza
z^GWvWSvRA@J_*fS5I-+>^NK&7R(GeJo7z9wsXpFP;l<>1ew)Avz6;Br<~PMXjS<ye
zmTuwkJ@)7Q^(!^mnz<?`aJO^jJrDT2Tjx&LZ2^gQ?yODKJMCBf{Ogdh;@PI`H~~((
zusLr-pR)(5RLI+~xVcsxD4)8Y^WL=8Q%Yxw+-qrOS%11xU*vR=xsck9;G9OE7n(xf
zGW!dUignbVVo`UUSv%*^6dyH<*fqy_cHcN*chz8>t&Ez4JlE#_0^g1nPPMi}Z+3fS
zD<?KRUAV#f=O&LC37M8>)@23RSqiDm5aHUKf4^3eQ&=aDf0k+Ro^zhO-HVtGPTFu_
zQiP-^$F-@KQ?A>se3-0pM(N872|nR3e65jJzje28{%Sw8rryuK<x+C#E!`uLbNBHV
zhAppknp1mtb-s#^|CetGJtwBr@l1Uc)Nk9GV8h{=nWM?_*wN$kma>%8WBU&AXYG?#
zT-(~W_3YcsYnI<vD;{JtH7N+-^_2;7=5FVHvonfQXWp(#Q`L$E3)$qdR&=^cWj!pM
zXS-5n5%1R4TRz%-B2D$d`&Xq$y)K-R-}sEp=27&5oeOm@Pg|z^={D2!61}@e>fU@?
zCy*KCH2Yhv$-@Wf+vOK=PR_bq*Ar&kX_9-rOm)fLAYR^*aA#rLD;J#3fAbIxPrR_@
z{32d~g)G}mt{jNuH7$&D){W^g)73Q3egDnl@}vcs)mw{o9cF*yz0WjtS^ZwY+^C?u
zTeDjeg!Eqjd9TmE-Cc<1@0U*j*406=Dt*15-%d;ptt+SuSa#XQM^}$Usp>`gl;5|T
zEoVJSu$=rVXu9T;*~Ym$;$MDLJy6&5(Lv<pPqmJ-^B+_-+J2K0ef?oaP+;g*#mj>J
zTrqlGRnqgqvNo_tS#6&dVRyGDeX3)9%kdsN{VCaoPLfrDmM^_Dx{E9Ij=wRVT@k5q
zc46XHzV%w^8J_>9oMUWCTQGmY%onN|VpSZodig8kia+>Wh}k1*!mr=eJ!{o8@A4zr
z{}g`8oO92f7jmmUWlQKy2^WJf5#Am_m#F;3i{Hw3luC%^{&z@yYu|9*b?4vXuD9nG
z)W5Y?y7f=-%!>~hzo%<DA71qKeBGo%%Ul1J-1z6b_-%a1YXg<2sV!UopUtoqxb`od
z<?Inz$IS)d7jo_ho3Q({oIQHI>DfcCEq`xzToGjc>2vv;e2B){o*Q!ow+Y<}kJA!m
zZQA>`KH&4&O#(GJmHf*E-t=kYG;V1Q=Gf*gvd!aG{V`3JXl1TwjogPKO=ksLb*GsX
zaveNYpm?Jy&pAZlhn9@`kDPlkeu^{110#&AEn6SBxg@I2Kd8xKtjwi-^=rqWQy)y1
z3&eD5<SeY68qBfIMdaJl*{A0?6=<%Ragd$Kvh{(JOQP!BQ<^NnN?h761^pB)!~-Lw
z=RXx`G8SyTT7N9lZ=v+8jzh1Wvn&^o>DO3O*H_4O@M6ayGv(KotqI{SiTBN|4rsD0
zSK?aze4XrKF30a1k~UVoJE>XHEFBnO%l|~AiBYii>gTl|F6xGz->%HH`nmNSrvmdu
z8>;pl*JSw)5@4U>^ub`!hN`+Fnk@Ywf!TAMKA6;SZF*C0)6K<l{xH+&Gu<m3J{ak2
zShJ^#t0Y-gEW+)c3+paJe(p6pkGrs1oo;2lcH{jbrh}^rUhaDGDtN}Uel3>EmPZfp
z_!$~*-Xp5NcYjh!WpqSU>Cq048wWmk+&Hq~lac9<Ox<cv2QFvU-h_??k}s4q)Y!OZ
zwK_JXEzYmb4C7IoRDbGeXXI0EzQmacWtC53r#4rn^o6L;(7t$cr;+O_;WN`;yqOcB
zaFtWctK^!ZxMyk8QK7k|=T(E|KJe~5XZ1R0rQZghMVtF9LsnhBHs!^d*?f~<u^c{{
zmUz|DNlmy%B$)Hr@xY+D30qv!ldnj0o{LId6SDmAh8ePEKYFL1WD$0$pQ&mWs3z<q
zlzOdUa;nim$G|k@lYTRO!Z{b6k;>ohqjp32)S8#yPNg)}{=9VHu-|vFSJEP)9Bk9w
zCp_Ra*5v7E;bfa8-gHyp>7!>k#g&C}La#Th++)GNGN*9g#yuAOuS#zO+!JtjOqSiJ
zwch0PlE#F+3nU}dGt{ne&1yZ`lvba-b%AAsYKC4I*Q|EYr`~F*x)T1gXBoHt;@fc~
zJaU^|@6)Hgevv8MuTpC+l{oI5a-FNhLBNFBo8{~gDaXwQGuHog0&Ow7+*Mukmc8Md
z%$;Z40Sj1nNb5QjPA^lxCFr$#%k7JO0XLW4?Mhp^=6YheiFT@k^`UhcogA+@tXb>N
z9^i8{-WYp9Cr8*sI-2$D!8pgozf~MR7wFy+^jM-C({WAt_V1;IQ`fs+6I}Pf^KxIo
z%<CEMJ5(~*^tfhqCO4%$)QSK7w6CCP{a-KligV%(r7e%2#uk2Togd2;8TCR>@|x_k
zDf)LfJ52v~m3<SK+H`4Qdg9Mn3l3<`3EQ_ww7&76SoIc@y9ta}R(8)n^r!6Gmaa*c
zkBEDGJ}aYh|MJwA;-783XT85vVYO;+Nt?^PfKB^r)+&5zn#BBcrtY6QAuG1`6JF$m
zS%vJe7rQpQILFIYhdC$DE@+<GqW2n>T9Y&Nwan`k%+reBr1~?To^HKb>27hyqQ-xV
zG8V;0o=K0YpMC1|wL)3eiRb<=%1BtFF-zk9#*jM>Ji4juCmH8{eRAM{fTe+92%iqm
zWM=8Z*3<k}GqkKOXlc5zb#jx0#8L${w{o4YOB<&6zv7hj7Ost)5&Nz~RdL7i?oQeI
z-OuKmmM{4Ib=&=wKcAnK?P%1@ZG4h&fBF4&Kf{c7uZ$?V%qv>I`>M>e7t6ZuhVd<#
zmXp0~!r9Wyqq>gShkeUd&&-nCT%tPDZ&okw*|{%Qo;@bdxiDIR)zF|@eaW0#Ijo8^
z7Avql+mzWeQIGfQmL{)O+jD+FeySD|moo<jK7Bv4e(L|Uv-zwVlMi)eH6)~}uIve{
z6OHCteIsi13byi#*EigaRIT^fFe`S_`j*w-FNSaa=x~Aa+7oZqHCM!TyB=iwwC?Gm
z@Yfqlz9-4KcWpO~nDad*Yl%?0-R#&dl^NnM3O6*wKJUrelC^O~(B@Q2@AR*&O;HP8
zRp>>|5<T-^lIqcv<wcwm6mDuZotiZF%(?)vt2G}UJ@X7~Oh|Gu2$~@v%8@4aqW;`&
zl?%HTcE+Suf1Kb{pu6fq%DQ5%gS}pJKb^N)6DrVDI<?QGz3=N)hJ&BH<~;fn6Y22S
zX{p+&maG2p+rD(Hn!l(&A$97t-5>tWdjCP|Zp@YIX35O6#TLB@{<7xF;!jZv=S(lY
z!`PL3b?#~I#LAay5s`88o-MBAyb=^=R#d5|Q?D<7Q*F71So9yCrMAqC4@z7X_?BvE
z3CvlurDEHaNBLT7BSSdi+(l=d(e_iUh_w8C_<T>!q!lc)S7*IgIZ;<=YC`r>Ws#s8
zVY~u4{9fm^ly9&t+c4GkSdb{kwx<z#tC?c^3%Fvt3%VMc=OjH6SRP?<M$@dXpsRWD
zoJWr&mRHnUoYS=PbKy=*sJ`N){a<Cdz??@S%*!p09+{bONUh9;mD_r8Q*UhG!GrHs
zJV^2n`LMxU>&Ff8GfPApZMFaG>7C3mZ|g=yL!G4s;TJgGam;GG-IVrd+JeXz-!#|X
ze4&@Y=f_<bTO(k?|DN^iaqE2<Z1X;u-D=8b?S0U@K(azNqh2lUW4pn}mgzb+&s3$&
zD^DJDjw|}uFx}?Pb5;58O<RNAcd4J)_%y^lO4hiz@yX+yz*XLfT-EQz{&t&PO8Is~
z{O{zg|8JO;iEZAmDREh>qRId4R@Qk7ik44Jm1nnG$}RYfm$$0G?1tF>w7_up_MXf3
z;R;R0S}70KaLu&%Uc9Tmb<)C^S?e5b6e}73k9w~#L-g^2(;Fn(J8#zi-8@TPOZMi2
zmHXn4L~y)29?5g3d-IGPzq{qH{_*_4JK3oJN%EceOoM|Fvdhk{KU{M5e|X8Z-h^r9
z-wfA$wx2HC#LboTU`Er79bbxfeHHbX&@W<|@K%4y9D{v+XL*lZU%m6>k1z4{7as1~
z)4n2iS@$RA`L3c(i{~UgFj#(JW>>I|%o7o-;6g6hYnH8juM?N9v%N2AZMS%HapcPP
z0k?0>TDJU+U#HiG@I{w8eHP8Sp&qFF=6?@gjp)`N6^|dC+vB#u;{uP4h>0*a%h^LU
zj++g&7o|p9KjXHz(W!TKag=SfVYT`$rPcK(4nCg5cC7ue`4uyhCqXmrcV6cZ<B&SL
zeZkBKl?*X4ZV=P*$EM&FywVZVrk5?6T<p3~dS|L&W8SlA?yOCs6J3l-qT~*1ei3;t
z!u;6c=#dJ)jRuXuIXwMxcMhCdk&qM`vSEXj){PkwqB1=lTzYP9to(}?HT7Q<Y|L|G
ztEm?g<X~&{=hs?dFe{kjSV33g#yLqzGRq?*&TE>fx$x&D6oeQUs%S+>NQvg~baU~w
zxwDEZFKTL^7<kaV-{ahk$tA+d)6QSIA-!%#$u_y!hx<y*`hDkb6x^Xwa^jg${q?2E
zxz*}c>-W9ZQ7<`reWveqp-G3zL>Fb(iyD2{Xe?ddle)sYc-O`T?Nuw(<W@~+U8|Ln
z+`TBL<n1a2wKA=a*6(v~PSI36dM7^IWlN#A;6**RJqtTtJXqGW<HkY-IXjJx{@q2F
zra4{TKUuiR{nM%3#kC2Gxdd|p6q)b-_q)Y@>s0N7Ra&b$k87no)E3>eF)lERnP23{
zks{WOAHwcU$*o^%SKupn@mQ_vks$dWQGyo_+OigI^mMc-3Er~2Uwy9gX1nTBhYMc3
z`MGZLidhx<EA+m`i3Am_{NCiUwJ<K=X76)hp{oZ9JF^}&xNJ3uUUW-BdBvM!rS+Xz
z3+B6+UJPFp^+Gu?x8(8F2Pd~m3SB)=(wUVs%Vn!U=%QOQlvW&EU+)H;2z2SpN}L3m
z2MoB`%xA2s6<g#HB3!Jps&%K()nhh}sr+6#6IZb9o3cV}npX(-X_ZyIjZLTKt$C>x
z$hpfqgxOYQRp&gRt4{izS&O$X*wk*z<`L4=|0mKTl(|_YPPkchRjZ=V)nfsUss0SS
zlUJ}DR$bL7D0KBmy+>zO;uM#yhEV}GlXcitwGJwFdX*;$T|FY$ne}jm%hp1lfSVGf
zL5qauOj^O_=N%%PuCl6iv(VLJmX4{)*Ht^c3L+Ot-Ovy8&6&1BEX*TB+WbeIn2qAD
zuJ3IAo$IEpV7ulKBAl(ds<l+;>M=dX)Wvu5I=wcyFOs^U9r!XXyna!Xgmz$NG{@Hi
z$M(Gzn))ESGwZ=D7t_MfMNvDH0&`=;Ld=xnCaqu*S6$V}Ep+urWoOpIl`dO9Dz5pr
zE8w<BOz(|vH_Nm4$%ftJH^0n#L+W0<!Cuwd6Ee>PY<jv`{#wm?A186+*G=j=*ULkK
zxwB2L9J5m8m0NK=ps80XD`9edThxt33(dA^X})&fZ89Zcdz;ja#S6v0Wv=M`>vgK?
zS|YFNjP(oI)Uq{V&t|OX&Gb6;?8!|jF9UaH-ZNJNgg={1S=VWD<rtG{YVnUGUe$<2
z3rlhrFD&U@ys%{L;)Q0@v^8gc*3Z(o%b2yIQCuqPQD<9J#fpVyavGY^$~M;mn(CKJ
zWj&bLHfzV~g<|uvSM*NzI(1Fty~&gZQ`@90RxMQP%Usd=SSssr%cM=FCn9-OEtW52
zJD06-*Dz~EtFlzq<4Ij?=Nso=3~0J6mGxjs+boNf3&rkbt>`uPI+eEix0F|bzccTe
zO98^#rdJM?@owGd<asm3%-fkWEo()iq*PW?{jRpC8H*O0@o8$#ep!~KagdMKbVHQ0
zZ_eca?%AeSj)lwC2Yil|Og(%|%5=+yaNb;*0LQX4`4DGr?yDD$DSfj^ki7IX>0_Sv
z{11PZO!B%Azewtbg6F{_)ty-jf2Z${4-O97%=+fno7<-Mwc3kc*6eCH{&05K&ywKj
zeQP81Gji)AzTNzu^>4$Pjs07$nq9fR`MAaJ@<~ih2a6ICI%H;4h{P#OXV_i)H*l43
z&4eeK6-!pEe!_8Zv1|Gh!xwfTd_Hp~F>|XwJ#Z}4vY;TIFD7SV`t`$dmLD!Ip1j75
zXU}xGLkoU8WO}h3T<5p&tUJe&4K9ux%d|QgHMx?KHZ@&PU0mOEW5!wqzGqq;-IZLA
z9?od`VWGEPhWYl>14pDRH*E0a%aNJN%<lf=z|lm@4+S24HE*VW;}U+q<T?M`lBHI2
z^Oo*vz8-Y<sPoEq50{2~H*^SU(5co*p0wn5+D&H3#fPGw2zV@<-6WtBF2JlU(&G1n
zD=Bem(~XF=3VhczI=Z)V)jvv{+w>zsYrPCJ^V0(^;g%adOtcl#XOjN=#8BqTRA%wL
zPY*czTYk9M&Hi<3ZtJ%gslSK#)eSBLOg|JppHt~z+lMm|LN8}|EfP92Z3Wvij}R*l
z&k*j<Dyw>bCLQC+5pfkRcAcnq#O30#kiZfHUy)cJaaVq0my5@J6u<BM<!?~mA+L35
z?VD4=RUdN?+%vj7S>E#V*R5}2r8Q$7S7&z$l!PBKEN~QT&pRq|<j~IZPTw_7FHyZc
zVcBjSQKcPgoY?eWd-8ZhuLth^*>(Ezp09$gP5TykoKFliDV&&p-sY^c`Nf+(;qzPN
zzH=Von|X{$j)OBLs6w%e<-F^}`n+$h7mox7Zu#IMuvt?_z_qD$QN{!BK$DFwBDy-y
zjygHB75~uEG)dXmF~8>4ZK*8oOdoFh(j)KQTw;Az;;1}B&~M9%r5nOTIIndsYW%t=
z<B@jYmJN;~xj7<5DGAFK%)FtVv1K~ftlr5@&mK%!u+u^}L+%{Mtp3e=u1!0X=BQkM
z<CJ)x?cW09I~}eQAGB#m?a=E|+b8DA{oM89v8f;2)C72Qgo`qh+80FL(9AG<$NAE7
zhjxbEJC0fHx0{|lzLvg_UD|3{ajV`>zQ|woZJFC^Wb2-_AKy|I*EYZV!Om83t2Yl<
zvR%Gbv)Ixidsg_a7h>~XZfJi#*SWiaVfv{W?fN}tYnYmDKjc(p$q@PW+L{wG2(B4q
z{4v5&=T5+qw8vEywxOzQ?@o9pKkBn-Yu)`xV$1uJjCaFMHFn?rlw47v(Esr9LXivS
zKDh8@@Oo!=&wUcUbnDS`U$nWB9`q`i3g?R+Ih5*}Wftds+P}Ra{nMoj6J6yFA1bco
zk=B1wf8e0EWkI2D9S{HdCx$h9-ihq$y1Y@;S^1$E&+9K|XWh^8l`eg$wks}eeuf^~
z3#*+{3$ia~9XPTqNa60S2~Pd~+Kf%zZ`%2eHZ)GY+R!PSyW;2Fqy^p|?E18iR`?Y>
zkUc6A*^rQKnvf7~nvhhkDIil(t=ahCfb}Xy=FO`ah3hvrIT&;H6)Sisa0tk(VdIfG
z^)K%1dlBZ?7n)@|jx;I1)ln6c$@DQzNcggRO^D-yh*gsrb9KX>pH!Hr;83ti%AjDK
z)Pm4pE|%l3LcJ6$t}R`_*31z5D~ws~9NSe%mR&6R&X(al;cL!u9~J4oTDe%tz+lG=
zkBwHpr!yUF44+yr#KE^`lhgw5_N)U(_AEH!xWN0vx*5M2&x*b-_n43*pdb;)d*QO$
z30Hvy)u)9x`R;6<;!yCQ?rK9L<JE>vJI4o`lg`HR25~d7Twle=93RfC_HH`U!NO<L
zggE+&l}|Vd7!<6LGALA8aZ}-fYDMYAc}aVc&dTX8i&%3r`D#Ps;`*x$zDq<n`1b4v
znVWmHp;7c|L+4Y+vuurz8qe4K`aA3WmaFNPVqZzm&bqv_q;}oDea^f7O)k;4tMOyk
z>oZ*%ty%g1r+?Nyd8LEVCyU?awoa=F&aU?6V*c-#tst?){DR*S^BEN%zehE<ve*9?
zH?`-LEV`*vd?mfu@)y6ZZ@txJ!@CXT^Z$pQou8ePTM{@SGdF4dg};CFv+LBXq_erV
zo_#2Czvkcith#ldLnqhhZr_#`-5L^K`)_@AonZ1>wLeC8%1U?oI1Arp33AtttNE91
zYOgi<SB|%LZnkr5^}eOk@-}Lw?`J*!`TYMq&yLSo9<+a{e9z<8=Rx(#y(#s&OFw-1
zEPt)0zghR?G@;s0mUovGZJDz7_(Ny&CDzx{qq%qHYz~}#+9a)b{?%tU^mXJ~Z|me}
zr9>NjeW|wV@mcnK+2hV@ji>+HVjHVtE?4vK^Q`$EbH3)DZS~=<UdX9y@vdI(RY*|y
z@mtSC-l=XByyKg+xO<C=neg_*>8U5CW!9evQrCT?yS4Eei|HMn?NKK##3Y!OI8W?#
zDvplUyF24$$eK^D=l|dGjD1nBuIQ%utf6~j?rBU4&inPeq*vdn`>w|Hqb98HLo}{z
z`VoBidHB@R=VoZm|G(y0`=YH&w*^P%K7W>@E1RNzJd#80Xe5tMNL10wTWq%kG<`ZY
z3-t7Fu9uKd*RQEO!XaL_W>5N-w9jjA=180NOq9N5b!FQn<4!5JcJ|||7S7GT7&!lO
zY}$$G3%^=DldtGaIAMR`ZBdB#!z1}D6CW(mkg`ziQuFIx)M~sa<MEsPA2JtTi4<if
z?O6~xLm|UVjcZo3XVbH!O$#b#{K~I5>%P9ei!bb_Q|`ig+jy%+sY|UTwT*s~$~%R(
zz0fNE*OkjqZY7qxuyg67HwV8qE!w;{>xJaJGO14`aSMYBW38qiT6+Hk=fPA~!va@F
zpO{bq?&~5)j$P+^l(4^P$BlopFUVY<kiIp3zMgnyyVND#HmOUzAi6^;>c;2Upx&FP
z*|zQ1#EouVE2^LN?UlhnJ6W?0Z_Po&v|4#ft0h4rqW5cdKZ{OmeRpo(1C^$Cdr}ri
z>t9s0(vSRcLOuU6v%Y1;d2hXYA1AeM-}B@#Z@Anp^~XMLT<a@4ABgHpR-E)!llw5K
zReDd#V>Nxt9Y?+O@;*;$x8C#Qv0k{`u9Z)G)M9%+2pz1AGpa9`JKe|Ti7I!0#mQsI
zaYY|mrpMSkRpmclaq@WaIvcUR0yXW{uX|h!dZzR6JXaM~t~hzfBW{yn*L0blXR6}K
z6(<iz#C<ZHqTS!Sc+N?`L>qlUp9iYDavrD(Pp&w5C?#%_Ve51mpQozgwUsBG!{a_(
z?A7jXPM&kpbD_1q;FkyWs?4^PCtdXQO2x%3jykW`U)0q<FTO>sz$*Icwdc_nf159y
zsv~@PCtpeIlyj!(FZp)&w+Yq!*}gDv(sE6m|GpV#H6Mt~+q8bKmSyah?46Czqx{VG
zMddwQI_-AF(x-fJ**m+<qx{-qb-!=?%I*7AXw8LC{k8u#?s}5>r!XonVNd<E*)tYA
z6;sRF+3OkgE@9KO-7^+Fl{=QPvp;j!E7J`@;d@Iy&0gm{?_7)VmOBT0bj=ODPwSq!
zRwP}#<<3C?-SUg>;kIgFt>GRv+creC=dQV!$evw#<EWMFw+%k+wKYp6FY|o4?6Pd_
zKF4-WvsW7$eXVkmHuFW_SajHI+p7)DKkKdDB<<p>p0V(-U0T+wD=ziEDZAdxT6&me
zbLou(GO}id;qAI-E+<M~F1c}#Nw#cbK)bEZr9}B|)6%TO1~sGDU%D3)oAgU>90-zK
zCcK(2ddFf(%NLtIZsWVGx{q)7j+KYy@?LD{e;vQ#^_61|zB^xh`kd{1t3<QPx1BfU
zYNBv>eaVeOsj}M&J=<ktt|acNxRS{Ky!6I#L*Kbp5=&MF?_#;P+;g^G`}fxy8kwzf
z9xdRDwpeo5jPLb^W@W24k5=$i-&lRvt}px5m7@v1b9ZUZxv=D|(3~rY%*#t|9Lbd3
zR^Zm2JLhU5`}2|;N2_JOeQ<2At+{e#WxL`mtFJ*Xe)&t)`&{sC=PkLOC~RAD!^vNE
z+eg3Pob!(Pu{pcWTu$V#ExqBszdq>t%KpMxR$qf(toOXFF0tS++q}%Kx3hOFKP>j{
z^@d({t2Yl8^6joza#&98^@e`+_?C6D#TN7Rwk!(&aZcX&gZbx6ylY<^&;9m#^Ukm@
z|CLJqPV3A)yS4PDjBj!M0rC55f0j*oB4xFG(f8*IZ{Ks>TcW;Jw0R##Y`yNLZ`Vqe
zZ!58FZQlRmu~dt~ZuNUz3uC4{h|A2@-M#o~S7OZGDT&qD4eiB|I@3#zh%c;O5|Y0%
zH}A}|C<&>Pi+_3j?fIn?wc(o1*`#gBR_@%kvsN$LmZLU5H+AE(qRNcZ&$#P-;*a<0
zwmvh9l0KT|ow&D6sOG4swNvnog@;+JOK%*|lQr8I-LCuQVxqKn>5YRnvSo(x?Y24>
z66Lu~OS2N1)r{^Q)xDJ1bkr&*p_6a+kDzwlGglL(PnX;{SR`Av(W%{b&6Py?)h4A`
zNsB>h*Ir9(GPTNipjfVH*yQ`>SG_y$whhtkxo<8evR9YhIBF;RZ9{x}t;`Si(z#JL
zmaG)r)os1PbN0JOWv@0g9=6JP)WH{RvFfl{-^&fnkFDN3YFQSRlXLBhGkdhjm7`I-
zUpM$V*XI0JDq)^^sm$v2oIl4e<oG<F`pcx6NBwrxd9_J7$;xJ2Z6(W{c5PL>JK?=g
zz52SaHNTF(zw*cN$c0buC8{qQXs;@D{A_>K|9W(B>Dl7&($epnlly+mOk3tPJLTC~
zpDz)UOKqP=y}kH&<E~YCcdPrDKQB-)ZsKV=W318Ka7L;5M9&!xEv057ZKZ_uH>{0s
z3cmiG^t`|LN#%WgrE9;Ej?Oarc5m(5XO$B-RQjpZzuC0SD)DZ<#MQ0a&6h3yzUzKC
zZ$#*O`I!sd4byG}_Pn$aeZ0}jaG9d^u{%CTXGpJ{(Xn<@rl#SRU+<rV9!s2&6w{`C
z>@n}rA3Om*2RM$-Sh_ChOw-z5Z)fLSUjA8kz0hK=y@y{oy*G0E_I9szys~ukUn{4r
z|3lLc?06M^#fY)L{#)Yf`Juj5yHbts|J|2WcJ=J(%g1!DUOtvLbsy`+Gf(e_`^L|%
zGPl3@^<Hpw_WX18({9Ar9-rDQV-~u9d$8=4?TepI-1V$&d6jvI&Ahn1GkdS*SA?`a
zJ7gBJe^RJuSlzAY%h${8R@G^5uzHlZ{y^2z4_9TGUr!OT{TCATr)cJ-`tL8UX<hgJ
zfAee8!}hB;*L7vCu5U3t^)a_sHn()|?BGMMYUH%nuTHrX;kf41^j(KOCu)C|d{Og$
zS^lqAN$%Cz$;r;0kz1Q(?yb+;#osJ7Yu2k*)>Za5PjuC=YBRpvf6DaD-9HkiSKr@R
zC2{%Aj|gw~_g2Qu`qTFw*(7&#CTCKA{iB#^Uo_4o7nYvC_<W<?)BAl{IeB`9D|V@`
zG2&|$eQe}nDCb-szg5G>*UE)w^TNX)?uYB%w@U2X$W*%H_axugJdP`mmpRntahg2X
z=HOf>ka47+sduOHg_ikoo3!41YKt}99IzvA{(&|8bz&<{+cs9+5npkhxsmOU(uE0i
zPABV?esP;T^KDc+v+@0g;|$hU&OCa~btQ3LEz^8KGaiY|!xOgWNEn`KGhCcI!{Auc
zOZTAvXPWr9|Les6`f~c>{<?F^_~JRQJoRp@nj^g8d}JeAjpBs~#!S5yx)){yGx=Kl
zoD-TjowcmhceQQe`~zEVJ!UGkR14@|%=Go9_Jsz2mU^j8&I<&sS*136FW}t$maF>A
zcI}*~x68kA`mDa2JpVxYyt{c!&u$r{^zkaE-8OhOjaT@b{rB?fzr8#)t3HW3{*DuC
zJzN*LLuNwjamUCXGLu{nBu2K#OmsbxzNy1ftGgvxKU_C4Xkwkn!kLft!+piIf2`cr
zHtkEY(R|zK){j=!Z~M|QSwH-&m$pT1`tGGWPx=`8bAHlXzHi;$w=2{9_Q%{+xFzph
zAGrR=Hy`7b0qwOjyUT-&Km8MDwC0(z;WPth^_S@z{Ovhs|BKg{A9;-X%9?wuSz>RQ
zOtVWHXQ}RTID0B)f#G~^lf)18EAtrN_LtVPJU>_*`u<LM=c%fzK3nTw?}*oaBKYnq
z*UIP5qZE1X)UL~#7Abn^LPY4S`C06?hvwdL{Q4)tdPQUYyw$g4S8`?PyuV!hd*>?4
z{IKcwO`cyX4lTZy<8b}<i#s*zvV0>%FW*|eZtu=_oOw3kTNh9G*ek0W6W$fDtu(E-
z*w^+>OTpKjtIVIS&Z$mzuMYNh|5^W8*LUylFYg{ytBTgnKJC5o{i@3||83v5ZfjO;
z)K7uC;`-Nlie^MvpGb{*tI+>)Yje%L+MQXx)BbJG{*^!Nclf-^a}K9o-5FE0E=w`*
z+QGNEYS)+j-ZR5;+2rzdNA|7V=Px>4ZU1H!ajrFoS3O@<_2!!0)4MCqF+UG2e|Gg$
zVqIzd@tC!j^lBZ|bZh0-XTS28{>69cw=}V|^EcnlNxN5TxcJtq`6hpB9?xHrRkm${
zo9VLeN}-!KRlW>ccl}KD`B2?Sr_RkeZ}KKBEzNg#<fiG-wZ_76XJ+*6dzM-K<)T>e
znY-ev(iqm(&RgYeFIM&L=&E;ye-r$#xAXg*JmhmRB{8i&bCZFvY2=R@z3v9(O$Lt}
zb(Hu*>#r@-{Tt)k*(_FUk!U!5z0R|bTaVV9i`IFt=%|xkq|T$Fuj&bx{j1ArpRMvw
zP7O~#Rvdcz!>WdF%P*e~Dyx&w_?vRO`2Vi!_Ti6r**!^ST5kV6zxuDX>4sO#j%sDM
zibLhyeXaMeJG)@nvHGgd_w9PW_J7Y?^*$r(?d>b~PF#6)(xdvm`x(j0CHXah2l!sc
zt$8J|tFY7G<=qD7Cwj}{C+s+`XLv7t`w3>dsa-EOc^#6|R(<oiv4uIz!y;vEi}E%v
zi?rD-!glN4#cACr5#`N%_C4d&!_DHWcTMln+W3X_tffxi+|x-{D|LeA)}ME}%BoZ0
zxU%VV+}bj^-zHBt#|JaUXKAJE3hU{}oYggR)yfu4{gBcK+1%2zZ(mMZ@N)U`kp8UD
zMVtOrEk9u8qqjkCGS`~pw^^^HCpGd)L?$@1*@v4h3%l93JX%^ZXz!&r8QFQhpD+K3
zFfOl){yM`h@_yj|l-l{bt_eA>elA#l_fF!B6R~aGcOLUj{Jlr>Q-h!qzhubXfcI4&
z+QRu)#`fRYAinCF;$8mRPhQn-es}zhY~wB;!4=0t8h`l+XB_zQ>h0lm?3pWKqx$cf
zeffE%jj=jM;?qoC<!`qPo_X)~;CvkS>ffD!%fd_3Z_U#z{CR%2`*|*-le=>b+vRnh
zeR^C!KYZ>v&H3+M|Bn=%rd0gm-TpJio16Z6SN)yJ^*Z_4w6w(k8>HV|zISo=zs}{S
ze;hb`aPQ)^D}$b#|F3jjMlIsZnLD~^x6<1}PyLyBROVaMnWsnMOG39locJZ(=FFV$
zcg2fNB*vL$t<TR|o&4#u<rj|0pH|G<{&_{?!^$fsT5PJO)PJn}BJudsi^5~i0`reO
zx%mI^3!AE@!1Lu>mxz3=RavWMULP&`eM#iIDHW@KT@bPCsoAI99lPR)RJY}tZ8K&n
zMx62C*GYTECdOTHKS@zrN6healHqBcX&X%9O{WX~-0($KsoPR#+l<pn-IY39W}Ij0
zW<9e#;)G4N>zS<)XJoqTRnMFYKl}J>&5ZCz+TE6G{^!oyxn}c><5Ar|Yce)An2K>n
z=5Fi=73+@7+}OhZDfi0r-Wt<CLhqmRf0Yf3IFq{bsLj32GtLKfv+8V&IFZ!ts<SEL
zj8nI&&WUi{grt30j-5Y$Ix}Ckk35sQ?x@YPh%@Qijxwc1>ZHs(s>Bzq^Q?ZtQ6au(
ztHn0i#c$nkr18(fg@^09cfQ#?<M_Qls!G?M$~Vv0S~=xIBA;y%TmPM%a)mc0?@syk
z_2_M#XB)02=|$^2+juj{ZnlothKosx(mG;>7n2MR>r68MdEftY;<D?~NrvqQ#fl|U
z4Er0!K1(KSZ1^X}{W5)HM|_d)Q^CviuWdI(9QymG*Ti`9pO-%C%T4Q7y!=|XZN}-?
z?#e$YhV!k(xPM$sQnZiId9>|lQeLFa!?b-_cJfbGr&aBh{^{(yzWi>I-gTX4h3Av(
zjMrwfoY@d@Vz*fLjJ%CAGR3@S%*vm@Xbp15>?A|u&)a95PU)`n*)-$)Z82_%yp0n$
z>&3by@;A;X6!Vsty*}zhU(Jk{UyntcN!)TY#x3&9<F$WOmF!L~p7FMF%7;R}tJ5WB
zy7MU)O<!Za_uj<x&u1QfPA_iXwP&XN?3v4-PdxAcKc8==p?>|k3Cxuug$Dg+4or6E
zY@h!&YNClr=rp<gulq8y*S-;cb6&Z<w(`j)^M0GM`ezf*_t|j$Q0aHFJGZzaw^HQu
zzxTUN-@BG27MZh>?WMHs?9B%fFTdZlk9!f5*P3&J?V*;BHW|6w*qsoZzt&Qyz_z+@
zdT-=|jf&hp)2FgBzTT{m5-aAi=4{gp{>=)BzHD2jSp51hZ~1|TH#T2Q^}jD-IbE4A
z{#nQAaLY#@OZ$G*&pAJ_A%By2x#c`oIrU8ko~MYh%sJIGp*B*WP>nlj--)J{&FBAJ
zjoZ7+A=#LJ)#fYLKA4EFVxE`v<lp;U^Ijcm>vNx%w>CTf`MvkM%;xZ{uHUn6=gy5Q
zZU0KnpP!m|%qMb2W3X7-mnp51PN{-L!D52UaYC+Vx~A{?H!oQA()<_o4{oQYJ}wG0
zl$$Q{c(bb^qqeB<CND$5)uO_im;KILe(Vd6cl@hcHolJDpBL|ye|<YF{GM{`r=aWi
zV|$HmR;*dMv8ep4WVQL`9lv*9>3R2O-(T0ew-aA}Pc*fSd}-NUvfpI>zl^MxGnb`%
z`Mka-@hXY$^8fVf=bX&74bQjnP0p=<vFSitnryD~d{;A`h@8U{RLy!KvJTHkHS>wc
zyL#zqY<K0E4KrpsM(RAU?QT7@DdI>@_spyNjtV_{7P0H^)<a2r@1JXh-xK@u^t|qi
z3+MYvUgkUZGn##o%sAZOXvQO%bGSp%tVc5Ia7$vCZmivg8OOc4f3DdSaUiR^bx-2P
zj{54mzn8`8Jll6vNG@g4>m}P)ANk<pd+NfM74`SNp0RCFJ@(gswpEY#iqkBORX!ps
zX8z$aNtoo|{EXY=QE}rj8PSYGhPw}?Rb@E$3z~hA%skw%Jx9XmRGZ-9TnXc2ZE3sa
zwZCjGxzom{{^_fZy;nTvm4_V;vFEt2JeF?!6(f*Qe_%Tk?@o;i9o|g6J9RF!XqUCd
z`rW$lcnWW=-)(~jJ-p8QHY6U2ls-0RbK;@tkuPi}+eP2MdEw#j9NW_yj<_?G-cSfI
z-YUA{xLV_{9I=c8VvTJ%qAMb~IZU1<H>%}q*M3uUwN37N&Wqx^ZD~i74o~3E%}9H0
z))Se2xaDi*47>X1?3@=Hl;h8T+PpP>0UtZdtD=Afa_uayiX#><hHu(iIJ0e!`h|{2
zrrw#l7g{WDeq8WLe8t={F`KfR7t*)$GTUuUJRv9TR+Dyk#$Gd@8`E3g8Nb|YY99SV
z#OloHYnyqz&xouz%+<JSkAA>rCean=y&Burh-Dm+YMk|SaV+QbpY>*6A`+bEpO4#~
za6rl0C}ktB^0XTUiIH;4`j1&jTdg@CzVc57kMN4a1&zD*C<gSKv%WHRTEM8xD)nia
zgR&c^N!k_%;bS*M%YL3{latPQvDv&n`I39`8d*uB6K#Ucxe~@l+BlszU#M2AHDSAT
zAu(p#ywr%KRSvRg99L3zI@s2q<GAviyRmJKaK@48Ouau(t!p`5AzkIOVd43{H?kgy
z2X2?8oKTT=^VyboXo`)GeD7zok{@dEOFu0x^j*Mro%L0b^8z_@)>p;e3l!}+O&+at
z@ZFvre&}fvukF6A3(udc*>w3?-N`;4%iO~q?{j7pm~#J3zcg*{(Kf#5&7oR{h3aRs
zyxQQuK(C$URbj*eJ9ieTLZ1bO$KO8Mm9u%_@#AJ+Zcg>h=5j8(JyCG}v&36Q{<khX
z4B5Bf>9n1n^>bfs@Lr(j&XP4rHNe<`(<Eh?gYq<PleBB`QBw;fGo1S!%}k1?)Noy%
zW_hHKM>6YhN1~Zeg)(<@(djwK&h0yIYE4b7@8oBFwIOVQ-fNau8{-z($#a?{y@|hJ
zl6EIP;%I4P()EMMD|u_rrCgqMXafJ66CI(IGq#=a{JDC$?&rBRj;D1u?{|>ROM8AY
z^;MDg0y%r`E6?XSDCcpQq&39GOxHB~G9$}*{^p-4OV8%cla(~I=RRC?S}Mc2-SOs@
zDT$r2OuY3LS{FJlGx=I1$Dc{>;63{}>F^Bm&jx#M$KSk=82j(j)7^g;I-Fgs7%<(H
z$+W-Cq1KGUBw?|GvKgmITDya=-Nx0=Hr;5GJDu}l^PM)u)j1LyZ?zd_Z!*v_pPp-G
z;+!J4?E6!1X{$MBuWjZz`$Hk1y_u=hQX!!KFVokX>K8g}>zR6gUeQ~3THNf5#f;hq
zK`)9=v?(rsb6D9gEiuOL^*b9M;~&XoiL;#A<u}!ONLrmad2OXnY#P^<<hEMf>9@aa
zwdqUIp6WFJ{AL5q>Get9=6LS>!@YTKF_-MK^!ABHCc^5CyK1xo`kB9F#y-1w;mh=&
zi<Ui||E=olwbEUQ!Ab7*-+%9oJ@at#8%gch2Xk|5gER6vd3~L7Ulg8hvx~oN@L(6O
z^SWCXeDZT8if^`YPTzH(<6V>9?X|P2b2iR6pVrOVvoYcX^J^)=@48~e=aV?gi*<6H
z#dV*3$X!?T)Go#8UD?#xS1Y7<^=w{vJVN>x&xXVU8PaV%n-Y&ioApR$9<FZ@-08DS
z_m}kQXK9UThVx%u+sJrXN6hGIl3=ionDNad&ir()x6A4sH9n@vE&KjDAuTkZjgQ@E
zbrV;~4aI=z{w%LH-nCnMx=y-k&&<kar!S-*c|CLTw9W56<b4ZDePtB8K<@IU>#Oc8
zns_ri^xeg1voDfUTc_@wd(rHR<mA?=sr4UIq<`%Ju}-l`|H?UY=+vH%lYQ)GygN7b
z#q`Yhr?D<|Cwq8O!;+HCWHn1>WH?VR&v{XJt!<h7mc#?D(rq>QhdZpzdT!<%ZkapN
zK5p5C)P<qN{pCjWJiar}i*&R4Y>hbK^jb>LTUTuJsU*(qVx8J`WszqR{nr)czMLC5
zt-e-ETer52H#Y3{h2&md-`^V-zWi&vw?MLOPwwH4K(n5i*@s(LckZ5Gmoh)n^!txH
zNp|kKVg-ki6q}9zMxRn@i`Gf&KPr@#F7$BfZQ=CzweJkHHXN~@xzoFqZIAYaj?XhI
zqgvHsPNb~xsSUejkg_Ot%?!`V8D=x}Kc(t!pDA7c^Y!%n5B*HNKRg$3+CT4}dT*k(
znaTNeyvgfQ6L-l+Jvy^mti)pOS_jE#KP+ZnGvc*SxiF!bsrQEVg%;nNGGX89e%~}$
zu%FlY-sZ$JVbW?jrw@ObX+PE9p^DxAnQ*|qX6?^M_3t0#W#av*TFq`<p6AYOl34Ta
z*plz1jj=ZxT<gtvB6AMU5H<6uu;<qPz43m^>svcrtJF6$t-8=KpGB%De1YM2)>$7E
zj4w=GDOtIhbH$rEe%lvTZpoGSIFC0u?dF9N-O3r;8vH+TW_Sx1XjHF0W%6KygL5B;
z$+H;_!hN@0KS<;_&rdYtk;psTA!ue&oFJ{XXKL%z+VgL3vXtc3yQX!=FJqj|Dz(XV
zfuTINN#gEUmi}V1FOf-y8<v{&L?j-b@jZ9O2S>SOx(N@mcQ#FnKJejx-sJ{!7O79m
z9FpBQOcI~eZ|{0g_+fwKh4cxsk_I>01f6pvjIXqD9^SNJlYOQAZO*W7>hDu$yFUN-
zWJk_itMBT^xlK}<9F*0#uGFuods8%X>q1^;x0?njTX>U?-7rYZkz1zw;CT(xrN9Sy
zc8pI0AN>BGcDZ3S6Yoy73mvbSe0NODWt@8VsApLsbKM4IXT947&$@Vp_nkg;YT9G}
zn=B#QWZtI;2ehfaOX-eZmX;x~LQ;xF>f>ey;deKme%hINxFOn%XJ_u=8Qx|-^*;>x
zPycTC$+ATEL3<6;DcuKabC~rmH+*N6`qb#49L8mm82T+O{F}}D+pWA63C_k(HzfMl
z|DMD7ck_l#)>YQg*F}~scG$KgRceFN0!3+7sm-1XIA_0As#vpa;dv=(wwjE?9b4hm
z{kDeapDbIJa^9GzU-vWZykN$OdTXZMnMxO0R+b6cY`bwGeKjxhwwnfzlBJL3Y)?F-
z9vN{ne&0-A2QFWxv{X<;ZDy4+id>K;s&%2IvP{rs+06@QSaLJcn#_7;Bp#kI)6Azr
zpZ~Jhhgdttr(zpS;{OzJN2pzx@RX_drox4m$eYi%Zkla(UvGLO_YH%Dg}lmQ^*0UD
zHu4Hj`}6(P)bdnAi|_lN&OE#)M>9AqX&bL>*o_NMYo)7d5}Y@`*p_%eOS)~%w!|Y+
z(#L8t4!3L#(~W%=eI~i_XpLCZ8J$x)Y1dN3x+9V{&R8nu9WgCA^K?wQVf)jar=}iX
z7HC!?k?TBtd(I2PlWlgBb0t3X@j5TNVUSe6iZ^-LO@qW;q2ALC#Xir-Fr3dRX1qD5
zyR~O?#F2>ZnLBqMJ#)tPu-574<+{%dl!JE~X&0Vp<2#=FqUcbYoO<qy;!|ym%X1})
zj<pH4=SUP^YvX*rCTiE2Ei;Z+i+!%Vox~U)q4Q+JQKh~JooB_}sxoIce!725_t}Tg
zbwy9p)9RafWzB9~NS(}UYj*oWdN(igwp#`%vw6>Y<T)Epx_zPNNtkZzzHKv(S9brb
zN#5ANEyn%xT9Tl+uGr?oNu2Vj5vhk0_a2ogOVbKZQ{}sHA+e7)_T3+T?y8(k3(u!X
zv*m0|JP{`Cma{qW%yBcH8~^M-Z7sQ(di757_M<g+TO$tC%XPQbq;2fjE7pB8ePhdC
z%dmUgr>9!hrj<R>3a?B1cKgD^2Hse{TNfTr;H~AmeL-h+j)c+qHo?!i5}OaSadNMX
z+Vy73jN^LUKXbN399S;KeKUDu$9WyG&DWDSe;cjY<huDp5?{CR)Yo?wM5a2oUo|U<
zOm^<)&wa7^S{vi*9Eti(_u2&IZ(i`}%AK*{_Nt|)g}N(sw$3<j)Xl21J>moxq@T_%
z<{dFJ`DtkLQJH<`L)ZN|^C!c(UE8eW=gBs?^4u4nH}f*TyJ_%bAFs094TERm(rRB$
z|Cy@asu#X?R&~tg8Rtv8S?_F!IN{svdS_F_ncQyGKNAwC`mX&q)2KfD+ZMKzfAend
zTv}=s{Me|(BH4MmKDdgpbI+0Zu$0$%-=@SP*Ufq?^AES=ubH)b&gL1%pNoCA%-YzH
zEXHk_x3NQ5tlKhkV@t5*G&^H)9Z1!i{;VeLZB!fI{TmlxZ9!LQwwO(cCsL)|=4?$o
zQ*P$-!*27jdcTFIT~|Moo38V$_+}Dgu#Q;Kxm|8Yri*p|Je9;5pB|Aa{bAKnnRTh4
z_$|AA;bE}!t~VPO9#1#>ax?pIL#-Ll&HTe1%w|278HZb(!*pZUMW1=xcC;ohO6S3}
zqfU9zI*-`9kIHO|IJ8d2d;ZM1V#N|OR>%CDX_@PMdG^f<U+UA$zU)YHo_}#>`(_^I
zV>b*^*6=DHyJ?WNhgVoF<yHk(Y+r<_`QDjFee%-`FVBuV^CeBp7|u<Nc;3BprqT58
z=9Si<oMCvljn6pu#ix0^wrMvnq;KP8es;qkWdX19yOeijlE?m}9B$bib~aWm()9a`
z9K-qLI%4$(r;-$db;S(NCK+DVo%SKGZ|eVb)3u9#ow;)w6wy5Ao-X@yhG*l#(*e>|
zJewDuFOX*2lX!T7d9H-vp*BP1+!-IHg%(f$9d_1MF5=8{@ov^Ho6m_~x}PM-tRwb$
zap}Gm$N!68OP{JXFJJOMJEwZfx_v^4Vn6GztUdhFzO43k{e%Q>8NEw<?Oj_UXNzU_
z&vm_(UOtiUM(7gfGLe@Z{#_+!<|)nn5b5X_>nAGnX4;MDC9>Z{GpGM`z4iFA(%a%?
z9_)AYE-7yBDmijiNw#>ghkA_uiIvAsHZ|`Ry56<r@KvR^pK2$5`?1dBcZ}vG#_8Q9
zCzdM77H;%7UK71USWl$>$(83W2M^YD=67v5{8Q=er_zbveysBNT@$&4`JCv>37cK5
z9(6aZVUf|_pm>}s=g37S>Ccsoz80U3uba=e`K>W;uWzaU^ci8s#}a?Z@2+F<?$tD0
zUpC?JpIeT4+*$0EI%{N~Y+g|oaNyA`M@8=}c2}LXvLeRY%K{Ero4)Tly}RDjKgF%>
z<kn^xwX1wZmAlI(9G`N_(e88>J8RB*S&>hLZx?jrZf#E5c8$;LM$qSV6=zmdDj9Ff
zStt8s!^*M=r;puol#|Y4|5+1at&vdscEN<st<6f;uJUz7Mq6t<JUpxXXnNXN;h7gR
zecvjyU)|aq6LyvFQ$(coiRaC?92wtVujk{647AorsyrLRwkO<JEhX&ijE<Y8$w|+y
z^mxwrb$p%tevzbEUO7LVCzk(s!yjv}ss2kyUYy+`N1LzUW|Yi>kBNm1=XX77XxMs`
zQ8BxVSt(4M{YXuS%!CaK4KC|dbNMH@t?oU_xXfRNui$2k%!7^lEDogS7djmH`KV#S
z`tEvWrF~j_9X~f&C_IcwTy}QW+7B#gELPDn4?egSHk_XMh@t=TQAWGvUCc~=V(cw*
zH269!;$#Gh*H|b#%t%~TTP8m5K#$gZadrzCO}+xl7?}r!D=ZE?PbhRa(D<lf!r!Be
zg1@;wi)>hZq5X}{7eQ;T+B52{c8R~`|D~?4U-au#eMC@F3jZRRd%q6vfA!Tr+jVNi
zZuP{pZ+9mfOh0MM`MF}bdZJx$m5`XhR`o>1%T+>RhI`c$4TY<PrhRbZe>e5?3b{FF
zdTb6?3q3Pfqn@beTrKqMW19b=ntN|OBMz*Z*V4M?jc3FWc3aNw%KhqzoZ3|d>uub=
zKS)V?6LoL0L3@3wEoX7$t;q)cs<xb;BkxS!(BNvz$t`(l@`jF9Th8vt3zIjr{IuN>
zZkJqDU}XI3>}$^%hwsd5*?H!r=ZxcT=C%Ah^U8BZ<ohowIw@)Xhm_L3DC}lTt`-nA
zTE`wJ__$g?)OaC#AgA)bfDLllRm+y<yLT4XM`|B?_$FRV$4%R?Ue)gkUy((a^@*kb
z<+Io-@2sp<n?FB`o%POIS&>i0Zx?h_Z*5L`cb(6xBH;779W{ZUUDB5PSz0#1dH*a<
z^Tkj4x1N7uxZvB&8n$bEToNJH8Yu;D7j(SO61RD_`j#VS{PjKBa_L#~PV{s>&t|u*
z3AR4*)ak84e||Rm&zLo`A_es;%K}b3z2ztvp2a?MYU$erE$_4MiO#<a$`<=qZ*7)Y
zca^VbXPotk$8K*G=Fi^R%#?SPk85YFwZ^0NSvz?wLXFj)e4b?}=$?J-h|GG)$pxSE
z|7WhRUvPBGlH@yI?M2*Y?EckWf9<urnCp}sA=*bC-i}h#Oa2$3d?Yzyt-$<;^;?~o
z?yV3N`jobILWkg1rzE#)T%HxL`;Y!C-x;M`oTefj`q(N>Mmkpe$eOQNtrb0MMIRZj
z3-dVeBT7+GIIGp=&ibj-XUyK}B$RhG<G<Yf>lU4ooAa)775-Ql)-lud3YVer?kL5y
zxNz+wXI^Es&ajMKD`32MwWv_>;;@dHXRp?u*nhs<)Gx_xd3;vujLMi^wp6!e|F$}v
zky#hkG4tS6F2m2;qZHG=g=woKdGyXxe&E%6r>!PfS?Q5eZ^w*oQzaq2{}11P`Sto~
z$1=6=6L+<>En9Z&*fz7eA7Agk`+9v<g*)s0f2G&;<)!Xi+5NNgTi>p}eQ#CsP9A!=
zOeZGq#G(4fyL4*Sg?3-tHmz^kuW8G+goLkljZUsjey=WlJLqF=*p^vOQ=`4L_HA}`
zkBnI<_O|ydZ|U3I-ug-Z7}x(ku3+t&Jj+-4+n;r>uL~P1)h>Tq9%||1n>~NmFZCOL
zcdweV{d;b{>9U<qHOo>DY43T;sTV$d#p8>=?w#FhJ?Gmo`-k<D6z+t~`BQp*_q3H6
zS-Gq0C%wJ9f7i@S@n7>YvYy<IFaIl&6Z~2DtFOO_S@FZ`_4}{iU$<b|@v7^~bC>*`
z>8^F((&~leSxcU}XYyZuS#Q$*I89I1?d7G^Eqb=cUR+9FqsM&grBTWrJ!Q2QMrn)m
zgztrIes1JaD(4*f+}Nj-@o;^p_@}m4O7}uy&v0CwdGL|_Nxj;;Rg;Qhe%<<-qMG^i
z`uhDp{a2OjSl~T9zw~8c;B>p`C6Wb^(-p%@GN*ms)$=oMI%oRTGrRUIPP>-e^{Qsw
zs^>fw%XdxC4;BA7^;Ocou-HSD8$bEoTH_USdFkP=|F3*aTBPfJM|T6Cy?Dg*YS#K|
zkLw!0O8)H0W4<PSV?sYy&XG1I>CGDtsO1RF-@M5*dE>m){@J^}>|A=f;r1?`pN`W7
z?Oz!^>(djiyOeTEF!$P|3Ey0zxBQv9d(mCiYY#6oz23C^KvhjxLhU!P8x6IrW-0ZJ
zy%qrp$$VlrTAZttVz<4zlssFn*6v#BfwI`Pn)*ejJ7jnD++2COrF4_ee&hW|5@W4o
zCVmc|rkW-i(SDQlTB={;*BQ|Xwa-LvH2h>WOL1)MjfhA{UM6;<<!F^stljmc$CYA#
z`CLvtP!ij=XZ7h0$z44&SDtS9ZZh+muXoAI4I!uFcjiY0C&=3AY|zW*UUS^Ba*1}d
z@qe8SX>3vT31?-t9GDfE(P;Yl0@G}RAUQ@Yd+7~6=QkZMiv6|bLh6CvyLf&&Oc&HH
zmE7z#opW{S%sS?sk;NxHSJY(x(y*+bdw-tY$^)~0RyCS_zQ~lFwuJRsdR8OvjIadf
zW#TtF%DHpS^f1jf2=-qRIsetAHU6cU*Ou=xc^w=(>+4Frv%l&?rW-ng#Af;YUiQ7@
zrGYodwY$E_mu9DZV7=z!&An#kbg>9yL){H&X;BGhuWUImYiDL-@6L#Xvv0oGuj(wB
zxi<ag<G#8jk(*y#S`%5Cd2P)ulkBkAS*EM?gx8%i`m5%=+2Hch$mu74YM&NrezGlW
z8(%Z`n$r`QUK?&aFsr^Zv(eP}GE?@lWvtiE_;RnAIY;b9OKefI=i10auOiK~BVvEq
zTu42jzl-PPa&e7C`}LC9UKk}VP`kV@bGzQzT|OY^TE!%s<=J;&R%T}7SBt2G+CI@6
z4UbvPp13sjT0|x!`-$FYxm=}mRw!(G8tZEB%?($VM(%%gNhiKEGpv67uAZCAyf>Fk
zP5sq7QT5!5OAom%>r*q;KCoUp^MHHJ%xPi~#`?M&(vqSQ&YszFVAjga#@-ph31^>d
zIWX(y%6T5sr|vS*j)<Mbx<>Epuh8jfKUaBguDY6fMk#jI*3}?a_QG6wD=0yBn^?s3
z*iW<8<=F1~eSX*1pZn?$u*K+ZP`u8SbL1<Nbn)T?YIpve&jPvi{HEhpvA@<_Pd(ti
zi|6Ko(;dCLdTuT`-Ewx5&;FU4kLX%*K7B9xblaT$n{+-dIAHZBC?WRO-<5f`zr-Wv
zGqRc`BsB6$h9oGniQkx^$ZD4OV*aYmlAn&}Hy!Wa^=0Sc(+%@WB#XkQ*9(T1NEZ7~
z=lpIu^PBJCEh<ZDFK4cGo$`CxV%BR9BN|IB;}UHBL?Y%-W;J^d(#U%=IzjoI$c-8L
zTsem#ZBOg{E_t~ze!3ldiDW^*bj9`($-;=~hVCUZKkU@GobRAp=r^4&{uC(Yr*p4~
z<QI#W{_|6D+%`FGt~KWmF-dP&QGcLKM{k3mH&@P?OH8vj_|)kHzy36JUTXjP(wD_?
z(;4r-FnZFYr@ZfK>KWHqwU|pOx6E~~Pnz(}S9{B!slS(PWxbXd+gKVIpI~dJwZTrB
zE9by5Ch1Kp54h#%Z7_`H$~hEfJ5lfb>r0QBV}Gr=l6pWZw(ZR2)FWB3$JSg-J=DIb
z-e-U2wj(OBR(lkmuh;SJ(cZxKn0w9X7I5nPwI?=#xlR1Wgp;ghkLEH-8!tVe=5tl}
z<)>wOwr(#jr7zKAzIQ40ghs4e&$ZMu60vGMS5t18`(9ppxJ0-9>AuX(Hq)lAJ<R`U
z)!LlcI-L!2<y>pd`?H!o$ZO>Nx$}VA9qkQ<_20R34l)0HAHK4*GW`6e<2<py&Rj`7
zP`!(1=F-z0H+S{STztA^=cb<dp1+sHKT%n7Y2o}kai=yN`M{L@Yb#T>+%=}`dDob-
z<*qYj&%4f)Eq8+{d)^JEY`L3E+4CYR?IuP||F`Rl!~*a6jk|buti8Wy!ogn?1)WPI
zjYFn$9yXczt={*32CLWaWyzl|M@&m&iic+;)6bWfvelNcnmx#9G!4GYl>KZO>op%g
z?lm*#zUnmbj)<Klx<>CTQ`q#hzpGA9xV+0`b$INoqpS7K-U^-e(p-IZ>r6e_btgbE
z-~1$fYmV$a{SA8ZA`$&lS+AvsHu6TqCOBUcxzSP2&z*DT7SrqvesyWVuRqP5m)ifn
z^ks4AbjIr?l0~u81<gw&i-V_gZU>d7ueXAdr+d`DQ?-}BF}?oa*;slrFu}G=EMk5v
zt64&MBk#{G2i)FhZZMoLdZXq07nfZ*7nUA(i~W^zDfIwXY+KI7)FWZB$8s*G9y<O%
zvMSxCc5?l?BNbOIeJ1$N+9h<k^yP<jda-FQE+sG1t3CG8C}EnObJ}a8q&~gmXRnMB
z=gqR6-nr^?MRcj%<PdR#$Wq1kEA*1OUL`GCrI$GC(WShMeXnH1G(5G-oi6{|t95pl
z|MWE7#on8zU0fP@`o*O+sU?}$jCPr1OUBOH+M{<i>e>D5oAt$!E7GndPkdD)wpuTt
z^Oe)IReDJ?UnNajt@p6yM_Kpmx-AQi*cck8zBKrM-@CTVby`GV!r7V?2WI^YXf*x2
zkSY6_GwZc8?ObbS@{2|o%WG{&vkOTOc6-%X61l*;zjfD_nX67W9Nonex#)Dq(p^1~
z%TBjEo#kV_i2c9cRKERx>aA7lpRUW8G3{<qyvd#eyY>Vo*xu9GV5iKLbKq~2QTv|I
zf}A5On52z&9Z>7JxcSW`|LJmnOJ5p0OlM>+l{E60F4$ZuY3wqc(>Zk}U#04?SC<m!
zfQqDzD-Kx6=x@+d=UQ|8B-88SRR`GS=xtC;=gK)E&T957r_tA9x%#ElJ@tCFYA-IO
zFVbVacP;gVO01jDwbV1#yL@IWI=LnPSI~66_{^W$-+jZm)*LQpz4r7s)9b>m2Y$t9
zZ(zL6opYj>NxE?Jfn#^HHgInL>awe5t#|+DU0;5>O=mn_BKc{pp0eI6qi2)!g!N7v
z{mpRfjNMfdp%2RDH8BaY+r%TL`*YQ=Io`+gdh_N3Y-_YPDDLLYIkKG9EX}{s_lJ-F
zlGE<HzT8;gJ->Gs&y6LgC!F2Yb7Rrz8Ebd>+*o#UOa86Z-tD#EwD|oK&(a*(G|`CZ
zH(9SG`!#-@8J)oVO!UTtpR8s{j*Y#M5edR#CxtIu+4bi7<~M~7)9u(xB?~;JE4G)`
zOBT9JH=O_4=-~>T%l%?gB6QRj{$b>v2F^LFxz`+?#q|19V&m6{=!Dv9qBk18vYI_v
z%p|>W;elg0IvY4=e{tERb9L!)qu5_M*TKa`W&CtO`4>iMOZ9}qGL7EOKKAlb;)H4Y
zi|(6jI$*UYG$GbaG@}0^>$P;BMqY{NgnDN-u^Sy9S<TX%8hvN1P`{MALC?1B#ijHW
zddzJvjZ${#Dc`%0dS>=6ABm6em+W0pBBJwg+bbEhlj^>)oilfpL@e~4zIoRdi{;+)
z^-Co`Ox1JVcP;fuW$dw<#iv`iv%bZstv>fy_Ucccz}N#JS6h98V~><vJ-TQ0t`^BI
z@7)XY>qDOx1bRm86yCMayM6YqlATMv`)}|1^3!oTqx~zRCw+R#b(d1l#Kx-qS(A4D
z>7-XSdaKVp?|Q|wFF5vu_^R$5t9H%EUgf<*Z&yi)cA<YM-|wSKrp}Fg{PI%bcD-1;
zt4oi|#r~>UcDiBjE}omqPj{T%)nmEfbW3yAx0r1!&LyvYRbR7hrQU<&tF1ZVu}9Qb
zb>CdLt7UGN_wPB*tBNhwsI0y7e9pC{hb3co#R#Z>OU+EPeH34G>XJ`YN#-+~T|AP@
zy^SAVTsrf^i%Vz9|1F+oJ6$;|&DJh_?)kv0tTMr|Ckn5+&IyP;<9Stej!^6`PA}v0
zg0JKLNoY<!vSjMqna^Kbs()x3yX(xQrN?t(f1SCQdLRZ;Kh?w@)47m($jP)iZQf!l
z<MV-YXFgwX?o4q=`ZLQ_-4aWoLK=E+i^W!+OWZhVOVRw|=k_ZuJyeg~b?55R<L7sM
z*}435!|z=@l?zUH#Fu0)W8CHQVtv~ArSo6etozS?_UD~|*aN;->s#*x#U9DMdi2kN
zT`lsi)9Z{j9bwp5_q4y=C?Ll&H9V<BPuA_#rKh^FRX*33o|lVdt66q>!romyH<q8C
z@pqSx#e%f+sS{q=u&q9q-tmg5ZIxcij8{r+tM#7oURB+*a>thQmMg5<oxLudsy(L}
zYc=QE($kW$RW%E}=WpJ{W3l{n{RI6|$&XX@lJ{LpJydC0t=As<ywIi8ZvJY$2P<AV
z^{v!<wBuEhU1;nf&x=dvSLl4~eI=vU;i<h_NW1jq2CwOQt4m*QbenD`|H>#~t)BC>
zmqtl@^^&K(FiLEmWjj4T^!dk$uWF{P(o2~6%4ynay`<JxN%z8I53z%yxOY|Yjrt{^
zIDT_w>0!OtU2m=}J#JYT6*$dKxK#4PHa%yxmqtmO^pfvgOg$u0C^x}t`q`{B+ife(
zrLTU)v~8u{ljN(eIpMKq)K_`mP~7!pa*yh=u2TK_Ig>M!E}dF;BqrAC%;lx0YhtT(
zE-XFo6w9V_DfL8Bteeio)H6Y`YC6H2pBvT3mdd?fbuPW_6;s+Oy(hL;UC#u?o?*GF
zdL}UDr}B?g#SsfZ4!k2?o_gxi!$!T>x{FKQ?f=fQ`&%k$;4od0xm427W4d8;>C6w!
zKjxKa#oh}yer@PdYG=RdInR#8yC%#J5iblcHMC!?_i)~eOa2<uB($zA{A1|b)(Hy4
z<oc4A24U0n9+$i{jGJz^8CEPIN~Kx0(;u$-T;W`5m%mCcq2-m6+bX?Bx>t|(1jQc8
zIJ&g<oZ(eVnea(r?~3<bS$a5pSIN()>2l9YUw-b@W7d0N^dvggE#^w<8P!;|n6S;y
zi=0a3)I*;adzCUS4;3$RE3FrdU!nJG%_||kF1^1`5<9h5760(_iMly8VzqaB>8_H<
z_1>FbU08aaEtW0kO6m!<Sht*Osb|Du)p9~NKmWA%mCd?U=hA1tVhUTOmooL0QrK#}
zwBA=jb|Ephp4Tj}YVV)AWa{rZ=SyC02%nDBRy7Ft^CdU3jpssYy-R)Uu`icX59vR8
z|7*#m)ZMcp`~5?nZ+0$av<?;D<XtMbJ5>Dh%2z_){<QDE+P&%Z<=y_b*WY?2C3VZI
z=5zLJt2yugo!p}p^=L|qte)46)HnHWf@cSM?O1R;oayV&paqQ2S)_`>7A$KP%Qz$1
zs5a-^#kO62yM)r?x2-(9bNc4cdcDg+(^cLSL>|%$S9$YsZcFXH=^I`)sK|V3Yf-*8
zrQi$)r+Sabt9PH43cQ(C;eCj2vC12xs6%qeDsPNq4lzDfkui!pBq*#RV;p#hGoQQE
z{A~!wH!q(_8xE&%?*1};!*T!p;v3G#a<c!?c{rh3sBee<!x`*CX0Z?7zuon_MpvZ%
zw*E!`Lww~e!N2vGmt^!e3VoAQdDw7Jh)+`KVaGzDK1sEQEgKKj@>}S$u&auB-$?9i
ziJj(kBY9>^?KE$Tgw_`4d(#V!unYA?syu87b-L@kPq68*&}!8;8{7`*$$Q;MUfWW8
z&C?=bZ;Nx9r$tg%!0ZlVrrw`n3pmB&-~9@$zsQxFljc(vP`%2it50mj%U^YqHN#t{
zNhl?@%Wqzs!MdAA$nWi^X%4n-TvyUt9GLHktdKNgkur=~@QhI?<In{eU*pK61rD-o
zPmBVWsn(rJUDV^-uar3byvm!6frru#Dm`rISCQEicS!KHip=J)Lusex%?+QjQIe%)
z*X+QO-uePQ<F0knHk{VttX?x^!+CZgzKZxm&sI(<I8wxUTxW8@p`eBD<o<#ccY@UF
zP1|r<iL+W~%7*hyoa|?&7o4!+bU!n-;EW8X`WdlT?>=`6<hWfF6)Lk(Oq~8$=$nOF
z;{3%zd_Q6iDY~o36h<5}Y*(365U`^90JGwao<;TSDsMK#A4)r^nb^Nq=-W+|hYhwu
zd_O}DrJYoLI3rfb?}p|T+b8=#X37ec{ct&yCaaa$->>>+v+p6sXjPd_u7?C?x88EO
zBb;&OJd^K^+kbE05zTVDc<}0BNB8ehAq&!UqwYqQ1a20ay5X=V=k7TIe!15cF_{+E
zG|t+(!r^Q^Scz7^W--wfk=<NZ*0>g%oLw^0a!*2V`$7Gb)iKLd-~A0cz-RA$<LR~*
z+jAbzzunY-*uX5rXQ}b9!&#`$Qs-fdveVtOZ#+267Qc8dRA!--I6YbDn}uHDd|@Fz
z3(bcUf`$5aXfL_lv*`Dd7cKfNyJmk~1PaM{qURFb>Ms@xnRQok&Q5nYl*Xx%xY=sb
zhDZw!i$_f@XGLNTrSa)qxtrbq^1ioF*$$n=>DofyZs;V=7Zozwtj^h9qwug}s!(5~
z>cf_+PIsAqaUV<*iB(Q)=U08RDeREkYn3;f;|`@=(|I_7SE%oX#={xDLVgi?S8SKe
z2N|g=R91gOD{*?RklErDEw$UcEglGSw!fKBaKw*uwq#4d$&UEC?8`IQnS6KrzrE_<
z*2Q~V{+d{qmFNZbb6)w<ar5D(?9N@`hxq1u-bn2)$eMnh^;MzE0=srrsREA$X(oah
zM;IHAy%Eniv=5X@AMJ3E{q;X`+o{y3M}B(3sX5m^2$j|UbUY*{uJWeX`w*kKs!Wmd
zA;IgaGR3}!IKx|a?fxSCDv#~@`_|$a2ftc#&h`sCBsX34O|j=8MsZb{PitG0%{(m9
zR<;OF<0$?9!<ECV`E?Sfwa%msr=2*fbtY^$U&G0MW^%!a7*6*y6ARAdaH^jXdiCzJ
z14v=DP?>~!;`Dk+p>Gx8hwLt^${6?_QVdp=F?2m-_};@JaZ|wehTl#bBxBS)Z#>N9
z-0d@E!*LeQ-#ya{4)}1kznNBWM33|Mn<)i{_!hoX{N9payrWJjvHh)3+0TeWa<^69
zYz{rd7_B0+De{ouY!#W!fh$suoM-CYsePeke%)lrn9EaNZ@T|yYkk~*Z^^CS@^aVY
zS2d;T|6LsSKiWL=cj(H0A9p>w_qNn`uY7i1PQpX)|IzFB&%B(w_x5~^jShx<pW|Px
z3Rv#h9h@|;MfTagFXdH#OSz~2__$rD{D<Qcz3ndV4F9us{yrnHGjqq?;<;MyjNG;M
z9?#+Y{iY`H0ONbFi;DRk(|am{>kk<!tIRR@(0b=@Mo!k6_jfN`IZ>_uXK!5n>RVgv
z{*_d_$GYDyOMA+nm78f)zVUIU-LJFv-+nb;<@>ZlXmh+k>tUJ59cRvp|M0xLOKQir
zq-{?vZ%!-!dNk)^P;6%9eeGjBk9Kaco;t1E^$_3jy7{?`qA^L&P79UKP%N6xt@M3I
zy;{-y*Gl|5F8*y_p!IIkp5OixLvJKEi@Yt4KEzn8Dr4k+NN}@iPMZ>^`kwDa@9Ijn
z@0qQi9zE~#hNpK=$zQqq>Du$Cd6%z#s;h_;NxP@TJ>B{zQ+U)pe~_Blxs~O`uHL0f
zpZ;AV(O$h%d*{??<?)C3_=|KGG<nyk6t(xe*5^%YQu=;Ft7yKi68{Yy(aUQZRo-lL
zKV&!G%OYWWi*uRhjWs5!GMk+ban678-o5<v?J3!n?+#DfZde~semZ)3c4c1p>D%+N
z6XqUz*rF5j?HPAWX65~VcDFz6$vHjy>u*Rnyw^OIn6|F^gO+Z7TZ`<yvtKJkW0Dq~
zkX7rbzmvM>gzd7fJLyYLFxyS&dTA7UNba)A8)LsijKL~0pZZ#q)x0gzCbbCPJ9oeT
z-L&uj-fz}DUwz|K*c1L&d6n74y60bKRi3WX=W1WNsbJ<@{eq=Wb<b_JvA_LE>}l7u
zS6-*1S4gz8?$rJ{b((qnAwK3}kl$rQcODj3Dz{WFs_(z7^xabRQ^VvS!`NvaHy$^&
z)b5*DaG;X2y+-$8$9ff+&r4f`&pics-Fjkn<-fzz%56Yi|7~#n)1P(I%FV7HuxdT*
z8o49pl=zNIpVmEzikpyIdH*@s?%k^-+Ov0Rvre5>9(#z-931XB!aEOl{P%yPci81!
z;j{YvUU!~vIKk}qH+<m?J@ciPs_#tNF!Q;m#RGQE_CFdAJ8FgcZt6X3>HqwF@$RQ{
z|E#|`P247@Qn+~X*9E(uu06SR&w|xYd+P&5_#US`G%_<k$gicF?~~Umm==BSMGQC*
zP8LaayM1TsA+OWb{)hNB$DP^Mz@@h_;t8L(%ezhWAy4E^ySy_FdBXU;SbL+9xpP}c
zRllXsx0%`x8!CnPX6ireU=`|%)Ogt9`t$e2yPw`Yy|(S);c4HC^Kzxjw(q&RbDDVB
z);;r!yl?nDEN~aovwvnBm05Xz?}XgSz->?Oo)gu*I(1sPw;`W-ad?-O?)$bD*>%s$
z%(ZqLc@T4YML~VLqf)t~Mo~Yb()XA8pBn0RN=HA_yT0U7b<ETa=VxE?WV$z@;6wqZ
zJI~~TGt4h1aXJ_2>c7gX-2Wsh?#qU!XHS6gK-kl$xGT1|p6%M^m$=MvlfmZ&HMUy1
z?@ONDk`0wE)2>&RZs)JN{B>uy-sMZnx2`OYE#5oxT-kfSvRz*Fo1+yI+n?@Vx$kf6
zjw375Ro{J>b|TiT>rQgZ3E$HKJ2R!ua~&<&sXO)F<2UoUZlpJrOltTj#3!l#uw$c8
zpQQ4`mW$_~y$dbh{QIYW@%L3*pRPQ%t!>)!S>8O=Va0sT#pyLW=9;+}@--JfFJ5))
z=z^Go*4uBfdEH2Kc=xX)ck9}Eft{J|O68GiMg2>azDFv3YB(BX82iin#^U)cwRI;=
zr=MH9ja`Uur~bo^YN0+!y;!4%fB)=#l_%c)>HDfxu{t4hBab{i_oHBQ_P!*UXHU;P
z58K+kb7xa|UdvwH#P*vze_!4zXy*Ea?|sLe)J-RB)jIE__nlzg_WYgObGI8hE5Fps
zz4yG4zO02g&BNkJET_BA^nx=coa#Pj@2`GWR%mrSen;rrM^j$O`2EZL*2BMjk)Ot~
zhZXN?;zD;@Ogo+P-0W%~r*+N0<u`v{-s<~x<_Xzv9e17vPpp1({_g*ExvTCb)f~`U
zIYZA~<xOG4A-i@JnSy{ritH*f8{-cd{#Kc@!MwhH{jS?bxBc0>Dob2`^|^<W??}q$
ziM$QyIehNU&p)fmKE|$l@HDnhs9g2Uhv0YrLJB&wmCAQ$6-|Hd`tD=viQ07?HV-z1
z_)NDJ`t~F6ke$7U#e;1v&Uu~|kCwG0=XqE>toj#My1m=`XLaaRJ-t=u9^M97@Hi%*
z=dk(C&#a;KZy(jHd+^k@Lnz++#>3Tj{;qr=#9XIa*#1wc{H1zPe|*tj*Vf-Q0y`r!
zp6_~TRQ@waYwvMO&fjw;7941KXMT5e!3hCQcb;hlXB0TqdH$5Xi?fZ%cz*29f>p79
z)>yUg-Z}B*L$%YXM`v6udsmYfy8DOW^qc2+XUMC(DX=cD-(^;xu&(3I7k#DjO8x74
zyUY^Oy6&X6onXFqcIn<?=4aDFs`_UOecP${u;H~3-%hoM9jk@<e#RZ*y#MrlX!-6L
z|6bn#1>EJ3=LV+NFUjRK`ULjO{QLBrc<9#dlRM9p<@JMl0=Ge_!1mL$6S8hycT!tU
z*xnP_d0twHUqVmxa((Ke7TY$j8|iymn2&i{q^xOCKIUPOwxvbb4Qz$PwEf|sZy%Ms
z`&suw=G!x=1n1=B`PYvB)cKz9ZDn@#n(dZX<tAF!XcbMrd;Z_qxcaSK+pm_IY|<9L
z6ZStP+Buosdj8w0wW}tZZ<F5j_~yFx4>NL$q^q`@UMn{-Gg*4usJ>VA*#0G6?VP(z
zzDG@$%YS_-{gQX=`%1~1Az9gfgzYN7<$w7VzdP{#GxcBFHvPT%ZtfDb>vI-v{64ui
zw>LMp=Ff&%sTY%eT+XSUA>v$rwKjQsT4nb9bF=CdirUZe=Vwg5eQ*2Tts8ePe9CEN
zyGPKMx8LU4@}&poBtP>OE0M@{uHURw{W6i2w`SAEeMgS1@(Q1Exb019P};Ah&vjoI
z{QddoqMm$Y`j?1&!}+CR+!5IuC-Ccvee5f}J3~{<*n7|O-P@<k-WApGQgXI@c1}iS
z-jln}ch?<#xzI1aCiZ^cw6tk^KlE4swLKW-7m$>+u)N|m>(4jO^32Q(UOmh!KW2Be
ze)q!1AB7foZ#gXS@{a7=x3#mR=W7{mJ7CqLy+Q9W_nPA^Os|bM9bns|`*ihXyPH=I
zsH{A-(wyHlSa@>$kMzC&5~rKpjjMK#PL7@L6@LBRrR`^X(%*-~?k_KX-*@lXj&{wt
zfu-9&UrS7DG%cQ~bvxvG&M$_~d$wOU4V63il=a%f`WK)1<v)Fy(r;?E`)lc1nd*P>
zUw$1A-LrMw+QTxlUwzxRcCBvivVSu5{}S0lUQT``U#nyL%jIjN_2wyMn(D6a3cQ}^
zEq8ra==Q`ezQg9ho6r2#N3I>8pXb$m>&(vQyUqX1zNK|H{qSR5+1$6XH9u|k{_~oi
z^*$r*_u;cYm#wn<RR8%o>zfayqIvl_M%T6Zy`QiC6nb!O`G4t1i<lK!N$%0H#ntog
z$<B4H*}uv~mf!nJ?$xEcHy(E7+#OT(Z~m8Gzvu4A&t7_U+cs0XEVlQj4EFvy`flFV
z^OJe^&VMu4Y^AAL$&U?EW@guIFI~BD=9BpD&o`c*oVHIkrtU`Y_sUJSvh~eYUTfCd
zZDEqN+qCd>oA_?2;_JNCTk?x*FHfF2yJYEav+dVsPrDb{=Br=!b+4xH>{{d5@o%bf
zcl~|&?bF8SxIfSL{tKL0VzRUJt(x=HiEH<*-MFya{qN)6ul@ypkJjIOJzwPbjK&YU
zpYK+4zPX}A;{U$gzmCmZ`0L`YFyrWx2anfZKJT8pVd3Fp@3`z*RLeN7BrbP2%b*d^
zf0ya&&yWR-)htq<W;!T;<1$H`?;!l`wrJVSl=fY!d>mIEE^vtD<Gk{CgF|f}hslGi
z@9cNwE=}0X)N7%1Va8-8UyIMts}IS6mf|j}-{AkiGDdvGY17848pVM5mzj7iR4+^r
zX6m)5SHCc0J<F^QTVoUF>z1|t6?*<w_y=D+*OjN$ja7F9R-Av%#A~5-VL~!fuZ7-)
z8ShzU6&zhxa3E~=A;-?s-#D4ty_rgPY6SG>v%V^JT)?=SMat;A<!rYz!Wn038r5_v
z&aEmqVYd5FVrT0&PN#NPrqW2AfPU3)N0schRZpDp)~w#=$CIDyrslDJTbW(8W$D`f
znb&k`*JWQ=J7Zh?QtlP*v!muE-7F4e-&$7wcG>m#xY`TOlgoZxue)x_Q5JG+<?8*v
z?W_Kt=E}&dJ$7d1t23cdQC4UEU*EoE>(c3OWbXcWdbR%c>-duk`)9FM2bbpTzy9xi
z-2Y`^IaZ~wbEh$!{c^qj@a*VWYd7;(oPGJV{+q&|hpcjYe(nGMEB&hbmF-_%24BDP
z!Q=inyVAGM4xHjRyWsl2()IOvN51Cy`QO{Vb@9UTYRiHT*|D3a#w2(@pV_wOn}79R
zX2k{m$@9;3Ec}^mYGN08|I(d{*OHFC+IDXlt4-+jY3Bsm_I|7X`s;dBg1h(p`g>n{
z`?s2I+irj9N>Uoz;cU0rZ5Ot049dJ$@%H|gU*cXRduPu7`tEYZGp}XW_WX=mx3puT
z|25O85*IHAv`@6<HJ$r<wJp!r9lx@!Pddo=_U?tGMZQ<pi?YwunYrNg`e%8`p%Z4Z
z&F+w1U*`Ko-t9}CM&U2f&e^QTv}1+e@aS(0t{3Cmo%-<8N*$YTCk~~r*J1kBxwQ1B
z*OO)4;ycfKPMkfn`-C%Jr%lrC6Ulahm52JmcZrF{?%8W8Yp5b)9D0cJGE2^}_Lo9s
z75<0xHmkfb{5>_s+30WQ%WZP`YqB$Pva>4B@Hm?)otf~(>-wd*PK}R#YX#cRXT==n
zDKb?$(-2;-d?-D&x1(X<Pj_u`L8G0=ER~*J>*%Q5?&fl6O872u&%1(|{<m&iII}K%
z*S93OU1^t1L%tc#{u$v>?jF4QWrCKt!RF8Fvs+!}tP~Y0-WKL@Xs487+NXc1YX#ar
zZ*_{<w^H;`;li+v^Gl-?8K-Brw#2Ly6)IdC=5gk0lp-g4R(;EisfP|mDe}F}Zk>@@
zxK^OQcdJv4*;Ovi8!_4{Dc)-*bgW%plG|L;7UDbAYt1S9=)2oy=B|J8%>JqG!&5hA
zy$db*clqI+)jMw{mL}Zqo92FI%c?z|Q|IlOssH(N%c>7CFT&TCy<Zfu@N1_0g)Ft~
znz{3B!rW&@#(Ec9PW!z6RlR7|pQ$_JoRgh@Uq1VFj)um=$No!0wWb@+EsfRKQTFTn
zx}Pt$uYYE>YSoTyzub336wKRptZ&<{duKHtURr&3b(dGn{Nuk$Otx4kP8Ss}bTu@z
z7M;Ao+bpm1Zdc)t5JSCck;flrCY=fKFl4kA5#AJUD9A1%TpVD?*`AX8>*e8}^}A>O
zv)*ezH+qFT*WT05*FTf9{%o>!`^;lGQJ(`}-|(9*cYFKX<e!N<A6?$RsO;Tqx%Gk%
zH@v^P&OWfjealt*$m@B}uK4yvzT2z!^4OMakFTq)uN5lGzMh@-^z}}?<!{dJeEn*E
z#<S+@yZ_C(nR$Bl)zYuBd!uJn{{I?tadpbm(+lduu5=Vc#3>(2jqK&<UuvpUlXkU(
zBO*pwDdpnpovvA-F2^sg?bWHVz3w+}&HEMgoA&v~W_Pl#DxR_4YI^0W&ofqA&9_{|
zJ!9>z399R#PcvDz)MN?whM6-vE56@vOn>rma_`qt)ouIr659LY*T?0TJQRBE@@_-e
z6FvE^JITE#YU`JE+az?Ia8~QId6YZxxQ|HXAr?(uz1vF)E=Tr@?>sDhDbr?~*Nyb<
zma{CbhZJY4$ZU){WGL@xk+?VDgZr6U+1b4L*=uXsZ?)wtUin}~>H3oQ&q52Vo=0Xo
zxAVupyl4KVO*N1E%EM_6v3Xoq9=AEv?i0^AP+oR0=Gu)5$y<5rYwvAIJRohxW0`Zf
z<F8qdWzyjmd7T?UNq1h0nRtJ={`qT;;ZJMLfa$_aUoG?k<|i}pT4aeIOkKunn|9;E
z^H^!NHyaaAthC9=u9$Urrr7Np7e1c2ytef1+nNtvUlX!+%)DxQ>-WZexusJD^*1Lb
zmE6D9_J#j|cHBxiwb`@olwGdRE$h3WGd<<`k29Oj^w=w(ZOMMAeRuYG{@iSNW0TDa
zn<YF#Q<Hb?e5(2E`T83lGirZTHD12=LtW+s&rANLvrqk<xo63yl~;Y(XMFbh`ev2?
zufM0}#xL9RzVxO=&EKlhhpT-$^-WTrEj{%!W?xXx`RQGqmdj_fTy_#X_5Rb$OR1ac
z<Ce<UU7vC~(6>_N@|5$1zN~XDgq(2ob-i;n<jnb5UOSd+7}xGwui4H%tLUd|u-xCc
z&?)})zN|bKLQXjNy7F8KIg{Y4$`d#x{*n69xP6BW-fB#LIO|h|b8uSEg^&XrzO6l1
zLXJew>XKZ#vgKkImsiilDTgC`clKPKa=gO#=bidMBYBoTGiC;ag#0o(k$vs({hy^<
zX2)$lclE34W_b<vojD;s2iOiSan;@!XUO-uMQMs}XSwN9gV<ob%O+0^Lxa<})~xI(
zH<@Y>xpLa+sHX~-*X~=Y*}mQMsZn6CoUqAL<G5hP$0njiVZnmQCZfhs!JLby*i_c6
zkeTxD#Pnq{_4lq%InCu;sdIhGc_Uv|ozPS7HoIA#_#)~(rI{&An|<cGq>V)ZC$x^b
ztO@Zs<8)NzOvTw(YM0jEiun@tbzjaerpoAlr%l~c(lYs-wdOZV%zQc1D!0n>@-x$?
z242B>i%p*zx&_-Mo2K5{v&`vOwpNl;n$ew=tM(bVS#A1smo2|OecLW=t?#yBlUt_P
z{pm=me|TrEyv3i7{ar;n7HdwQZ}PM-JlM`YTkFBRWlrz1wH_Ume>)|t_V;D|OB1I~
z`F8ru_u#J|Hj5g^U!HTA>FUli=l7H+)+Q`G!e6h$Qy}rdE3x79fkzDejz<~onvK2t
zU-8?WIbEVTlVA5t?b<5Y%eU(P?)x{#=Xt<ivzHUjTzdCVdZ}~#%6qThU%1Dg8u2V~
z<2_NSc{g2NWMt=Ma|osFPrJC`h)(0Ir#l^D?Sxhw?`Qh@({Tagc2=n(?*)S9tWw3!
z3plUmN=@CN7SNv0^6JxG2itwZE6$5Hvc-sHoKS6aixJ5<vz^IzN5bVDM?xE|c<RsR
z)Q7A%ZO~Z7Bf8?eKqDKESjGv3MmHXjj57?4YIinfPn_~1{kR(6evXZL{4B2u;}_U{
zXOa4_*}?f8m&qgb#$$8p)>chl>@$1TrL(h2)|Gfnmt!w|S?n;K@pq}@CjaSz)uodE
z|F_P)IXxtFTKnv+RcqIsTea?AX!+Wz7yqPJ)xVlo{O*T_Wx&oery5SU?4I}NWLwng
z4=dw!g#uI9939+PL#BALO%0Ts8X5A;(QW4Qo18Cd+k2$b@7HdY`~H1y^)YGnbA3HK
zI%}UA9l96fdGgh?qLmwV$7H?Hn$Bi@dTF=p(@TfNpI$ot{Hf7_Z$*+x(K~xKYz+xw
z+PyqRD@J2_z101w-p!w%UOKw7=;gzlonH!<#jsh#>N(HRnl8vU&8y1TDpF6>Z;E&C
z<ENJ%+_*2@U%z+L>RU0nKf9Y3*G9A|{}H_}TmLx!tGDZ_4-*|s?Zj3bRA*Y6<Gw)d
z8rPNMR*h^C`WFPGS)>w^CkDOxv(iD-PI$#Jr$#9s@fF>YOke9iEOV$mBa+b&$;4|I
zy+H7pi00DW#jL9g{1-^&b6$Cn+qi3negHo=%d6yB4$OO$1FW35Ob%7MdP#p!YSh~E
zKj?A1ijY)NsGwWKPoZkg((n6PUWENz5OPU&^NtuT9nI-d-lvxy+*I^3sdDF+4~aX!
zY+Mw>b|Xa3IY)20;I=7V^}F70o95k`yU52}A!qx(tVt)<<q5I1uho5Df6JP!+$`M6
zTDy7o%GK@v;^H4>rAHSVr>gRWJ+m)+(aN>@(|y+~3!8bFLT^N@aY!x|l&T47(YvSX
z#6MqTVZW)XL_)4$TSRz^;5G3F^E2YLoo-$03P=tXl*-X_5|8e>aQK?im->xsIbJUK
zxPR|{EuVzv4pB=oS(klit1Ubu)1%>ZY9e=dwvVnsvnK1aM_-vf8|*w#<D<2KL6}?T
z$YpVh?hA)cUp&;KW6;|CCn{<hGpif>3#P@z#f=vWcOG~#B_^T7qHf{$m7JX2;wkd(
zU%Ixg_nDTQ->50`XnNM0hbI!u-Sx`q3!fyayW5o&h&<r#bE-KP*z%U=qLR$Bjy^k?
zOqr)0eBm-XEzV7B7nb>HaWb$W|E=YlthWUP8~>fY9k;At<pC4D|7#cSdUE`x#aE}p
zYGH5x`+W6(dza6TJLF-HgXuZ0D-Y&4#Lf|4(ca!Go#|GqBNw%VaT?Fs|HriLTCF0o
znCn|povZ7M<}k6(=gE~Q^O1S;+~c8kpUJ*w9dSM~J1q`OY;TtNX>la5;jm1r#i78K
z#W^-y*%69TkM#OP`ihqnHYqnxmf87Znlk@#i%*5K7Bi$<a1~Bl%<=q2g~_!|P9-r`
z=O-R2m&uHIaN>cIyPjU*lZQs`cKhTH1vGqbzhPT%`lI3N-J?}*HaQ7Lj=Q-r)tvI^
zI4{w;;pD!Jrqgb_W=u0PUbLZAuy<{bL{9RNqh)S3HRn3|^(8nrG*1+;mh3dREZ1_d
zy=>x-^VvNbXA)eJt(4g=OB8-&Q<ht1^eCB2nbFNyD9K1!Q0-=O!Nx;+PMt?x+(gt2
z78%YHY%c7n-yw0jqusFQN5x!0uU`@iTlxjPzP)j*h{zQGsPQ?uWs{wo#^dCcO}1_t
zmy=sI*|^o{q_k|ZcGK9L+_K54TEH&srfZGYM~Q`B`UHDRdn95~j~q31vx!MRa-7$V
z=}wBu5nVT>JKNX=6Sl^x6cn@zw(jo9xRZS3ft|9RoPpE(`mH@bDvo$GyqDxGY@Ntq
zf2*0}<HT5%k`KblT=vF`KF$zqR`1zSajK(z-u_!hj&ry%?K$JoF|o&`V(GsxGh1AK
z+{n`^`mm}taEU>eVDEJaLy5B;-N|dx_;*Ww+}J*mp<0r2WB){sc)qp&7wV`*o_q74
zSy3oF!e+_8sh`~5C2XzN5wV-pdhGZngC8zpdSWLJ9gd3p5ux7A@H$m6saZ!UM<m@P
zxwFFYkKueB4b^F^OOI|^a3w-)irnd@pp?jfSvuTaQ&SyZ_Ub6rD4y#$81#{;YV$lr
z?$(!^F3eElZZ=POn6ygA#%ChyUgc9t2T~$iBviXOgpW!VZuHsHaPOyj{RyYUJvt`G
zP992FqZ89Jv9<l;rVk%y=`i_Ba_ulrb#%8VbhFVB*I$r)L?=??%(T{HGLaHzrnYuh
zZu;<Hnoi9g-EM}@se(z3I!Zbs=|_&YKVmIWt8^FRdVk94v2CQp8o}Fq8=RgfhIQE-
zTBZ2)ypQp{-`nSDtGYdWHKEP_@~Zlm>1!fBCMD0hcPl10Z*5rNS+BWK+POROVtrC?
z&C5T?%e~E%f6cbC$Nx4Q^I21z@HEm!;@XEqwuL{WW%$=7UA<;+y(9B!L5oOUr0mN@
zeRsCqTC#C#iRoj$_Kz3dnH_rfule@8%5w+zDuwKbZ;|5fy6_-Ta92f0%ap}Y8+PU%
zXsADzXCr$o!A97gC)46s$##nul^2<~l;d({v_4s1ocy}q?rcYMxwN{88`t~7B^x`G
zo4;EYePnc(6Dxj_%<0ZJtw<zE(p_*G|K{V14f=Z9+kPnS?(<svz$01JolU>^$)mW(
z>gk4`3g#=z^ILFzn6Ox&-=gb7?O(%6%|$5_d)w>%l<haXej)Qo*<H%MFy(`>yPjO}
zlZTw{cJqov7POz;bC9Jhf9H%79qpbyKW3cqXt*x9kaxyJ4)!<F(T~^Nm7TS;`J1bW
zXS>8ji!&Vu1>Is~QjZ)qbgPj`S2@7x<}@co<<RzT3OsJNTwly6?GkLg+><dU@yG)m
zWxaigM-ErI)z{P<_h{hmY1whYqs9B1Ld>2d@r9Ewrn-r&GgwqORj@g`XUC3n9qrOR
zKX#n-Xo&7<*>TpRW$iZwn>|_L3lE>ka?_AbYPqD~rtv@V$WbXbjmL>CL9%Wd#}iv7
zNxNyR-pKwic}i@{Ir;fUPMkc-Q+66SX>u!1S#9trQB--#T!VV2Oitw~{RU2*9kv||
z>u<U;v0F<7-Z<HDOwWy{%=l3fzp~snqesc|%8dI`RF2fSDb=L%D;>$$?eXG4wwuVg
zo7NF445tcuO_eA#>=op{Ecwx}c_Kr;kx-JFvS8X%{)^q7Erw;&mDxAH?N(~F?8!Kj
zdL%(kS?^xbk;Aupe$1#p@6n*y(-LvQqousfbWYXl`^p6dPEWYXU;o#>@QCjiuP|$B
z`KAjq6u6r^Hx(Fqipi;+Zu%4($r7Q^Ex?{4_;7)RvXt^s?iYW*PS6qIJMHwiLx-pD
z)S;t>krftt-16!vf)AGHIMrx(b1Xk1X;|U9r{Ufj|I<zndm<)xhr7P3Pn>c>bEbG@
z!$l>2L$@b_$Hc5>Ju9#`OPk$!!f3(f!%~xWzlk>GtCI>^!e!4L@_3^I@4N^TKdHyh
zBkGd(_B~$manDsd)n47JryOjy8ZFkD`sbY07WJ18So=iuqI{3Me4whm_|&C?r)7>!
zE<Cz#+wQK_rA9keZ@qQrqt3dkv(I$YAN%?%E2U#oxYx&m<cR!vxrMXZXNRetoa|Hm
zO8%2sU1z76$wcivHe1SFb^Y}3{|btBdbmKxgzv<m2hx!{eng4Mm7O?rye{&>?R7d%
zZzj98?ET7bvu1sD;t~txEeqcYW-sE+-LCUuiXwOO+)V`^r|M`dpTN3QY|{cBXR#?6
zCz|R%@fNyeI18t%oU=PJ>ye6!*p%)QO+iADJ1o?>y#i7kE4y?wVkfeu>TX)_#C49~
ztr<tdg>%m+E$@wd!r0gKwcSGL6G!{x{ySDy8Y?ck1!S+@#<%M0`}pDwCnxgGec;`5
zY`N;OgvG-Dx2?{Y)T{pQ;jL5l-y$6nL+`VignfxUQ2$`D{W1PBEzN+=?^~Srzu(Ow
zYx>WTv$y&G0+!T2`}_A_x_2?~dYJsN{|oPE^}Ifu)${hSRL@W6nqaetSJQ%Dzd0lu
zDxxK}opoVyp|^mVn0e@*9>eG>m-r@>Z8-SywDy|!m$TQrzAU|_^xx)}*ROu_`hRP_
z_T0C3r)I4c7H=;$s}~S&`yYNezjN#CsA})*<Hq%cS#NW7WlN4!EM7i;jmWa8n!V=S
zUSGp3Hv4Fv(F&H@T>a}Jqe|G;Y0thzmG}Bdmu&c<`0u0WVlMk*{sx|z)zQ&R*>C6G
z-TEW*%;Ea0U-Ub($_p&{Mb=$eopqi0(aSgMcuERNEoRLM^1A%$!QXlHb-fOWf&TWl
zyk0GsW~+Je;MO0FhOFxf_Li@DT`V@AGxMOqD!V^5R>{}zby~)({1v)hB=mh~arLji
zW|3JzvQrt~p1mUW?5OO&Uf#^Ek7fS*?|=1kIHsNZ_hXn~x$wLLtC#U5TCI;-8tVVF
zbj6Zanyxb8f2;iW-#7VdbToF$Rm=JrXF3k4%sz5HAi*Wk&8Kea#ER_;K7T5heIfFu
zTFAv@H<5Wq`cHYD*t~WvbM)$)dtLIm%)NRJo&B{ow6H*%UqtWf_5G91s^87geS3cE
z!@V_jRiQS%j~_?>zn^Qv@wlt?@k#01m*4N7U3a{2?cz&+eAwUdJQpqHKQ!adKhKq`
z%3svS-gDcPx45!B<j%tDpTaZrd#%5i)Gd=+9$Eix)4vrv?AJfzj`W=VU)D`T>{d%y
zE~7Hn=jva7-M3Acn)B1W$mMu(+lp0!GMZnWBun3zr2l{KuW1v5TYp>1&Y51T5YXEG
z)w=oKE4inQhrSnHxVq~1cD{lQ+k^N;zCCF?)@EaHV`@F?+PS)XeY|Vfxzs;7Job<a
zcsGHq?$Wt$Tbe8aZcJmBivD~c!COq_ZH{aRzsR;HjmI|G7(~osk=4pfFAj1Py71DD
z-{jrX#)EftJZ{aL8#-Z&u{yuWvuBM5_o%E`7JA=P%Y&)+c4b1r>QAqi)%!L}?d89J
zCMM|Tlr>#TKAo&gsNawwFsp7R+g3m4=R24?-+G0=tP1iJ(ug_D+`GCmp+J>;Qs7+g
zW%isR=bkklJ85GeG1X)a%T~X3DZ6=QbM$UM-Eyqtrry)WV;5}<c1&RPJUn|d*Q7S{
zr;W!x*%(AjHj`n`JRC0cyT<C#X3t*F1qEvSCVtNw53bblkgA)}qs>__BKMS0R`m0M
zgq%wSLQ8n-C!5Xb`;iirZ1w1C<$^*RexANm$4eiY)-)}7IJI)YMlF7xvgN$bmF+BF
z+@DqVF{Zfq?ayyn+TV`Pt+CtPfBneU<&B4K*(_*|tf^nhcvn+mdhFDal(0K7QW|H@
zFk6{DZ9JG>=zeLzwUsNB<JHY}Z>^VCS)023+hH4niYX?s`?uDgi@EgW(3-9#FE3Uu
zFjVB{Ii~Kr{Cnl}MR#AXK9aWjpUO(Z=&#2s7Z}<~rfqw5d6Posk4(7>WwWkMGpVsQ
zXL~)VO**VLH|mP7)Vv8|z09p2D;F55@$=Mq?S7)hTR$^&g2^5Wev@fW8V~;2^3Y;|
zr{-e8_wrx5m({=f_4@zbhWcOi0p83kA`BqF*isW&!};1<K!<@rKxg`WaTf9Vilmg}
z&=6Jz=1)By=^9)0|1Kz(XJk-KV60l$8yPB);da!DA>QVIIRA&E%oA5jK9SRqk#h5X
z*p#qv`IBW&o>fh6o%n20-UNBACDlJ`Z>_0N|8+fii|Sp^tH%@g--vF`dAeg&2Vcdi
z`q28HkuuKb^w@5zOlCD;ZDBPw{w9^EQvWt|pJwXn13z9Y`1-f}?A4t5`*DZgo&Otl
zTuuJ>aqe&TMdwxX9>0*3W&d65T<z`bZP!2Q*uR~&@nhv9^OqZ<)eGKS)6VOwO!(gZ
zZ0oyscMiSYzdHKx-qOkkGis&Eu1odZTz)tD*D^(GcHzm}-Bk^9*=JebeZKsE?eYG^
zhu8OCm|I`kSoQPcnOz(6t-04by#0~H(f0q)qXdr4j}m@-U3+|P`;!@e<lnsXbv5%n
zvdzgb|JPe3p^X)b^SWK;cP&3^-n20LM(p!hZONbRPc419P?{@w(M>16UH+LLBlh^W
zPHEbdSflUf<K>oYHBHsU&8lL}I~M7a;k`V|Cas&k%lV{hN`3PS;foJ4KlUEjqPBS9
z!k?9qQc_YA+WrejzPzw;m+;x1-sM%y%2zKPsXTiosP$w@ChzohOOs#P@pD~N{}ds6
zW3G>!TX#5{*m|D()loIdGQ2<he!Gd?&)jbCz&cl&`-k6dHnIDemv=l0zG*D-VeYmb
zmYU_UP6x_w8Ls)^H+yMU{rfH9D}MMzr*`?LPUibCx2#KK{wcSQJRjzM(>t(yQ=-eq
zx!>52F5eVy^Ks=HVRgHycjxZ<9<^(ddjo&!?SSk@yEj%(@u@L4;}2i^HOO<vwv|)%
zFxHrF>%S@#-&Y%?`SIxu`ykI6OEUoxkU(?Ak?G0%Tij|a&3N=5o!*$-Q{P~{F3Nk^
zw!f*Gl`b`wWj78_-n>(*{qFqfzM7TJHD=p7)sHOS<n0wFaG$e7|Crq04->bonDXTF
z!^7dX<R<lRiT?3(SK~zWrONWBFKpjbsNobAB=jq+siEk8kL-~SX$i?y23}vyo99*k
z2s7iAJoPCwXwv?vKeBH+_`bNY;MLEd`gFD2Esmb5KeBJGoVog%mgYmdso#$u-~08$
zmuXwq+N@wQ5NeQRc=2XJbFg>jW~CKx{-nH_tQC^PQ|A06HTbcS_a@U<6PWp&TUZv(
zOk5kHIYpxA!{m*=S_zwWocUT*t2)#Fm>3glgs0}S=$mT63zn{4!t!}-j-qG7)G2ZM
zrsjUCZ}FVAZqg;*`&<*`)#c5;JV-3M`O);kg5)+Ci@Ys2RyaPMys=n<Dct#L&BPbs
zvp$}@;W(?|Y*0{o%gm?Q(^IA-)tGz}P)kbD+2*Yy-z%HaJo&qpuEo<8ZE8GihcwpK
z7?+iQvU7c^{Pxq6zwW<0X0KUVqqRG9QH@r$%EMb>LAUDXpE3(Ku$%Tas8;^;I?ug7
zBDV3qdXjuo|KgM6n=XeY^{3wdaPj!2MD_Rk#grfT-M&A0(u?GqD<@6*zB1>^$={R2
z7L<h^{<m+F*UH~f+xVC&_#QNI>sg3D5DRRGF3?-VustJ@ahd5&-kzGkTlcx1m+FNn
zHdL)wU3)TWowUTyso(04J3Vf^rzw8BqAo7%_&22sA1}PUu<&Wdxr|#|&&y^kwO_mN
zdH1q;$&oAU+ZVpv@Vib(<-b$rE}IbLpEKCYLd%v#Dm|^%wb!@Ss+H&JWiL}-=PK@K
zyKCL+suuGS!6l2e|L%E{Q5~2gENYpyzNoFsWbN<b^)F4?guh=q+PJX2rT&*>o^lrN
z_sVk{tv82P&N9{DpVb%rFj8#R^K*wMZ(h0cXV~f@o73@^H)tzAzI0`a%;qnbYdhG=
zu5P=$^Lpc1x5;Vm-pbf7&%E2;IkB^Irak9vuA^H%OWh7x*Yrxz+~aR$WN2CH4zBMz
zO7c^qX7hx<Ja=yAjA@P4{yn|Nw|$*Du|D(Tjctcgj!9kl`ejbHr{u18b{~6AT`5^#
z{b;t&@uTLFDkgtRD<f3&i|6WJZP^>6Wx4oofNi<uywt7lzO=Xe)jgOkxp9ikgKEX1
zL)AKOrSHG6yC1YGF5Bu^>g!AU0=0gYbhs3-az!Na^gFgD{9x?7EqdapWg+K5{-fa^
z(_7Ei|6J^3Fr{(v>s{g|yVhANt~@b~_bi`!PWuLFpG_qfFK9iQnr2Y6#T=SC3yq(>
z5xd-b=INxlo1<PSd7qVtnx~fQdYtc^)8Wew5j;zKHFLIf20HUT&%DGiEw0`3T*|sj
z=LMYeJtTYfSjKOV63<-h&L?SIaA(3|9n<U&JL(gUU66>HWuZUWB;9mNL~r+$jf-2S
z9A-P)b9BW*Hjl#`_YE%_E<JljM$&5WM8hq|TrU}%EhwCuR<-Yp1lQ!VmxA4v8QolS
z%=MZ^tGPi@+NG@f&lH`P&*=^FdaljJFD){4=@t<w9h;o6lrX0}+x1zxU%vb<3){ML
z{mNxaP4Yb?>)$Myaw%=`-b+(m7y=SMI=p|f&@M1sXs_%`;kQEPPn<t(7khr=nrnO0
z(~m|k`rP)SucD~@b&i$nF265PdqmGqZaDs{@}^+lI+MEV6O&4oPWC;nzpZCZh~KkH
z>%UumZ_qxi&HX((AjbaZvZCDqkJXOWTL;v~_hsMso0nb9TP~wlpLY1%=U+1{#rbQG
z@BDD8PVd@JiCeY7+0*yDEnffdU&;Bs+5zXc-hWx=ZQqucT>kFU{|z5c*Ug>$@cQgy
zzD-6Z#^1ayfAqa^`st*-na9nJ_QcP6p6zq?=IpipnOkq1_nBOC^U9tys}(&pw@$0I
zRyIDqb#&+Z?+5g3$`|Z-{?h#V<@)E+zp}eu%U3=8k-hErjiN9687gnQEz!HPLf(Fh
zb$xyEtam$PeAnBT$HZK={FGd`qeuPP+PgDLeokMwoq4CB^_P9w>q;B%d~4%<%*=j#
zGdIik`H$_&7x?j?wUn2>C))RN=js1NtFPayi>crJ?fY$W@y+q;x9?)VyZKg{_`4ko
zf7DN{_WrzJcl7S{r`Oroum77`TyVSW&i=Ud%U^y~{oi`*>nu5=vmdwHhm_BoIxji$
z+(m=A$7|=uJ=fd)VND7Dn{#>V+U*X{J@;4U^M^HG`mV=T?z_FOwVHSL-t(_7o6gRD
z9Qn`4?4QTJ1Pv>@9F_Q&*>3wz9@`hl%xfsS{nGnOB|7!{IgihCJifMW-jCaUyB*&C
zDtNyw|N3p2?|$DcpZ#2TecK_!z2^i>?%$C4YxC}~?27;W3#;Ou=BIxT+wXa2rOeKX
zZEL^IUi|yd>&vgV&#jY*m0NZHI&aqF9na%m+<MxX{xkksRqp)fU(^((+ogBPS@9l!
zvwPp_(`7U3CTDGqO8DMh|6ps{?>F1@&0f^4{vWp6k^gw!*)ofFjj^}pUawzyef#<R
zsJAnvir2+G6f3K*c=X;@J1@7sZ~n5!=Ffi0J8%8HYunYO2ZjG%kog|{*ZG6h@&A`1
zcYNQG@87<kz3ld#(}&*84v02=yma2<U(bJJm(BgH`{K*rt!v-?zWZ(8>)wBY^XgN!
zeE8+)GmB^L)eG}`H%R^TN>~16_56qN%-5MFpMB!jeKAQ+JNt9i&U4`}r_BAoX!5><
z_19+1Tg+=|ocw;$UY);}eBw8XzX`tiGjpxz;%7BeO(iP#{c}xM^Ui)b<5Hd4@9CFn
z4qmwNIzGdsxb0oSKkm0v_Ff9FJmnEQ!M3F}ZD0MR;yGuJ$;|RQJ!hubQ_Fwcx~*5;
zt{+Z#e0$0IIp!C06t$mP?&E&@b<4%4z7g3id)?-IJX_SW*HU^un{>Rfv21ewC7;<}
z>OWk*%(8a9SADMb>wTN{{G0IhcHDKnymz0j7p!mn^|;6){Ke9qUX|O=A1&;EonPIz
z?C!PN@CEVmW&7*juH_av|Ec^IuhB*`U#`7%y5(Uf{>+Ge%l38W{e5ps&pkV5`gWWD
z{?*pstB%(0zbb!S!}-H6(>>>GZS$;o%dgee|FvqHUsnIlqP#ZpR&ILP1lc{Nk4~(Z
z6YO0$_e$!yf)ImH|Ep`bt}5{f+!k&!Tb;JiEc^Agyk6Io5WkDRD(ijAK1rGOJ)bUg
zJi$Cs;#x<G!8vcYr!vxf?N+8nTCaB`M98>r=Dxb(Mr&4K*gD<Xb-H(zB4-`@dHRx-
zeU5&|HLd$D`%Y~Sk@$4Y;?#<s#mruRE8|5%qa?lMr#|N0XscW#;CL@GG$SlFrrNvr
z@ddZ0!~2t#o)u7Z+&ASLo7<#%!{DW&g15yu)K9WCrEO)rx|)}R=VHZogUgqc^h2X2
z7PFN_M;&kf%lz8AGO~(ICv@Jb7q6bDzLZ&5n_{~BKoD#2SC@;m&k}hvxK{?muvYGD
z+PL=CH*>M0{D<dMG0!box$x`M%<X?JwVVt{+Tpb}DMqyIF;DwSmpbM5l0HjA=G1eX
z-*<9BS<?%VtfRSgW_oTmM+MYeS~qNX*7~01;>ro1FI?SxSNhNejvc}-?TH!tr0rfd
ze36dc?YPB!W`Nnt<0sv{LOhGaf-6_eQ*3|j_dW9L$(&b#rtaJL)#vh>hOc$^;=jIP
z%B97e*E5!1F?ea%ys~Rmnuxx&TtTjMQF7+``bVo~tkRG;6ms+Q7snozpW^Fs760=)
zU%G3rK6j;#x46jkq7bLT#6=~F4{sf7;;NL`=CNbj${a;&r+wuuiP<5ytl0$G)?V~m
znYc-L-RqdwzRUIo?`!L8n|h)&%qro9z|M0kSe%awDP6y~@KoU04`(MBYt<TSOf+`Z
zQlI+RwQo*+;7iv&m7<~r1pyX*1rK_>)Ga0)IH-8-h_sq{*5XMgdzG`yokDK}U0S4-
zY3OwIQ1G2&C)~197I#eP?3G*-a^iBJkjm1v&b=WTs}5cc^!&2$ibB)!#dCuWFLBE}
zbT6f9W8#Gwip~G`<lJpeF4teUP9oFSM>Sb*!tQOn++0nGJ@p-3fsOY1+ShGg#f1k<
zKQ%o`<>cbbv&xlIfA&AgzLuNl^H|L@zDxT*Z%@h0&0EyIz3z>DJ!{$Zk3ZFdcLZw2
zpAwvXWBDP8&@TSH|1X`>{w-Vf@au_*a=#U$W9sj|e7|k|%a}J`zT9cNbMDdytL6U3
zV)BjdZsYgbK6#0p%cmWd&+1<~WDA^WPT)Bq|12?lS$oEe{M(|>s`q}IX?nJ-ipiO!
zX;x>$l+7D$j!#jm&Yk|bbyeEg3g<qJuG1@)g4%YI&3rq(uPb$#MD`qMtW~{qT&e8-
z)&*&cgkIh@x61E$A7v$W#b--k){GjHPrl2h%052Y$$7U>`_Fr>?>oN8Y<^S!%f9ZN
z>G@y!=4EgBcdOsw`d)dj^yGA=-JiCb%A78l|9nR6uEX!RpUbgr4PC6iG;O!P&FT2v
zg?($Czbvic37G%)|Nhr^9^U)>`tmx@D@I8l_leAsYOzwd$IO@&u3%mk_x#<xgpvm@
zyrRu!os3aCH{-KlW8kTztXEYxFNDQd$uFvxyyn&Myfbm0U8TW9b;kZw5AoPD4O@0!
zy7T(h*TCRyC%0W(wMSv!MYE4Re;8P1TuX7BFlEjzf2JochnhY)cJRCBRfI`QoVYMC
zeA&kf=Z;w`xn3`wd%eZHMg2-??DWrha{TxB<ZhM5njf()wRZ^*3jKES-40Q`o6csX
zFQ(r8b+-OtfSFz@yWyouHG7xO-_%t;e-n3MJ~cBdE0}kAdU1qH$fB<XpUrr7>cpFD
zsSph8e3mP*v48fg;yF80GJV~&gC=Diu*)kj4qvNUU0)M(iTBM-qlyFPqWg@Rd2=6i
zJyKk{ie-b*A+7^w`nVVz=ISQ18?-+zm?F8=Ks0kj<f@K(uGo_i&wYGUR~-mQyR*b3
zlE+NwqUXgKKbsC_trME$+k0B)<h2#fd=@;K=}xC+c6td+ku;G>J$8ntdu!Cnkd-1_
zs$GdEEN9F!m9#v4(<mh-X7fzT(}sbajRzMjJw0ckK4Tp7CW#;xR-J?cOdEDIu`X>8
zH}IRlprFpwFlVJ=Kz#!1gw0$E&jsX_r#Y}rDDGl@upnp>vnJ1jM=YFN0<05++2xH>
z7>^3ieLlBM=47L=jrzWeW{HlxnfnDUAI@HQajJ`jNy7u>i7I}ayvsu$EBP1HJWxK`
zv3QnY>&b#Ev!=hEGEqw}*8BLQT5A_2{yp!xd5`Dm9xq;Z{Hfv6Z(djG83ozR6pAh`
zWt`Z(j_1URIggY?oD13IwoeEYW{z<w{LEye)h)*0Dys4Bfaruk$)!hoveZ;G6&4#=
zGKzJJzB{mp<w+Pz*s7}>jFMAh`2$*)F{gx-nJS2`IwTumx_LsN<xvI^tsGqkx2Ixa
zizMD1cr0q9HJgj$@CKiTo>OW}^&#r~<qs}#NluLoZBUfhwPHfxOw*%0_ZyEhw=5M|
z6LCVxl`UYa3v0kZ?R#BLZcnFjaEbb_tT-#YiDzn}l6ugcGld^zf3z_eb)9mV<<R<c
zhto|1#l@d`GZ<o?SQ|`KO$iB8dSY#$!&%vS%0N)4=1C2Eo2=sE6=z)C3O33(?yG0M
zFQT}3MUJvk5?jjI2J3>e0y0yBoi3#56tIVNHcdDvFf}<fqS5*TPyE3X3%pjIGh7j+
z<!8C-fUJc{QnhD?qg$Y*SewZ6ryTDNX!&%!6=h_b@`rWG38$lb6jp^jy4fnagL$>O
zc#4?T@ogKp4$4NfW;(j18gLu5TMFu&SaGI)ms3}$V?k~H8imD1tGNuq56)TA)N{&Z
z*@PtLIj0^~<SY>=c4g%97YLnS#_D9*xc!*gk1g60qROjeW(HigoL~0m-sWrhJ)2on
zRURs@wBQr63({iW{#dzXz4=VPZ|N;c0*t0ChB~3=j?WTs5{p>o$Z%SOBPKU<j(ma4
z>TO&2>kkFRHY%^)R&tA3Wc9WZK7OxTd?gc@18t5<@*NV`%V;0J^pwBd=7Zg8-76I$
zKFLLGVKcdObk#ba?-MR9IKi`P!a?^S=cs2(wVEEUJo0TuM`Oe)O}lwn9^dc8*he)V
z%z9DJCv-cSiS6m>XRLY|2W7TcJzpTnZ6`E8(y=^s9+!80DVt2}%y}ynbV5Zf=IvCN
z?$&wZo}i|{te-WD7R)`kX|82eQ@_amjFg__rv4+|rYy&=MmF_}Ow;0)xh=^Vq#d_P
z#&@CegQZ+ek9}C=JEsXoCa!F&5eeTT#&qlG$(F>G%MKX&G(J$iXz16r`cM$7<uAr4
zHkYdt-l)hq{N1zWR=x1~O@8clLSEXbahg&4PEOerYO#Tl_d!#NXk2BM<PVRHGG88E
zJ$h73LLf8d%1(!lwmVEVVxQ)4T`4_Lx@E;hsdlE)Rc;>(=QQjP+R1XrILEbNaYa|Z
zzz>hy8(e4Cvezv6wKj60<P%H2A0BHi=xlN__G1oGw7tH`!P+nFfO}E>#T&VWt17Q^
z|M0Mo5i{G`7W*}<e6F@#Q2X<`)xNAM42&_Nt1SCOH_s`W)*ZSqa_w_d^TWqCcDz*#
zJnHV1p4{7|$;U8XJx7@}OHEbCu;B!kb10)rn8Y;~!KSAi*Bu0#o}S2^*Kl5VTOrfa
zrz<XXO?jl%^2mkb!^E6<I>%*a)h8q|XcR1sdZfHEY;9m?$gGwfPG=`@91cj?+V{gl
z@ifPeC#wo|9w~3M(>b@Q<A=u+0jHWJ3U)#@>%tsPtXPrsmtlFyq>dFcW#n`0mUpOZ
z`T2+IIY&*=wFzh4eL|<So6VP$se0ZL<>+9^*R{0EDg1H7`GOGEOKT=fUs*UOTz*Qu
zAOC9qh*e7R;Y!`l%O>b-3z6&%xjaipv?)=WFHqz8hgXMBUtMncsN>I_+gtDN>n(gS
z+sANQ&-df(?W+n_$(g;K_c?m^!j)S?Z-!32EWAvs>yg>iSo^7;790w(b=`L@+k17+
z?p1GQv2C<fs*;{8zdJXtX0m7M<}GV<pFG!EY;>Z&x#yI<@hamv{fikQoOXtat_t1B
z+Iz<E7vl{^2Jf6+t2I_Rz1CM&&+1S1+soBGZ^aUh`A3y)SBe-L8ygr)u`nE#U|={>
z7}5XPWU09b1G7Qi1RtN67@v%a5Q$c2M<WjrHdZDF0|@~R=SCliWsP!k+?fwC-buIr
z{a8KiVM9rMO^U?xi@xkVPFy$q%qR2mtk(Eww_0g`!}aUwtnKG#{ft;y^vq@Uj3@u6
zB}DPP*txfhw@V;p-~0DoCH=c9SD)Y5b)opis+fPGl27~I#U8D$W8k{5fb-&WftURU
z!gZc9d|q817LZ*&@%s&{l;D)3SC@Jl6a`o1wJh&eoG2eFYgd0+b=&=!LN7c`{!96r
zI$d3}+ONs4Aunbd|8Dk}EYHQ2x$DK|DEXN4E0#OIJn`1asCD<ZquW2TO?ds&YvOwQ
zZ`BXiiu_*|;wt9Ee`H%2^U;c`s{fzo{yxNTL{M(~);Xeg@6JB{^yG?r>wgA+Iv+PL
zf91W?4LVEt&gU}T=(N{(tXqHhtIc<_vhRyiUuJK4D!=T8&O5!osay5F-s0omy7tb>
zC3CjaxJ|FtC}Y)bkW<jydT?nn<KyZr`+sr?sqMP{@F&BPv%FdNCu{I9`~I1lWxd(5
z&%ot+sD$ct-nWN^G#{mGNZjRRr)1O2+;?I2x+y`P9}I2;?fP=>!kGZglV&})wdx()
z^UR-otcs~9wXIz7$jMIp$q(I->xml^zo^6(#X4Q>d>|YsxX0Rm^G$^s*?GdM!H1O9
zKMPCTdZk?!HHl51C;zx2tH)%|tHF!5u(P!{$F1Jv&@uO7Sx`-!K-#rEa;Kta%=>QP
zd1m5Qu0;zbUfn&7-L5Wa`8D=Ux>XOoBqwFntLX52v+3NLdu6iGL$%bN*InvOJ0?e4
z1|BV2VY2vTzF6&=D%Y70r#|^LcX6Q)f5fxG4~`#lH#6Kxee@uD8fX921nH)wLWc~b
zzb#vss=DXmiG3Hs4@;X$-^u*RCV%IV()Zvmlhv4{X9%2K9P6Ac$TLSi;pGYS%;?JF
zZ%YqGuwAMD^r3`9+2TZT@7;sP{)!9MrZ$8pe%-?(BrUSH^;+0Ji^!SNR2rTHY)NJM
z`P)rVM@Ra_&RtK67#N*zG!>NI%-qfNAjbW;YT0LFjqJuP6&ITeeuyMLe=n6jv;L;E
zv`~h9rc3WqMK(^YWBPY1D>sKXZJKR+IZ{tjJwVlyRaU>b-s`opQp>Y$A9-83dm7;?
zY<I*9>u=faej2F%YsOA7j}2urBwyR@X#Z=}6?lm2+`Ay}g9q9s_XbXWnDwI5`3d8R
zk1bmh?Ue1V9SK^+$Xk0oNqlcto#B7B(>o38_8!b^w4d|GhEGSpt9n9TVd>+J#Q#UX
z2K6?-ob0o?tln|;!+-S*XM?}5Eh%pMxU=BN&i1yCvz|MLzn!RKdF-n2Prt&4A+<ZR
z=YKz?#_XsQ6CBwpawuDGvZCReck8{MGZ{MNtez9o^kn(_u+@K(vbV96Gc>sgeNdT|
zzhCRGh{*+oh!VAb7X2#}+hxRU{)g_JwrL@Imim2{6cx>@VNbflZ^bn{sds<%-B<1X
zG0UkU0eAGxV}FJn+P#&<xAMZ2Vq1N-g;Q+2;<ops{4B0}GgYj<O^mz5VdLh9{b?dk
z#i#f3gj?N=>6m?OXTa36tuu}nPKij6`}#p^%0e~=jY`uA>~khOm0)d=TH4`qY~yp@
zk2k{=udbW)^xnr0(Vw>0FX7EwF5RM4AANH7HFjBjhn9%v8P#lD+Dh)1{dfIjlgl@C
zX}sCWp>!zvY3`H`k5esQ8jSKwy}xo#*|cur|NBMm;otOoX1v`auEV2ZIQ{UJ7WVpu
zrq|}^+OGYeV0?H>`L#Os(8BozE;l#&`*sR#4*5Pwujiz~_BOWrbs2{@^;ihpQ1Ox8
zkyO90yHV4kz&lTCgVX;R6OuNB9`~B&?<lj1$(l37-sD<F$!tk+Yk?;@raQH}WZMr3
z?TNC!e4{03|AyJ(dCL8d3muGlynG+J-{w_Zx^YiK{K@^A*IGMxz9{!jIl->S>y()s
z*rUtx(PEGH;fOa$JMSa~%+csBUn9q3CjH4Ng}J7laoJ~vEbHF(dC3tq%Ok#8TW|ch
z`F6U&mCPbeiR{HmPH9C)U5a>L?s&vlIP<pZT-Bsxow$SFj@q6wJF@W3)8HP5JhR9+
zt&gHl9SXOu{WN!u>&aXu$77+jn=Z3{(`N7azGy;yi>uq=d38=n8zm3jpI&nM_56sS
zLknil6)`tRQmUVNd7jhEMRDyjvo5S$)pY89X6DYIZN1e7DW1zD&R73ic}(?NDVtoK
z+=aJmol{vBM_meJ&pmb|Y3dqgw${hbZg?0M_cXiCz9;UOy{*_tTrvL8g|x?W6daa)
z+^)iL{?|j5q<Fr+>)AHOE3H^I-^w!ga=k;8(UcyUPZ5qaL1o`l>wT-AJF@qszSz)~
zG*#*PnroFoD{dr+oeDWTAyU$?y6Bgc`EvQQj19A_*REWa(sZNrqQl$#qgIE)QWmV(
zHqF#w%}JLtLJ1*R@2t-x^vWIB#J>ISs;t*>jh9#$)2!xP)=#dG>TvnB(}T^a&-kmr
zMT4$(_l`#bru9xIkN@1ux9EF)q2jaZOT|)m<KA2JNxWa_T)kwTjNY<o)$evYMm<~P
zUY}vjzI{m#m%+?EB4Sp1PW`xg;(Jn{<jk($T`R)l|Gci_;@!o5)pANV<NwPhbD3TL
z&-0Vsw_GG8<+X*YX8*S-Jl|I+Xf9;*O|rZxa8*0d>YL;K4exK1v3H!~`JXi5`R4lG
z4g2?<;49VTim`YzXO_`F+0%z^6mX<P%6lc<^EKEOI%!6gnChDY`46sb7JufXZvS#e
z*?hI+-MNcwTr@(KGxnbsdB;*wplYzBYq4=-&Rgc2jS-pchE_inIVv-nC-_@O$1_R%
z-ELWD!}Hl<>x`Pd9>xP2_ZMmgOg`21#KFm~eq*tFn6bFR^n~>L1to%q+lBetG#Dq#
zz1I4-jQ^JvXYak~IhS`C8*S76<1o=}SC%c8{IZ5)BF+`}7Ttc|`NLY(<n1Gy=-ZJI
z*HWhHHZ$p@b^f}%P-Iq%*{d7nLbsX>15)-e={ZhMna??Q2g?Re=^{y4!->uebADwA
zFPnOy@nL<h8QZ1<dt3@@4*hXmWSVmDgs&4{@;nA^|1Aq%p66z`uIrT*H`$`@vPji|
zxj8rV7usZcE%Pc5&8rhXrrj?0Iip|8=Yh%DDSGT1Jpb@a+rDN2n{_DLWX-(0?n_&h
ztGVX|apldt9Dnd&V*WRaFww>AyR^)Nq*84sTYXl&+w}QweW(8Kwcq68BNHp-U#<JE
zap{bhz+SBhZo3@TtL3f`Vf%AJb@wrQFS+%NS(V(<GoI{Dd^b&WYL_LqeBGa4lREaz
z*gDU{$7!V=^C_J<DZ=-B7QdLDWf^`YPh-lfNuOp|Oj0~qsK!%lDc8N~ShY)RhNd4=
z;>51g8!~s^i)FBsTXwQO<JG3eye77f{hKCkD2nr!($$*(PDwTPz@(-vB9h5jRcSYl
zsQ=qhxZhhQ`Nri7{47>eXRvRZUy)&X<w;1w@5{9(EYx4@eJc6)a_$TF`d99$)*%ZG
zvTLsCb;j5o-kZ<uE%c2oLf*iCyS?XEr5`c|>Dv?LFns=<eylQ6V^)*W`ueSDb^Xoi
z&vW)AP8HQzt#NmIm4=hZvuWl&h9CDi1Q=G<2Jl$Emt35Z=@%-vzcj_#f5O}&Q;Zuv
zF^Nk3{mXFojPu#cMhX7Gi3QR(es>;{Kf_~uwpMeRp%$~lSAz@jCM+ADE;C}%{cu}A
z^L4*`=*+l}Dq4+#(ZQjYD%Q?;Gk<pd)+>vgCf?>=a7a}zpupz{-?I=mn~lPG2?ak>
zCFIh$wx5id<#g44#v}IX**|#pJbP(nRUw?&wrfMQh@JmKsXfP+olz|*PYVh*{>CE8
zKIg)RA9^`z{Pi|&Wv5Rl7Zk3^Rx<60xwTMJi>bD)#Q1>W^UA`{qHj<0u2B&!dfLkJ
zsa|fqwbj9N<2SEkCZ1DVtrwo2yOMu)bRL(EYuw(GiOs%_7NTvU?AkjR*UR+V%A8PK
zFe~KNj`~AKoSvTx=FFTZ7!iHP#YrR7+xy2fF~yU?_NK3utGPK{SURgrnHJcqHI}@5
z;Me(dXNmfPLn2pBT5e-<aK1M8<@?OI>-o`AT6y*9`f(k1tWqDloXR*M7_wTUo@KGt
z_Qi&axC|~Ox_<F2GW?~?yOp`z{MeR`ZwGl^TxsQ0de0Xl*m&tP(*o@|9aq=xJlJ<C
zD`CQ`qq?UP`eL-=AD@`s`L0Xr?u*+wdlVl`bMf7wvEqEJLifrPv;Um;-iUE8N-DH?
z^)qv&$BIV3=z7tY1sg>KzB97$Gj!cF+99{5*2I>HQ%hF!f%!$HzXDrsK0GjKmsO9V
zcO1ix9yh+}mY*l;h0K#pOX~|N+<QX%f}QxgpW1IediQD<OWbG;dTPWTm&$eO-{*tu
zDmr=rq00iy_^+;V)DFA!zB^IpoK?=A3t?AYA2AS^rTXHgr_8nb?y6V`x2DRLyPWS`
zB4uYJC(g1-s=742`S;267gM*ey?(2FWbNl~H?GTCRU6fJE??5-9(rX@_3a<q9tUjW
ztLl_0{ik?M%Ke%B)pKV)r`_@WzU@Kd@|iP@ZvSUuIPJVuuflm1+tf=3tkoT)W-gz5
z@`ve{x{V60u2s={&qn-OeK@23FZUbgKfjeviocto)D*q)H@k@8>f^cI>aJgl<C8g`
z-8p4*`@(;z7P)(8ln%&O&hdI}wlT>k>z?4vgiwb=^My?G7W~vVJ;}Ks<Alb}4MiC|
zKbNzpcBEye&VO($;mVJthqR6vh19(H8as{o=z$zn-_^!_%oc_mhpis2KYC$ayJP*=
zX-_Y?rq1~)S{xavkZZNI=lSb*3rtVQOjTUT-cuAW_AE?)A^V!pQW@*;1Gg5On6Llj
zO<R<h=L&@xEA}6Fe%dtojlZr4k1vz4YEe<oX~AaO&fn5K_2vhTG)5kIY*ga*g`?Zd
z>S_7H%};(Fub=gC#qNt7*W}FGnif}db9M7At3PqOf7XWbqQzao-AxB}aD24-@^tm%
zYke7xEA$y$4w)yZ?dvExVwUTYbc;FM^tx)KbGwb-&yeW#wyO<eWrKH^$p@c#tbCW(
zeRg~I-5U+*XXh*W+>m~MV4iQ=jg|4sk4{)pmLs0GJjQtQCWhHt_msZ8KWW;zl9DOR
zoKZ{L9@nbZb9C7AzM5ytwWHnsbhHQSt`|R9cl(wLnMOEGcsn^L@$0vcS&~!RR=zqB
zc!p0RV#YT4LIp9m(wQ5j3Vjp*&z+~3_OvDPan9aldy3_b?c4XNKs~y*cEf}I7meQQ
z)*g|OOMU4%J@;|x!b?XMO<y#nW@Twoj@;8oPR}c$+TO7nqVnrE_QqM->YbFBXuVll
zc2h!x?eW4nk!Q1(SqK<kUH7BgL|~fb^xNvU=NbRoyfb-X#>`LJnh{feb~d?1ul$p6
zdzw>f(F6U~UNNDMO*vCri>@_Yp8P+vtE}u%Yj%W5X2;DMjyk*A>M5_q`);S*c*0Q@
zb=>Rv&IjWE_awVE-(yTUSidFa-{0ejhZZrMbAHa_8@cz)`PO$q;df>=#!P%4Um?L7
zyH07v!nA*{i&NfzYs$S{e`4EP#?$L+6?1R#pIl~}aJy_D--&M(B}Z!YYU7f+{R}Q1
z<hjnV?f%?W*=rjwm8kvDuD|yAQi<BF?*I1+UrMd_a9{7Mq;=Lq%wXGLfho~S^(Q!<
z#?5*Dq|ZzB$<bF&m&fy~>k4E{u=kn!ZJ+AJW7{8!9DSziq3_}UVr|CPIlo&x{MSyJ
zcOassOyP|Chm%)X<c+&tDPLLoY)byh6B|}vdi*x@+v&yEYk5qU1eWwaS{+uJrqz|)
zynBrVm&p5FKGLocqGhc*yDI7zIeFCW)qJjA@4S)a+M&K{x;M6I6qd)H_tyM8HKqD)
z!g7Zx0i9A?autL%+^v2Fok*V2#(i$f8KVm#W(y7*+Wh)-S!%Yk;K!;QF6UFvE#<FF
zSdhQbV}r+A{+V|sT)VVV;-;Ksd1LPn7NMXsTJOR<oRhZQD0%mtPhy$Zjsywm^?9DW
zR;*K$n_KE_v_G%23-C7K3~$R>*#3E!{xzjSbK%(n>(^YIa85L>p;G0kHhV_W@-rFc
zlcOelco7n)^>zL9rjYs++r5WZvX$@1u&fU4RIKIcYkzg~zh6I#W9&AMpY;o_G%;vD
za;}K`I{$8A5ZAT#3rlo<*zHV=Ui-07h>@Xirlz>~o}$}t>cj4^eVlm6x`6SlV=qfy
z(R=Pw4{CQjUNUFD#!a~^<`@2juDZY`B-yAv<JJ~t%crZKt*r2NojjrRX@wHII(N>h
zQpt;N9$Y#ZbC>r7_Z#oE8!8>b9>3(^Jj9e}GBsgKzUwYWg~iP+5%1qbzT<kfwRldg
zVBf(N=j!G!s&=^Oku#aGev4JA)YJ_Jqb6@?pC<6`+X}v>SEp`?9Jpm@!TKm+q3Hvz
zzFeJMj3y3Io)1$)LaJZSnWU<0%`j>AG4XdIvDz&02mK5K%=Yhpd6U;A#C?K6YTD~*
zT|d|68pyx7bYH+BdM#%Yqv#yp7`NJ~R(APHdW>%MmGAPW*jQvf?ObV{cD;V)PY088
zS0_)ZU|J@*LqtO+<-7_1!hJC(WqmJHn-_L!I(v&9cq#wxwC$R=_rEQkpR!lv*7rVr
z&Kc@6z0Kv?XNOGVdM(r^esyWjC5~738y`>C-V&-RuK04FXF6xDhSV|JnU~yx4fp;}
z%*~p(h9`VQmt)&)Pwj*2g53LsGSBe&*6RyA%c_uw3FV1o4SQxiZ}nW6HL5Ko=lti$
zF&yqaw=Yb^GwRo)4wh0Ojtzfz2rj%Y(yU!^rfK&R&m}U2OvyeicanVDcw_4HCMa0U
ze>KVH)6&{QXSeFi{<r76=yF~y^#_}dt}|#j&*-$cwOB1;d%B*6Nt+($D$mZ@hXh+^
zthcOZK6b6)P53pg*M_>4K_TCzR>x>h?g+V1b$m60>C)WiANxe7ES=%K{7%z@hb+6N
zR2r(zuszB$$N9}kM!8tWTS^y-C*R)XzhkL+(JWa8@AVQY4o|l%;aRwPa+`!xNBiL=
z#;Y$xidTC!y>;B<!qzrRjm>ADtBd(IslLsb#tU5CyX()NF59x*ta*BM@hzw8n>%!>
zIJ7b+3%5SrIQNs)1H*@2Z)e=eOAry(&yd~xnd!0N!AbWdWv{oKj%xCV*|4Cb_nfvw
zcjxqy>rZwteDK(^tYll_M~RJHFV6q{k}q&keEC^^1;HlPwfQ^VDX1vLU9R2Cyu(_^
zWntu+Jx1kRg{B|o)laB4dnq4$!~DOW#G^&&ZgG#o*xJ7&Iu)?;{T1+Avh0+)>e={?
z8SIz2Z|dCL-}N#ngVT7`bg#3K8WHT5gSTHYnWG@DyXyRm-rrAE)1+But={s_W##O@
zXI2#6N>ggEuK3_{{kf2ohPVA>t2wW($IIlM_;U4w;)H~M%btAux=Nv_-k!xW`oa(X
z-?eAv#;=&AyvY3#SCPf7vn<87UabW+3HtR6D_s7E>v#T2%UiwcZ+!9PQq9FpGaRks
z?VlV}$$GqE&ws=3_apbLHFA1>%k1y!)2e5eR%mbW^vzwqwfo^Vi#>WFdGjq-8ykLb
zeE;wJY1xvqimd_gCCMBN%#1BH)31oLXoJ=tPiK-~iQ@yUQs&5$c%sk7z@X1IeWD_Z
zczsbyBFZA=1XodALxxqpJNTUy^5-x!@Gyut2sj8ZMK-RHVd2<hoZ~WS`=;BL3)_M`
zR(_e{?($py?SBKSqyHmTy*RL7>C-BPPTP;lZsBJ)X7O*lDg2V*1;aarnX4DiWjT6P
z^_swav(>I{vXfbIJ>*o*8?E+ssqYMx-1F$##fh;q_Y_#%<9YS@gico7y0fhlpEteF
zuoOS{|KPoeCA{ZemA_hPq$HHiJW1vp+m)9&deyr*uNCas)xEyIYE||#&-FToGiQ`V
zT7{NAIW&Lk&#2a~t5w5~%@4k|TW-re)kiW@<O=^h;Q1SF8^`CIz^uElRdsTor{GtY
z`lcVdR;QV;9$%9DDfsj3yTLPmRfn?gefT3>jCIlM`R?p45%%0wEl>ApoU2wcmRzP)
z*R?=%&W4)xzuqg|@>#I4=UDC4&c8OZ`8?xZPc%O7CpGh`T+CF%N<TTVnC45e%jdCe
zop`Y)HT->Za>u8h&9{nuHqSb8ZO^Ye2TjktI=Aw8{Y*RcoW=7_Za<U7`^8V{T+c>9
z{_8nm$v<K^8Z)kF2hKX;D;3p#e5FYd?^6G`PrjS2npYc%yT;Bua{undN7{cxUP#Zr
zdLvX)aLe2;KipmlERQ>3X|&o`V<wk&gt7ahIccTr;i(_K*Q)QAymvnF?Ds>FYqlR&
zI2UL&C3kM`3uVuG`DGV8kDRpgm@VjWWTKM1`uz)Q?gd;*QJr<>i)G`wKP#<2-{)=?
z?ccF2^6bIjZ>ztDD!sq3#%?DUJ8M*9yb;gxhd~;141H$H+<jGavb<}?>8Fe59f`5J
zuwHFzwczQT!@QzePx9xe`RmJvO<pe1#dUn8wf?M4k7iree8?AXdeBvWQLayg;oquR
zyZ28O%HTP!Vb>+Y7+V<ml3VPW3QJ@pf5_6!OI4h9U30cSpB?!5cf<uA9gFiZuhvC`
z%$!o36V|-*-pPZxDKnQymgmUMVeMP!wL3kE?fHc@)BN`Ko_WP1+P;{_IYY0d$6$wB
zW`KsX=i)&A(`)W+aLg1EIyY0my#C6JjWrvd<^MaHA|*XNabMJ=g;NT8&t`FPEsx`H
z|MIZLY<ZtZrGt&CoJGBI-6WmP30x*^s@>n_^+bu>wcog?vF?HFx&__y5*Q5<&Xj(=
z%WfjkmMAs-BAdm#eIW}ZQ!bW8b7wevg-&TW_9O4*Z?z82FO2$^y^3<@eRmbi(h02J
zweWpuWz&u(!K$Vo@<v*vT5}hy*8F|4fBu))H+LB>$A=1>lRuSl`nh0e{yAy=aG?ur
zB}x19t7bSlANYAo@b7QQ>sRi7VCh@fQ>O5#;a#}DL%5r?-}$U2zc<qpb>82&@V$3l
zj&pzd^owH0WB2}aoOv;fi`%{MSH+$LtN&HYyz12pCU0O1cpx$Dpx&O{_P?YX?ym?`
zbvmYCW~AgesY&H!0n<dmSz5P+6qp=)o@(?sYBWs{G+<O@a_TTpl2DweYRdmiKxU)l
z+GRFrOAj5iFaJFApvU#sHx2nuyXe^q>{X74-L<YDyLU#=|Bf?kk~4qj{;l&>obc@6
z#nlQX2YS!f_ia96CZzk%@BF{rGtR&Nud+$?aNkVDr6%7N7tN1nXlARES+1<LOJVAy
zUp`(%R~&?1$Z@$I@li>2%2E>DFunP4ebBP73uVh$7af?w;-UC5SFpS0Joo+>qZJY|
z2M$R`GT8_$Y!yi|zP33-q`O#us%c`)|H)Gy>=&85yrI-9CZ+!WpWNL0Q<huRSeM4<
z)z`diTFtrm1(O-uL<d3BBb%Q~1l?U-Ct~zwdy>nFgs0P<w+Ko5&uTK-xs4~jBKh;4
z2}?L9WLIwuGv)Lbcr2TJ?x@40Id8q1G7p^X_P-+_FKqVctDaY-4`cTsUmw@hm48?0
zE>(SVX1|e0SL22&np#VK)W5qXS~-XP+uGw7vL6^(9<D8KJsG>j&i%^aoj<-rUhS*7
z9zD&3U&6&%{iyPtsS6o<BV{hUuvonM?9`}9^`DMLf6eAI|0Wr>v!dkFGFRubAHTEh
z{-|+ohrtw~)|Q%0hXn1e%a~tNYmoZ2_>7s&3pZDbU+O$|yx#-`MARBTtZciLG}mIg
zg-R-iTzJ-&-h+*Ewe7EM+R<=Tc|*~$?5yi4qKaKVFZi5ax%QB_y>M8US>3uVhu7~s
zdbe9)eo?}_`h$O6`PZJi|38>H0J;^1g8@{@Eb%mEsbgYb*v`(tAUVBIf<<`xYdIFa
zdeBaow^IWBZyN|4zyDKYxz}U|B~M|eYhTx1;8k;E&t;t~&~@jB7f;{Zn|@yHzwU}J
zcjZkDn791t(XRjdfB&wr`<=<YYQ5=^7%k@eM?+TiNT|84=Jwwj_WHQdeC@L7v$CrG
zGXI<I_k`uGLc{zX<BvPyEmicsShO!>HQ@<dtW`g8*M`1LjAmLba=M)!6AoE=Pie86
zrsMdx&pW$*?&SzCzVH=ajBZ~O)#jWUu<P2buXh>BR?k^fz~9GpOjG7p;Ehhc#5rOH
zhh!cI{Mk?~P}<Bl=lgN9wHsdES7%$h{we#W7Ou~W-#K2$WnX_Ic;)L$OFo@jV77ou
zamJ2jjS{sp4)uz1Eps~4j%++*q_l!JsPtoU*Q~ppGdK=CpOsmlaXICX;j$wWG^cNt
zm^z7TPJGbOT`LY<-NSfwYj?WKRc`C=QCt<Df@Ut<e(KcjfKPJ0-}+J)n$+yJcv+dA
zqV!ieKhnDY_WqMu1*?5C`cp0YYtOIct8lfflW=7}w^`Ho)3)uW>hJcacRjIO6%qL8
zmWY_XR#b4=>Dhn0PrW-5)PFKvXv^(qTiJWgyee@kPP~-$&iqoCukN%D#ub|F22o<G
z59MF8jdf05B%k20>6TaY>Z^t()7Bi6-?>-hRubP)O<|6!4qSP=?n*2At||7pF-37N
z>vA8>{_O#?xxdUjbYz3jYg4oOtBHy{rwh!Jo!&<-*y|a?@3vbzH&|-FZtVPhn!Wd@
zwN2l<-*<k2rQ)7lFGBy%P3)9mI~Sh*BqqQ4c+cbI-yaxz*Pbcw%`25%`t9uR8M)1O
zUs-Ex;?$ZDY_QVF>9sKL^t+k+kJdfTT&o<nW#O6m_nUkly9wuc{lEQO_J@4Nd_Vcc
zwRz=Y^$e(qwW%-vM=&!3!*l~si%1@vNbg3y&AV;D^Jo9^jXvF5zM8E~X8gUGNqWQc
z`?6EjT<td;4Nf}k!F<H*=<dlbU6X!S|NQy-_vwC-eJi7`u+Cpm{AvE=PQR+In{2O5
zUo>T3?YXbcYxW@Wn(Dc05B*xM+Hd!~by%Xo^VgNqAJsa$wB(PgI35!F!FE~k<ObGn
z^&!9Nl)v0u#QWk)?ytQIyoGM_#hPE57k|9=4#QomxgR?(9p_nm(Cig!u;|err~fBE
zo_C`_xa#Vf?QHw=?nNJ75ce#)InMa|+Joz7{=N42`pNp&A73B&C-rBRWdZBIxtktH
zslETCAm?6w<?=+n9gC!0RvX8Dn0q#M_LAtH$2<#o-qcUFU-*~jc59`y+^%r9g4^>S
zSQNKiNL&<ez#m|me97iyaE;sUH(sni0y|gzaQk8V)~mDp+Y2?p+OvkavFD%o^(}H=
z!Ppx;KV8nyq*%C_^L-CXMvP=;;x^NDO}_qTS99!e>{@eoR@kFH_BDpKv4uB}==aar
zRw^2tTq`K}SGc6!w^uvKwuR@dn<SsQ3Ev*JJ4ce%rIsp4JUsT|L(r71Yc(#c6z*Nh
zsjy6Be))NszGKB3-=uE&<ad%gsdxRNdD|uJALXgf&&^RgyWZ0zmQU1i&j+jBKd)*e
zcTc$g>f@TTZ=c_&a#@+qZR?=xqWmtf-^eH<C~Nn+Tv;nE`R#VQ_3A@s&z|egE0dIT
z%xvBIz4O1xD3t&AeOtK5$M*Bic|OYJ`b&>pc>e5atySOJ)tgT5T-85E>gv(ryGKF~
z%-^zhqDx^l%hm^Zzqfte@%XjpQN!$&6Sq8&t9CVce6_Owy@H9{?XZj`zP-Kf;-3}f
z826j(FE%;1CC5g)oqK+6&YwJe&U(cd_6I%N=DSI)|1ev2lX|VHf6dZYyH?dM@{n6_
z<cG-wF)5}h%jer>EO{;dQss;6?<@TAawnFRL?}+#XK{Adp0&07hyHwJoN1mfZF$b8
z&F`qyDn4WRl`|cFKY4yQ>Z7f<YFK{Up7(u`2ULsW*KPm4>hM|FJ6~meW#`SwXRuw~
zUw_xtWoET#_-m^@8&)Mf`^mLs`-~5=N2bclyv_AdkJq&|+H&P&%){qZ?|;2JT%7*q
z-3PPXe8%%)S4rM?;a#e*@$b_;pXz<rEb4vEp>n{>Q-AB}sAH$S{iIa+F7ZC(N6mVy
zTLR75q!}3IN;5EsF>o@Zq!wpR*S^BYTc1&$lA0C@+dWxY$?79>@6)?QtX-lJceq8$
z%Qb@L9M#M_nmh66-Me=e9=#j6#Gz1^k9*3a)W%0aZ@zxt|6cX`y+8M7`aC;fK6&z)
znNL#eN>x=-m>3uu*c>=MU%tPUU+-5F0|So&6T^%8qiji;Upw!fzUUau&Du~R_`Uw%
zE$72Gb=xP~9Pn_O_P2aZ%C^ZfSo^wmO)g>o^vkw5MQAbySD)|K{)UX}y<2@Bn=hWe
zKVN>c%V**Exfc(;dh=$2rkc`~XTM+O6#LHN`~828LR(14Q=xCgiri9<#4aqF+U_JO
zQm=V!!TSqG_UA|+To>tn!2YUkM)HNldfurPx%J<V{1ThjW4m?j+;_^SUK*4&IeDz}
zd?J-)BffOnpRJuG`z8n9tp2_$zdmi-t~C>;-g<VEJ4OE8miC|9^DAb5`LV2i`@SXo
zAKPBanVj<dYP*L|(`m(xO-p9PwTOAw9-Z!Y`hUoA-+GN#U&X{6d$%>jyoyn`o9yaZ
zeEjF~CjlZU7WIekzYt^$*5_II;i>YWi$_?+#TQM~%e~-x*-P>3>tn4|EETCGYs2$b
zZkFLKx~#d{w6rfZEL3{q#QE#rPG4@7(7B4adHn$?$7+k-aIsSh8yb}Mwx=4VC^u_-
zIK0v}_`$>-;qF%g-tlwJ{UY-z;`@~@J-;vR?O!A&#i{S_s^Q#Kud{jjmDk%&ivL!>
zT5f&9hI!lJ--$c53MW@QVK?qL&gOr5$*o(z*MHg=_*>x0|Fo&R4ZrF)zfwG8G@p}&
zL2eTRgAW7aflH^(Ub}U&rTZ>hQZvH=)<54fOm8t9whF3BH__e_we{|ej|skOuH_v+
zb^m3<bR$*W)M;721?PWFdeX>NUwpE<fAUpz=SRhRnHd`#8meTN^1WkIO>AY}9l5Q_
zTA@{2`Rnhc%I_wdKFjeL*Jl{6NpIg<?ALIWPu8+?=9Rfc2cC(>txKF&5Y5=EnJx3<
zQCaZ$<7LhJ_Br&MuL${^wg1J5+ictNB&Iyi;i&j?%DgCr&GPeJw)1Oi^rzj8=&5IZ
zYio8pq_&Rx{@=Vv5v$VntIy>4_D^G0`+CP+<o}D$kz0&!>1w~7u;Ftb-<NpZf)<|u
z**QA8eOj$PcYaiU|M~K2g`ZB|6Q}j%3%YMB8$Ug6P_RBuK4*31lXCXCQ^f!M^<T}t
zUsdtr*|27}#{8?_Z*RL-`*>CM)?W6;@JG>G>z^8LmwxMcMr2}Iy2<m7yW8te{kc5l
z!~C5Wg2PWddUE{5pTc)5Gv%rpjFQ8Y&g^G0%l<oQ(UH^{o78M8=VkJH%nQG9YgPFD
z&(hY;S3Kf!LM}$jIX;<q{=||sqIoxNOIxwL=iYhZm`yDA@(zWw6Ic6hX>N;&d9%Uh
zlY#cZNWndk^}S!WoBws$WHEV@#T+k<t4XWc8b0rO;oMSbzS3ovJ$GC9{xd1ut&5rS
z&Wl|=XrA2l>C%P%JdQW~d;8g{B=(8Ty)D^y^|^wE{4HnZ`u3TZnf%t6o5Z%QdN=3b
z!osgk)@5B5P15?YH^1rWssFw+p=RUk83IhNSowC&`6}mf>z_@%JWF9vOYOcpYybZ^
z)6o}y*kXy`&Tu8iM$T($;U`|Iw#-(&HjV#B-LZ*xCAFU`eOFBXQK!D<POrkeuP5K!
z;CS6L|HItVU$r042%DLH=IqJ@k!`a!UD*A1nR@qcw!_QU-kAU3^se2q6Cdug^gq}A
z$<gnd&$-K|Z0|>v$4wPIayoT+eOZ3T@2i&&uXQ-_?tAa@?$^zGm1p0Ji+|Yfmu|dY
zai+$~M#c-rmv**@FWmRFbk*(5$8#q?ZS!lqQ>~P*?t1l$=Hnf5DHh`EJ<ngb^yui7
z10NoztXp+wRYJ*;@?Cl*ddcbMJ}f$z#Mz|1=?TM~-F0p`^R`*PU4P-X`*N1oIV_G}
z>cy+IR$N?sP@8Li^5h@-Kg<JtQj-pM`bc!X@``G4{?)cJ-2UNAW4-HqVa$^GS1xbe
zckH|WT+0XzrlfdLlLonN&%a3>PU#oZ?wGSQuhU<CRq#=YQ=sbpSFLl37YG_(esY}m
zeZj2@aa$xTKF4S1SkGxwYx)y3FQRDQH1nNxTlUu9*sI*;y=|4<<aOfhV&5Zg{FV!l
z-XR-sUPSA=hpwyJD;_!XnNK+;-g>ffdS~y#v!W5J&ctdIyc90E;?cTgm9L!Mwmlx6
zBHWFw?=QdO{%d7omHo+M)5Ir*Rg0K6dQCkqV!PaOmh%0%2^{x(Z{@LCJ^cLjUdDwE
z(I~!e{9Sc|J@uxn9;TCz?VTL*fAfnV<>;ovNv0MLd}X8UBF;vhT(EiD9}_#b$MTtV
z{(_s?PbR<iX5AQAtC9XIxANAv<Tq-089!WFQUcv9b~}bo=l3;K{Nj7#p~8-@7pIl%
zK5<~B-MJ5HxwH4qVNiPVaOTRJEUbTSrRvu|owQPuL2yyK-=A0U_0#`u+I{+*Z!qiG
z-%m=o&Q)&y7kScja_xx~*}qEq<bGLsel79S;dr(0WBGmK&tL9;cqbzL-=Q*TLFIz4
zdryWget9wY$fl4CxufBWUw-|%cSmyB>+n36o0=;xT-?wV{NbPCZd1l5;u(K8@5xSc
zH2(4`{)6@#-7-VQ6YHP!o)_@*s5hD%kiMqqis0@Uf9x;pwP_ddeE4Q<HOte@Up1f1
zG-O-P;P=NwZQ&cGry9E_G_$bjhpzkg`E`+xrr33d6Mm1bHVP+RYCrhTSNmXubfB4+
zkBR4v-R#r9G8-Kh(R=YAPDgJ37qNq3Or54Xb#7k&<iCt>a!Pz}g~ja|8@5$cSaAB+
z2gIfs7%F~iaN490&h_VL?y2Xx-4ErxnLnLZ<|{Wzu8Pu)U41br;!V0DgPzUbwbkq&
z<=vN^dUK#)dQnc3YVq0A1!ZoFT4k?aocMm-MZYU;^97w)?H}Zw@Nkt?QohCz%CFIK
zp<}_F_VVCu>YrrRR9WvWXqd)5jk_UoLX#3lbG`k)P{AJ|hB0Ttx>E~Vw5PoD<39P(
z;n3qtryrEw^^xD>BGo8w8jxN8a*3j*y<?|+p0skyoc`XhwIwCo;j&8|gjSdbwN@mi
zx+=_{)f46~^C)Y!;F04Vwe}TZS9cx_T2d&YqW)<0IhEw!Q9b=9y5B$Cm{?+AQqeI>
z_1(V@=iBQ8SCsS>9^1{ew)^#kS4Ym>$@}y)xKhPwjnAXSw?3_xuMyKJ@K*a;CHkU!
z*;lVkN2WZhduXO7CBlDeOI7|hJGZ#}7nfw?RIShaE&NioYwCsU;MzR?l;)mG);1rH
z+l!}5x3{17O0(GJ+q33g#A_R2kI=Xa_5zL5KCI5XAd@{ktlrBkYw}J*j;TMm`-C4o
zjr8kK=O`65l}r)gduyMX(D?0+^j}@>o}8U5F20{b>-Jrc>yqB6TJG9^HfdVz53Yuz
zGfMsAZ=Ca9H?84{z?oIgzB5~Nf0}&v(58y7R&#c>EU~{7voLiEUnYZmVWMD{3eQ{*
z38~1^ny49@mz*goi`Z0OwybwK+xv9BbWP2qOCeL6W=C3VnCs<x&@Jeb*fhpZja%aX
z$1L%Amwu;Oe2?gf!+wjdR45<W+7<0sFvsGAPv_=9=c^~QzY4#5FEgd>{+n9?$0h|T
zwM^gBKHqElI>EyhYwY_coG^Pk>tl6O+_r`*ilKtCJs%6S%^um@4U$!o)vD(+afv&}
zrn21YF~3M+RB<oIVa9l$&)F}v&L8TLV*Prbk6mAYb;}evu|i9}^NGe)o0lYTyPs2v
znb5;^+o#Q3N8!R`kF$yozBpW8!lG9#_GIUjH5Y!Udl+7H^z*Gq$uBn+Iekd$#Y_FB
ztW_WHm0Ud7y`wKzd!op7$6}67-?{Gf4aLXQa)P-3@;p>}5@OZ0`R0p=H&g%G+wIw5
zEMU$Vv_^2V&HPz?ueupI6}Mf`oHFg@%kM1J%1bY1$~-BsaCOi3YW9o_SQGJkh7+@v
z;+2z4!pXL&XKeEitIsT)7`#|AW(ULh!VkXH3%rk3l|OuNw(Fu#vGCPUTlQ%uem6Qj
z)~;XkC9g+8YMp>;jD_DTyF+otKUU=J@O!{ovh&rph6OUG|7Sj}-nKu}u+(jCqu8ds
zGC2xAKiU5On8`2jdrIfStNL-9yteE}TJzR`(RKf~J9+!>^EJHcoOk@#p9;R(nd$4&
zpV`|LHcGu+qxem7hmc#3+|k`CRm&qX>p6Kgyby1=TYr7?wywP!Y**O+%6V6qBld-}
z^7i!T*}Nq_v;SFEB;<9-tiP)&^;4mAhM4_~i7G2v6?0o0AOGrA$eCsMCVGR~J3$WJ
zs^xQT-F?X{_1C<lxJ0N~AZ^pp%>EaR%g;N>f5{DPox9PfXwq!4O+3AN<@bIE#O&W3
zy)&w5k^PiYFXBGc2Uk6`H;?o1I^xYU{m>VkS?Lq~yq*LYmi)BJpEQX*f$t=<ZT(gO
zW69|0lXl!nXLp%Y?-lG+J84GaB=Np{{f17f9Dyqp6YGBOI{U|=cI}VH`?QuS6gj__
zzGK1C|E0!2)U>GiheY?TcUqSl#eFZB?n*4UzGGFugX|WmIdMI#x%KBiy2Y9V*9X5{
zv`g)5%d3J0JI*~(?N21C-0%PRWBfPnX=rZwpZ1=H8R|P!9Uo2iV)?c8(TyLE8ftgn
zQhgDi)imjKVQ7o+jaHM3FV9Lno4H%>QtrF2Zw2b}YUO2g*xf=BdR88mns8^~?oY=!
zgRfXVJSbCTaBW6{d23buvFTeS>TmJgn4qv?ZhA~+$pW=KtGC_xs`hZfE0u>Q8fp|7
zp6MOBobI~5Wap2feXSb~6q_(Vo$#ufi9hSj@AI5yHHDvjvc4tn)vR6aXFoB}?9YaS
z{c>qSmZ^Wfnth*Je{9c#w&Lt&^X<Oe%eDT<P5FJ!Fr~4A`QgN0Tzq;9560f!c#!9H
zy^8Yf%4r+<w~5L)>zY20n&Le9Y#Z-cZ|6f(?tiXYoPPF<T=TDwk4=7=-ZUw6Jlv|Z
z_svNuM~iI+x3x}b?VtAjZ-w2*DSs?4PCj|vZO@x6?>+^0EY9pPUBS3jnEn2taGl~+
zd7plt3a-?jzjz0CT?kL~>Ya!09-rLIdTwXqz0jRo>$jZq5m@%*B%{*SAACG(ZC>4a
zlxq^Lv*2s{cQZ!GX1OW*!!!0}cxSl2iCVs);6e^3cU#tuDW@gU+BQ6JREt~uwB3wl
z@9dKane*-oA5Xt=>(Ks*3ASe}w;xZ>e44iRq2}8KK_`~-eYN}c*LC@qYm*i;eLB#v
z<8tT!Ga=ol3fAaZ)fZo0aZ%K6?(AHd?vs*}{4Kbgt0!GJEt<aa?)Ff&y2%$8aQ|ni
zdK@iy#6T}O{cwC!MgK0L<R>Yg9pAHVzxhMC;H1&HJ?D4CN9t+k9ChaAjbB%^^IpH@
z#;DV*_Dw6_p0ijvV~L8P#-DxDcJ566-Fx+-va;5R$lKSY=WE5CRMqCK|6j=YU}EH&
zo!wG*7`{xLw@Ae+T!!BxDpBdy4v(N6bD3G6Up5ZvS-XR?R)Q_6<<}|e{I@KENBL&X
zFJE)Z%rvk>RG~+BQq>n%mK*CtBjm+>?}uz%X7uTnZp-zS(Bnsct7M*krgK5w=V(@+
zrEq%gR-5Q2oT4&5Z%?S6)c<|`!nyjQru|8gQR~B>^>a8)tJdAn>vo|1U8D5r_bVzS
zq<g<?e0eZfCbKUfJR$G>ET-&5zb@8IoBey?nrk;rrYc6YF>#4Czb<$AHYYmn@QY_%
zuYzBR{q&e*WuWBlIsK0Oo!q@1Av`NrL<z9zFJ;%AnY#P`q%(U2+@9IWPRU$#`?#l2
zTm34IZN^vKqPIW!yUEVw!b<V&c4_9xb<eF#tI|%MmHw@r%OW3^E`N@v=jo?i1<GdW
zOT0yIH9VY@dOY*dbnn?^y}g@MrL<1h-ZXsrOzotkchv)iu#2h5Uav1L{WmLEZk<n^
zbL!t`DK(CErBi?A{+_HY?pfya;>L=lyoY}WYp(687x8|l@kr$9-yQyURt49UH*LI<
ze_W@0I?D~od*^l+O-f1ji|qSVotUv)!~M)5rCAT}|8LU?dwIUf%(wAtY06Q}{x8x0
z_NHr{&E=dtjmf;zg#G20%zO6}BFrQXzgu~7!?phms(<)1JYD+!<lag+S#Bux`JL3k
zBM#AL8orBm{!6ZZZ!4t7<=E9Ps?^01$h%(N%(LS|gy)$$_nz=4t3-Yr>ntjNwb*&}
zKHIZ?cb0ABOP<>F=Gg24lfP#k?Uh~|D!AEq<F%g-e=7{9%50P|d(9>NuKs_J$bpK9
zvx{`PpBkiZ4|ZkQQ!(+B)7DJoWy_PUm)D$lJXdEE)1Kw2oR^#?oULE=@!w+ISNW1g
zce@zBn%wW-dz*PrxAxhO(x$RbVqq72DooYiZPIPp>p0t$Rd?yPG8QBMMIREwZ}vDl
zZtGOt#&cx0``exg=Pq|NMV>9^RTrH#&-CQJ&i1b}yI2|z2}dMcTO^gHZu>ih`^?1e
zX<xZ+aIC2)%2;;t&ZUMMo6J4*>buK}b|2Pu<tzHdbN{)=_tbNOMbc&am%e46^+4+f
zuW;hGN&Hu~+_comK4|{o+wPQz_3i7l-HY#U+oiBY_TQXnh6Q$0(%X0zEn$eSv$F}i
znl?eh@Ac8+zj@w=3CWd4o-1+{u*{IC*jb?V%=F^!YwZ0;<Qk=Juer}~QzkO<$OGy6
z(A1!B2D5H%(HHr$#7@VnY`3IHk)?2N=AwTOm2O?HwB7kTh}pO2lV)Yr%B8RLjsz5l
zt(7~vVe-F2(X4Ma&CQE=Ci=MW-$6Iw$Lz(S?H`j$r|X=M+v@c0?PT?zbvgw_J31X3
zLpBIXXx`O-YIttSSJw)qc1OmXe^S;qDFv!?-Rm!Wv`F@9$kW*s*1*7g+vz%Ifz74e
zZ|rs?OgMa}{-|0(_`xe#PV0_;G<kmgu!T_Q(Tg|K_b;-1f3t&Yi&|ZNa$wih<aWP<
zoj((bUflh&<Fkv@k6C+WwJd*MG~IFSx7P=+nT5YyUh+fk@1xAm2YxH>WZGO(zyJJv
z<JYVWmFf};6zZ>ERO93DZkF%6s}vyT_}Fc(bfWdEC41FdPL|GO-S2U7<7J)|GJhA$
z<u%wed&S?RRXg&m`)XDs7F0E^*?D%sF@>2s+4xdU9LnDQ%uOTmN7{3<yP49N%ku4O
zSG@CV%e=cYtLyfTn28U+iYROih%H<#Qn>fJ*uL1wlhz(JkS&>FS)XBeYU9tDpJx|-
zxqI$h8*6>u1Dm(A!>w#)YKgzP_j8`ySu>mUp@G{z`_*aP(%|{~$LzUM?zN9`@f#)v
zip0t%db6f1UwvrPPW~x*77DYv;=aAvqH@dCFWckwU#(wVFWtjDY!{clT+>&QGu@fT
z?(swSeH$1Idv8wDD%Z>u=nUFfA2;{o7Snfc64dLoQ~8xQ>uuk;!L(iPl+Lm58LJOl
zCoj3zb4hkqVSZ$i<m5Qnlq<qZ4HG6RaICxX_4*1)g;QPU7QMXtbEbu%i1!5(+ZSvz
z4y`)M(l&k7tk9VLpZg8c)DO>IzU|klw4YDw^>01#y187RSN!LdZQFQVR%z@u-8Sh=
z{frceC*N)_);XQ~`L)C|vs>3oKDmcw>emasnLA@=<MpHN2A|D!mDU~&t^ZQ^hmAje
z^K;D?t6x2xzB6aBqRbJOMZq2(?-?D8J$;lG-?yArpu!`apS<^e=>Jc*pUm02^4nM5
zw6%qgH+Nlcvy_R?)qUrwdwHViqd4AQ{??s2I+L>NuWWc5G_Uq#SaxuqW`S10v(_&^
zwNv&!6F#>p{Cq%KY_oGD*Xh3c0;Xx%jD}w;|6WdiFk$-n2^C>a-$_X~pWVWCEbfKj
zi?x9=u8J3v-+h=-@IP{4<-NHw^3$^%pRQW*YkJ3h;o7re$NpUw>N&F7;@yS5nUM`|
zdDX8R`NX{cg89k%-+6Ckmrc3;_`a*RyI6-!lKLZ+&kyT9Nu;efR-v`*0Qd4|o5cQ4
z`B<~PB-T7W-0tSVqFWA(+x|Yz-QxADa;1*N9J3F<TlYAH&2ac$J?Z4HUgfRf3+v8s
zx@XVb>m2+*B2dRZMx?;&f0N6$_ba9{TEwZZRoN$bNc@R>&(=>3M+EEr>;rG`&&^3~
zFZ8aI4ftMWwdY0W=2u=hVjI6YyRVb?)L4+aQD%Sn)hgXHm-A!p9kDgK$=y7odCzrj
zljCzaCHdYST)$SxVt?v3_5DT<FI?L5-t33wC85La$_MI#pRU>)dg9uum)~sO?fWF)
zy!67JduLx=DtVgtOy`ux0socD?4H)IOuAloF2ktp$&R4M&vsA#Yk2P0d2WBl)bHEk
zn)dAf@o3ZIzk9=KRz)~SOt_T2CNE^+uUj`(=J)@wJD<~IbSC~w!nKGe+dfQ<UnJKZ
zV(ef3<(}rmzI}{lA0N(qRdDo{^R-Ubjq}fh$0sWnZH|9@ZkzqDe;$9*gUxp@ys(E^
zkl{kT+K=_i*6mz+^Z&IgvX_1fMxW}G{&2g+ZBEt_-H&0<Kj&DS&bYlhTzSjXRa@UK
z@zQ+!a(=vA$hNn)*8bRQ_Wa$ccRTA+?$sNmuQ+~u;UopCyo$u?qI+w$J?%N}bU9u@
zz53VIKd(|BeP--hb-u86eNNK-Cru~ke-4$6lb@ew&-mhG{eq7spL6^}GP~-HP9!~?
z{?edPF@yQn#b>48zg)MA+J3LiRliPs+DGFB2bQj0Y;|)hM})G~69wJswAFhhE<c&$
ze&xfTuXgnfjLf|=?VMXhp8Pp}XUFD~>+*jmdx%6V-Sh8{yTLBL6<<o+C%I<1Z<37+
zSD(|$*b#P1<CepO^7mEsbES%;!~#~m5Ax)Z++A=qwCKNS?8(hNDT^&m1&Mg@NuIte
z#=Ggto(_etDqS14hs*gt^cFfInf-LJu;<J=>*tdb7O!8tu6EDTR~h!#of1k6c1}Bd
zSS|kCl{*z8?Hl*ZowoJ$Lr3mvokeRafB5;?Up#;9fA!0?i|Z`gFWYwcF|4WgKK^pv
z+O+-yn;w-X?0R;qq3eRu#CJYvto1t_i=yjqG3ibUlo7Lb%Mdzdvn+LL(DalPgNu_A
zlRaLZZ(6YH9Y^em?;$!?pM}28TFrDhyd%8#TgfTDhZpme>bN4O&2?Y9o9p!V33o0%
z(remrs4?JEMAMXs2|3HUTmrk_8oizGSyrFgJ1Os~d7jV4$`3(Cna0iuaZkVRJbAFd
zEalLFo!vSs|6W_<#FDh;^xNYLqP;5h<TuaH|GL@c%?+v9>qK+A)@3+8b$nDk_wA#!
znkebT-pXH2zCJDR^6%E|jPVm+&fT)@awzZqlk=Awyp64l%;+fj{@v2xs@>nJ(#bE2
zg^z1@dDmCnOgeOHMooF$pT!kg&nMJ)G<E+D7W*9Xc1eWI5%b+~3Bg?_;*`Bv_4htj
z`@ctEy|L21nw6sb7lYj&y6o6BbImW$T?t&zc=ak=0>hmgR2+W&4-AR;|9RVgJ;v07
z|IhsE-Qe_JJn?J)zx@*btrb75|F~YlyZ-F{%gX=X{+u8BJ^B5-t1kba{oKxWb!tGs
ze@oY2+OqYzhMEiq_#QlI<A}(vv_7%9;DlDx>kRD^yH|g1sMs_0?wvikm8}O>N%`$L
zrzgL5ifv4Z<<Woq0p5&EBFvy;N;$x5GgNo2aVTVBU~rjk?ZBdF3|f)_!syDfK7YI>
z&d9)Ug^__loPn1C1Q;0@7?w27pWg4lB9Bw!Tdz&Ov>6!~%$OJ$q);?|nf}m$MadLo
z8wjJ@m~LS^^(+Ge!wCk2Mv$#b8WUI<CdaVKN^wHYQpKWqdcGrzG-KZMZbud+oG#mK
z_2a?`F$RW^mkbOdC@woU{edHkj0wnK5Jq>I=2MQNj*JWpvCIgULBisq>U0Aq7Db#o
z^`GxD_`}7(@JSLh!3haPh@XPhr$2OL5w<JNNGwPV4Z-R)25^M6_kS<sW@cajVVJWa
zX7m_=&9Es*Ny1?QB+BpFcCC(;Vql1nVqg%4dK_ZHB~y?IB35~L^=ntH*kvHbz@ROL
z2snuT%m9#nVVl&HG#n;C{BV)&kcJ8~0|N-7`r%3%#DwCKM7$=v@_z8$l7WE%gvC(&
zV4MXu!7e4Of<QP(gf3JTWME+6XF!jQl~6O1bFy)o0rAQ8ATBrF(+mtCjOvr`5HsqF
z2$&%DK`D6>69WSXqx!_&j1fEqo}L$P7++qLSWu8!q?ebMlUIx*XduyR;?&Fk$CZ)6
zGLMZxjDa6HmGN0JPJZYsT%THo(+r5WYT$<#Gcbsv1h0EN6Q-jeXB%Tpv=BE19yeVa
z%)r3V#{e>~9^xiQo|(KFi-DPW$wfFk32`7|-7<O_R9b_@yrLB3<fQMBj-IDcmZ(8J
z3~^u2CZ_4P<XB|t@puohW(eULh;FZ~SX@(%GkZWn3w0d?B(N9?7#JAdHs08Q#XRWh
z2owjhvVpRsID<HYB|8Iy^c5xsJqA~kw2WMBgTR#JU=t_*oXS)$3#05ZH&<<M$H25A
Ui*&<+j6%<-D9^}p4?jm+0F7=zLI3~&

delta 278281
zcmbQSoqK-2V10l$Gm8iV0|N)c%$&T)BG*E}044?oE=~ppb_Py{l;i@virk#g5LO1}
z$L~zjk6!c5pKTz){^9c{O&!163r#Ml^sd?>nvkAoEWlX#@?_D5%Nv9o*e;dKugi44
z`t`wyojL4!b^m^Syzy+J#NGGXC2tf4E_JP2Em;-5d;ja6IlT4$^G+$9%{HiCKXVz|
z9uxf|Yp-2>XBF@E?aa{$Ka9`2DSmi4?S58m@&#$WU$>rS*V-JOv8VM`))t1c$!R=W
z3<I7h+%r*lq{>j6@Fi~@oB1<=3In#Z>u+RdW=b5GDZKrd&E3GThP&CZ<|V>4vS0MV
zGXJjS4G!FXvbN~&?(CAwD)rSSXaBV}-d<<1R{Egwzj?nS6#U#)Yx(v$%IiLUe?q-j
z#7!*k@ZDrxy;Eh4AGK=FbUMys)%DivE1eNmGPQ8^N^bKFv%mf-=$PNSU}f{x;3uZ{
z_nTh|vzgVw^jY@&t<uLk>>4lkTzl=5WB>ek<}t@VLHp$RxMF2$)B|lcn|e0aFIhEv
z>OSi`sRti@IlF(K(XYd=7hc@=BENuXW7oFCnNk*8olZwrMZB6Ca$#-V4~_r*+gtb?
z!#d=bC@fd1zW7}ykS+B}B*#W(?G~f1HC$RVtR#HbXiiG3+PY9Rym{Kbkeko5Seo8N
zbQNq13tl$4o#PhEqMff59!1Mp)ogdvxUW+Gc=sNw)AN!}Ppnprp1ZX5pw6`HM?SoL
z(^;Z6s<Pg!s#kmY;K0vHDc?=YjRWO%`TTqCYJ}}vVsk!3KQnXwtSwVNrOdgJ7aDAO
z<m=pkRZA+BuFMcPRW0bVak_lqgUP}|mL9*>uL_uRjdcqD>&>q=_=yReoO#yx@qY%X
z*t$PY@01Ey)ia<Z!O3fwbU{gN^BpE7M&_A0d6OAg48Q^=EM|<tphW7Tdj7&L1_p*5
z3=9lX44l&quQJKjLlbECiIp72TM9~p7@9?jIp$^<d<?(6H1~hG*50!48^wv<C3So1
z-z}b<baVZ^?{RC)PvpH0sua-vp&T^xY0rbnDLX$%Ph~&-WSjoaw2xEmKfP-^di~A2
z_*Xu2)wUkomo@!SVD{&APsPqf+qFmKX4QQu3he(i<?k8xn{xhBTr+GhXgrUaSZMM3
zOV_0OcTZ(&#a1nsu1(Kg=g)QFo576}yOvz_Gd6s`^|Y_y)VJ>TA9U7>O|#m3rfAor
zFH_IlMhk{&Hi)m+v1xJefqWgz_}5-ljDg|A!^w>S;*<aKanyry<lecl`Hw9&wY~oy
zE^s1l4(l@OUmc$>vOjm)_(<jM#>b^H>CFcn3Uo@3+iqODb;`RhCeQZEPYTMJG|9cn
zas@;8+=DX=f9^O@)vdZ|ifZMQb>W$t&ed<29ccb4Hg2x#^yYh}zb${;)O*?exl<pb
zEA+2E{*%h-@9nRzUROC^|84i`=cUK04u4MgpY>})k^gu0lc)RN+)a^FUtRt;bDH|=
z@A@C#_-~sQ|M}nFf6wZ_>fW^Z_h8dk?_F_z;wRhd`PvtMDEczJ{*(Ie(r<g>)KA-V
zP0sn3`t!EEk*G%W`9(iJeeU{urhduE_Wv!hYV40UE&mzxN4e57{Pmy1PZKJv>(g$2
zi4OYr+G^%8dAD@Oh|7oX-IJ5HKWF?iwC8{EseLM^Q*3LKgdTs+I{WE(%SlCJ%M>%O
zeS6axO)SpW6=;8(^Ks(SoPAH4y<3l_{bXNJet*~Z@;8;1(Yj)P_Me{bSQC3JP5Yja
zclhaweSZ!WSst3P^m8g3@9PKoJl+4*?bbi3pV4*h=l7I1^*{2b_@wuL`dy!R`Fu@Q
zVf81)&i?;Lml@lAx$fA#|7^HswbwpXf1esl^Ibo#`TV)^=<=uIZ40cz0s_R_zr@N!
zmLAl-TpM+^F5Udo@s=u^jjs!j*`J-9p|-o$yf^uqpI^@#_hbJbzZaZ8<&CWTff`Zc
z>y_^<-rTJ(|97<}QvZg3d+Cqzyl3o>>luE@9M8HEA-}D!_v^1%vpE0hH+5##KjwD)
z=TS59%lyrAY(L!BIC<3ShgogawBo<g-}4Nse;oXEQoi12`krF5+3Oz1NBq3&Zy)#n
z$Xl6x->ufve>}e5KrGt+1lwwxp8*xG4qZDG`rI=9b<K$ym*Dzq_VWGbs_w4#KO~sA
zc+;2uDEaq~e(I##-!ynp$93-fqK8i#=E@r7*8NR+{y6bSy9Doz`TPIsn|XNW-?TV!
z@0=swN5@;0`{%Cy;XUt@>C24OKR*0z+}ZW_`@F|51f<y{4xZa>_WxY^ok$6LllTRK
z^S5m?K6J^`yl>0*>&jbah#jvlQ@(4eD;8g5ryJ9qxv=nnM41%p*N1x>7gb#ADk>FA
zQk?gn&0?P{-?jFw1#|75|K#H7sr5F$wPVxndB^qE=N~($z~iR4dsfNs>*g_2dawSQ
zpg;eJQhN3D>ldd!=UKc_zVLX3arGbjkCJT8PXnCwI^QN-i=N?p?vaL0cF)msPwTHO
ze8IOp*nLsshmH4=4zA39S^C;-_1_QA+1I4)kp0{~f9ZL_`)X=Gi++FJeNRDZcVPXM
zw{A7lxRrBeCzj+t=;6P2pj!4urT)9fynW}3<^CnDdwSr~L{q;m<9AV6X|Ac3Y4__(
z>w@QWFSio^sd&s;bociiUfZ4&ZnueZcPw-isb9tMe~)d~@>$Og81%A#3Rrog^7qPT
z**45`kF!^<XnE7*{z<ozPh?)uDK332`@;oqwycqSuKd3>`ccU?3oTD}m4!mP{MX%|
z_9Vctr&>we??ENkWyKRI>iK7V{(hVM*5iA&^b}>DrJvcfZfy(>T*L4E@=o&gn)^o%
zRZg?>txt%p4_bM9Zo$H<nGb$HGl{TOEfhJwZSrpqwt|JHufJ}bBaq77)9v*tul35-
zXO9w}i}|c*7H;)(XO3?E7~1aj<(<N&oNr%tCv9H1WoGfBg`H*+(o-4kId3-XetxR&
z(UKYc|MxvCzI6R(k^%png+WIaK4+-8FSl%`RyWtA9~{n$CLQCfPuY}TxM%B(cpa0x
zjhpMjRUVlfvN*=@wnXUF;}5(nZ!Y*9*s&v~<*zr}xi8M%n%Q&294};=>&iVoY7@2J
zWwXmgvG9~xcTVU(Yre$NtSo$%dD-FK-w%C{+$=j}`&aI*SDi%9B2_m})rG>+r$Uxa
zal7L>Gigdlk<^@BrL#TOPdZv(CHp(s%CuQwpLM{?3htg>A3tAiGhHV+PK|HHOaJC&
zy*Yk6u_dHQYEDz0TDR!yoqZ<rImMfwEuM63BL6J?dQTDk$EUY6ObBNVFgeWecFWe8
z1{^F(50a)uohy+3B-ye^(xoD0`J1yX-<R>u<_Mm<f#b&NmPL|o8Is{9!d*Z3>lI^G
zRbDFE9=cI}hLP=}uH<(CyF!*v&fbt@J*7`;!psFF-nND@`PK%uH7=qD?kp-<=@*`F
zJ>{HU!NFe}xD__o>8S3xGkwjxjelPWPF=y)$kBDHD=RP0Cq~n9>Wmc`?Ses_uhyR6
z-#YJG(vxHFmTX!Tf7_wvc*rEHZ4ZOLt4=Mg|9gw=@nWHneab7VZ*53+pVa-=EjP&Z
z?o^Sr26kGWdrh4eEfd{wZJX-R%X)`v7IC_{tF5${yGzw_%Cp%$=c+<o3gU}=mahK(
zg6YcQZ+>&@8<oE$TgT=u+wJ`FOUK?|bK8d*e{c9*oc(e~@xd2gGWMP*56NLaw)yz9
zjV{Kq43}QkU#$9Au>|B<of1paptrsyRa@#n{+(yLj4Qe+_@O1Em2H&$y6v(a<w@dO
z5^8U-@<qr`d$jlauaa53Kjo8SgEY0uBmGu)3NAnN?DBJQ(^<M{wUXSLx&~%inos$>
z?I*Er%>5i+KfT|}r}@E>yNmAi#dKcjHjPa@{OF6YQN1SbgUXc~a*eCOD%kT*->EVz
zDiv4q;}o4&@mca%iu%F``7$TAuMe%tst)}v_#ksWe9i8zgIk(j-#F?0xkh4p?JSeI
zu9qv+<}H5m>af^G<F8weH)c5tTnf5BOY!KOe9oT>=B_PtU3dL*kaMcEK(Ckkd%oL?
zk6vE&_sF?p!S(Z9SG;v_)3V*mdgl8Uvp4F`mnYia<Y-webUFG!1NU5C6<-@x?;4(<
zn<q7Hbk3dDIQK>;_xF>Bk7}j$@A2J~CckkP|GH##^Rt_q-gCX?GYCmPdVS@z6&mt&
z?eE-HS27o@ejRnMI^Q+4Jbd9aue*n)tG7FScUZ_|-nj0q?{Bk^`l=H@#6w;^yC!P6
z`Ox>a>)Ri%s4kYBtJUy;mF@bsU$xv0-*@OPs8T&F+Nr9~6=Tn}_w37%dmMXCKbREz
zaDRUFn=rmzX6NoZG(LY8yGi@M<Au7Zn&Bp=d`n+caa}Rwa#fuyv+Ao-aDKMP?z0z4
zMOO%mobpq>?XWdI;#4W`z70$2Ke6ADZ~OO*XJf&v1h-pT*BCLBB`rUjpgt`qhlOEz
z#QJICzh*B#=CULr%D0#O@3Ey70<Tsx{=Lp_bNlTrF~N*yR(a=_wlU1BXvi%(cwQr>
z-%DBD{q4>z3JZ4lF1y9Wn`5I@x`%m&$z5?xpZMx~n!T*eftpWbH|z+xssH}Ws$=y|
z%_jX`3hx=!LcgA_-n?pVf|^$C9`-q{TEFI5sII=v$!lqAotSfwMMo?2v+cyp%WqkX
z_qOs?ms$0cCPh{p6Zx%oLwl;mz3pciYv;b)T`PDu&&VM@UCb#z`q#3Fnj9=4)@r%y
z^|vW%3G02bo2tOpSm5z?#un%0u97@g{Z@$8{|bqcn6oYY(ktDL;zL@(>)xldPH;O=
z74&uQo$!@qPFp9ek-H?35cGAg&!H7%O*2<)F|ZI#y3yx(`TL@@safhF+$T?|vo3%8
zciyu1O2w<!tIv3;yX3p>Dz%;8QYZft{_uX+gkOARo~kYKcc-Oaj$d|c<>9xn>lL2d
z{<X=aJ*Um9UMW&>DR+aPz2Pp~%V%D)amniaNZC47@5sWp(@K7=Jp9O}O2BH@-GI&|
zt2ePsc)8rQdp64)pY4)9ZmLtB=lbuM!WI})khwYeZrX~NNmJvj#FtKwnsoK<%SS1@
z&L$XXUHrpw(}&l&d-6@kibc11ruuDa@)2j4Y0%*mcI%DHc1f@LZ(?6(-r25k;mNJ=
zj9t8L$s6>w_VO@&UvjmkV&1yqLi56}dvwJY%UzdjEnochane##4lmWY!XGnMn`ZnI
zTA5mZB{^JcVGbM9Elp7Vn9(>l;?*fR>(la+zZy9%XPdfx|N4H()#VQ-{h8Qr&@Z>m
z*m<W{sNBZ8CQL6^*x1ECtS=Q6di_!?>*H3XO+g#P5+0gf-!v`I_)2Z<i;dh_`;3$m
zd$aa2ZG7`&spM<EnVm0{E~YIyscO%DP)BvG;G1o4gV_u}lrGaVT>p7i%Z(Z~r@+kT
zOX|)C<*)sE+Q(K~^V_ATmZ?Wm7722=FP&cg=pG}(^wqAlUBUHM5q)>(mTGp_8*VM{
zcs)JB{(Qo^O^X;i($vrEUSJZu$DDE1NQq5q)-MH}LoAC{{yw|tUw30$8pjDQgTgJl
zn2UB_yplV!!X-h+^df_cGLPM>Q{S&IembjL=i6+q89yWrD{1dAah#K!XXSo5X%nM|
zn`q;mZl}_>Crh5M5YxN(qd?p<Je!mCN>P2Um#-_cK<Si}r_<iG7&|g-eH`y)2@;u}
zB0BwDpu=088A16M7+mH)ix!D3y1(evr0n>zmFBUV9>&VQ>GSf)e?58j)tMhFclBI)
z&z%~zf^%L{)RbHY6KlnzRvUGySjv)C8dU4Z^yz;;Jo};Q4aKR)_vg?4`S|`P*DD!8
zlUeIu*{!|Nnithr5%TZfw%nchmIVusd_5PiuzT)bp5Cn|xEOg~7b{u+G})_nzxSb4
z;0xJTJ@5V{O+D-AVLkmm+oo&9Z(G(_o)xu_<=eS4JjmqBH}TIrb61v4y3A9l9mmGx
z@avS1uAdm&y5o}lrEc~1hwsgLFlj2ARAbAp#JtJ}YV`#_VoG~=a@nUUYxA3|@!#`K
z<w}3qbm7pL1}h_ugWEssNKtKan-FuPl>H#n^BMk^&py52>)dl;lTU#0LxwLaOpotl
zZr69Y9?|h(s`F_j*Rw6c8M5oHG+leD`|aJ?r{6N4Wou99(^??e<-Tq0%WE5*!e#t9
zWbf|(pr#-dYGB+@&$IoS*F^2`vnCc>1a^9db!2i}O#S@+ywtpy%1;ea+v7FveP5bz
z>Y9RjsEKitjL)=lTUjIST;rM}eC~#({MuCu7Flw5iC(<ptR1+1&iZMq0)IX@^iwag
zaG7As_u92qvmTi&U3}UmpJ~y}qlO9UWeZuZbf(8IdHKjF<q`kEe=D}sf6ZGioSaip
zU0Q0L^7EJFN}q{mgm<TfW<34FAG0s_<M!Lr7D=A)TDqnAm-?REh_Accp7I_z@R0A$
z-6``ba@jB4>eRo{IhU<zZUol_x!>lq;y&qkbDN%@SQxGTGk#snMV;GzU5}2qt*_qP
zYjWC5L2BxM`E9jtXUUZPiT|4zAemKfCHQ}`H*df;7Rk3Q3uYF!7=@jm*Pi5DZD}BQ
zP~Ax`^5e3^+pCP$E3cPx;-BlgWoK^7!-=7VQVT0?Z9RCYY4&u>Jn6=YvMTPfB=wR6
z^|D_|_XOoP-7M)1efTBDdTHi7@$y{RVlj7PTa&=~O=6c9<uEUbd=;&HYe#OM7f*C$
zeZF($O8p0kl`nFa?a5)86u9zb?Cs@}Nf)?TeXC9OKaE<D5E5m@n6|iZmD5VeovO|K
zAr;e7`)6G|mMgnKrtIs9$QL<5OH;W8db})-A4s_}|D?$AL(b15PRCC<Yhbl$lHc|Q
zVMD$^5mP~?>BnvFrM1VKF~5A1vUz46(~Z2jAJ*3gf4GwDBW-q*qotaA=Qf?~EM-ZW
zm#W`fI=pIDh1}GPds}sHuin3)BwBQ{O<UhS))UIB-Ayumm+YOe#beK&OAY#eKI&)-
zHqO0~DSD%GY2KTSCtqpKuk(x$sINWeusk7JdqtrcOXG>K&@|_-Unc)pmVf!j>%P-}
zpZ-)|D$3#U^iq9u@Wd(?hOJ$-L6dwfOs*-c_BScao<B3>;gJoC=kg12?b*cJ@lDJr
zDbk$d&5<CdZxQSHSBXZ}&h7s7x9RoF&ksK*sTn=<+wvi8_r3pdaW}5bZdy>5w_ozh
zV$m0U;RmiaCgodwJfZcm#4%udQef#q`Be*2g9WYx{flb2mZu}-P#@a8YPnvvgJXBp
zG>$B`zbz48GGAF-%-Qa^-MIX+(2*sV?)rDw#<TA~Jtx%X%B&EsKO60OHws@iUAr<*
z+VspK)sD>N$8rs_&5zahu8az{HHkUuvNltk-y}IMadMbQ^Ci7QQ~Z~^Rynuo+-mHZ
z_RvE)Sw6+b*KMaBbK!!p<SF%U9o$w~WHkJYNSwc`+^%DK>&!Bj;?R`VDQr7rOOIXZ
zYY(+P)Dv>iU%uG-kX@AK8Kb8f^P*;yvGBWBryQNirjVRvG_A~L#Vx_qEjpX8oT+GC
zxnx6s*uTvhyaFvwy4urN_#3OImCl=``CB11E6A%?WXf!-ge>m~le8Yaygt9S{)XpC
z-6iwN!h;q&S_rmB_*6H!-?QCh6wW-s#MJZYsVO%NFV8Yijy)1w9g(Z9yxrgT;B^NX
zf8)0ta&NxtbjO$)e{(!`B}J$2VWr>IJ_F^@BbV3jPue_r;_c@VsXFfjd-HtUZt|rp
z>8kjZ_qKNX+@6&uHQrk6DqLOGBDqp&UU9vF)Prx9ffC)<;|n=&ikXE<H%$mpJkQE8
zQ)<F`tDS4TSDPJCIJv5~mg#D;W?aqI);s$gtvUX#GQ2oFr84E|al3<o{pU1$Ba6b`
zb`}R6wGChJ{h`^Vc`Bmor8ti0`d*&);`0*cRUOY){j0p>2Xg3?pgWgM-f|dEa<y6T
z`Qf+vi=X6Q9n`u!A%m%9P0UTv84RAj9+JDRIh<-!PzgQrdE>vJlYSm~3U5W$7n%Fr
z@iOi0OmjT3YDO)SW$@(Sb*FaKa-8!J{T}lmYh{|F%OSrOmbl)aMUfMnS8aH%d}4-F
zPwI`wS68T<@(6qKMtBc@;nv&#j{1JQcQ?{E?SN~sLP|aB`E?Gbt}L0Ny{SBQ=@eZ@
zuT>q-WzHBBbFci_zo~9fri;l}$FR?DWXe}d-P_QmKD(hJq_D5%erdIh+~&VWC!c)#
z`HQc&z+|mU6OZo>I1}rywtB{c$)2ZAWuKi9EAaWomSt5N+z;zSHO&ZF=$FyF^L2#3
zsPI;`&@IaM=U<Qu-cj#s${1uf{|ZC5nSy-i66JVKz7I>)=HID%QL~BnSbF5=bu-tq
zzgM*VJ9YZjZ=Wy3wCC>Jn`T!~w@fX5?cV~sRVUO~e(uQKBE2Vz?|_NYtQQKaKbEsO
zEmK=EiP=Dx(>ci1QgKG@6ShlwTH?QZy96)Fut)apH=dwx`tyn3;w1YS_5E7wI34Wr
zX3JX6>EgY3hb^*kfA9oDQ_1Z=r7wfhlZ$eEKZ8Nh?~u>tqQZ+Zc{ly4`((?+5SR7f
z<ccjxwj%v&cHEZ~E91VL@v|psd43eXZ_0$wZ?79C8=HRUn!7L4R-#{PmL>Dz+`L(`
z>P1>=E}JD&O#fAZ^3AD)WvA*jZa4OXZ1ib(uXUwZ>*>qKUAz~KwNga?`8n>p@?`b>
z&;vCg8_#G~oa?ylW;;J<&fOI=_-$u7h22=s{b(s$++Mar6(NE?;!`T}q)gK{)(V##
zZOXWHXw#MiX_qe__w{L%Zs^jO?bxv|H}SBW%g<K|423^euTRxGHGP7l+Lwc8TI>D8
zJObmcv;7E~yuM8IQBBE4o*etNW<I$pubN+S-QN_IByDq}=ISML(boqnQUrM<GKw#+
zxY_k~=JqbugDtK3(W;wRmDGe+Hfmgt7Y#IH7F_vghTJ~3t+QRfW()X){zzffs{SE$
z<-@D%3O(uK#~bF}a21MB-)1WHJ)(T;ADjP4pZ3gq_WA0hwLu^I--w&d*1PF^Xx?U(
zwE5xLX0=sGN8=Ms{c2Bt>WY%T``YpSC!1L@@x4D;lKxfif5<Pzo~l0g*Mh3&%O^{l
zy)0WV9c9*c%<tvDkN;6e<L{|LhM@)3d>FyQ(CX$<?%%i=7(Pi(KA0t5U!0LxkP4q3
zni`Q^5Peki+N;WvQq{F#+lqZTMRofbDkC;Mp7BYeDk|%X!6ca+jXPG`JYr&mAANXt
zSitLYc_YKqi;`;2?Tw9P%L3${23n=wl6UyM__F;|f2+48ZT1Gir<N>T`en}DYSp!~
zGvE3w+Wq|Y=I7SW-&pRhH~+qC#qrPYv>!d1d35Q~r?Z2;p6gwC?cYx3xgm+~F5J1d
zbLzQkA^&bMo6E=C+5SI3%XpHUck1+MK|wK|vTxRu@ZGx*d1K$r_a7_H9jTv}%lOAr
zy4mDg#ku-)58h4nvSH0e+=n{L<Lm@2MeU0I8wl#B+>YFRVa*%OYj0x;jkx6M1@qFf
zzy0~Q^Jprc&y34KbE0R?kAC5DQ2S8pwJEdun*Ck0dgsJuigaCllf(1p(1cAQj|B>r
zMITLDc%#cXz(p#n$Ggarqq8DB?7T`thxKDQv3D;m&#OqRSe_AU%P1%qe8u*J%Zbp{
zW@_3$SzKJNT%F`0lw=#-SIbx^czL19hkCp6t8*GyR_$D8Il;k0s%)#!1d%}Ypc9$~
z3T>ZmhS^1Hh|MV}UC#D<S<LmP%AC$GUWAB+KUwD8dM#zQP4Xc%Mxog`ixW5xEWhi}
zaCn2k+?k)2US^r2<dd#rD)hn7r}eRI)EbjT1*<qNPxI7doLzXY&{0Xo&v~}ghr+~f
z*+&%WReSP&?6jEUXZpb9;)$aVge~r>*IimEvD4{*<d#^=-=B&WM@@(lo1@8gC1+{K
ztJxlhBKLYZzKSxA?>T&P)gzh61Jm+cEdqqj6&6|C+_z^&=9AkuvxLrxew?RKEZq88
zLwJeB)mx?v(X8)(MxHP!+8jJPVYWxs)FmGjZ|Jowi_xlAwBfNn@*t|zax<r!%O>%e
zZyz;J5aX1c{>(Td&Nv}Ci=`)NO({=snESrFYxoY%5MZ5i`Ju&|DUqvgX6f-g4$8AM
zYLDG<@?A~xuCs|wOkRwQ26Ob8IDDp?9bE1*i7j{cwA`)TzQ>OV#3-~JioW`0-Xdo8
z2Tp4=mRGp1Fc2;XtmpUCev%}3bh+>8rEyGlYn5NB#5h@pZTtK*XK&n^TRZ$cPkj4z
zxiG|G-R+*c37?E4tiv<6ubNsj(b;jvItN!v2G@hT8#e2(sp{PEs_idXG`GRiRv_oo
zCOHlz(W2^Y_7l#(;9%P{n`!1-{uGH-NgL!}XBDsD+#K^_YdFuVLm6l5w`KKOt?hAi
zmUtSrtzmM|9HosDN{@QSPJCs>>APs%&9h0G@ppAYCtbK?P};ZU<&6VbGtPPa_nC1_
zx&4*&U!%l+p%W)9V%nxYsp!`1INa0m-~_J%(`KcR%p1nRse5$v#N9Su`TUhjsCr-B
zHPMso%<DuPO;q^TuKsdnx=_OFneO#Zil;mes(<#pgvEG&`2N3LU!5eLxh}A}t2gz~
z1_k%8Qtf>PPfz4+ojuitt#oV0lg($B-C5ve%k$eMVcEqq=i3tZb6i=L?j@WU5wbWo
z@y8yix$;ffE$gqYaZB)Q`E)h&l^9?Agkvwe&W1|gEaRBg%C8?GyiT+sqpC*juF*q>
z@cIL9;-7O#&ar&Km8`L5#~~K3_9X_hCbF%2&z13jEnI=wd>51Cj+3HlQEYc~nu1o$
zEa+}toGY9+_0W$QCV!5uYiQg5giA8!U+T`9nl)mL>pLb$`5j4^dQ!ZnB#r6%@dw-N
z1k3-Hsiu_$-7&PdqyFfPtk<2H%N8v95ZYG5yVZ=VzFf=mRnZSAVZo~hw&v{X6u&L#
zR=R2l;}5HSzg7eVr1l=>sA=w8kfp|WKV|PMt;-urxN5o|{(So-PPo5i(OtdA#hY>t
zZkL?b^e#3e_euHXwf9c&9xR{Xp)t$uhsh5;;lnH99H0G<6K#8M_%L5-Lc{J4tUB$A
zxBU)E6qpM)%IvF`y)wCgUwC6q_O^NXV(M3=BPIGCU0PYQRHC^$bW?%3TGVvj!?iv<
zCd+P>E$!>cO*yp5`}R_y_TPq~S=TQ=Zhdw{p=LhkytN{4SFVcPyxDlFSXzaE+>3>}
z;!i&)=(%}s`+UrZgWqtM=2w=P9}I*SzWnvB>hY#s*OTHp*dMM-)~}!2QE_m3W4QgF
zv*(#=X52scL%65z|H&VL$MT<^H~1&<c=k{4p7=A1|HvJipZdq?v~Y`Z`Cg~)y$o{i
zHri<ROKq5PJio$L{qM&n_LX)Dt1kbv&S>9%_J>XVoR3WV8&-(@(3h-t`B&R5_<VhY
z{M7SHlio3$+}mZ7@WVz<tv>V2jCv_+4x#+^tM!5(U-wM^QQM>bGjz|H<3F-{?9cz;
zsGs~%jenAU_~&CMJ?8#U(TrBtRI}pPU;il8sO4u|?BB2X&5jihGPmR$Se<YCrC@?l
z+JTSi@y8W6?{Q>!x3%V;F3<ElBfq#eap%}}_$XT?=6vkkz<FNvp3$#8RkPg+p12>c
zXX<(|@%Q{P&O_2F9T_a!vsv_QzwdG0@ayvNcV!$syh1ai4kq?Kc>ez0+a(!acj`H&
zG_wTuKH%$pa3lDxi0!W(-U)dV6qX6@`TpenFAhHYUvh#!3V(d-H;g{>N&B>-^WD5t
zLSN;lUJ+}X_NQ;@{>*9rd`?eY8vm!>+h_BaeQ7W2r{;zAe}AR4<=}$DQ5V!rn(V*C
z?EUQO`C)&|*Q|B_VmFukQNJr!x$3rZBkwM$OEG`j-98)_zavz)#9iQy0%Hb?{1@Rh
z{~jEyz9Uq(F#1rP3dfpehk2160(Tz0RsIps{ow23`XVKZx-GkB=QOj}^**>Sbx_*2
zsd_idJzk+7rcL$zCQatMS=RsCFk9(98z+-3(~;(aH>Q<4=SqjzzF>X!W!apmXLpD#
z<_nf6op)%()oV#1_qY~s3YWP0GpeV|Epbb-(B$YPi5hDzPUH-i$Zd&opLyM2Pu2Ao
zCv3EOKCL$JTG94!>NYp`X)6w`C=qqrx+*d5!}^O8&#pAcih8PUI<da3^5DmntCg$$
z60dX%O+LFkG48_C1y<Wd+@8;Tw3ylF@E(Dv#mf3otruC>T)Wu2I`NC%!q+E$2Pc-S
z*Rrp!;d|ElK}xn#SF2~8cjw99frs}bthqRG``kk>#6n)bxOLe;-{sni6W%_FB|A0k
zUz%Mu(4Vo(XF`o9<C_!v_bA2(1=K&a;avIY(@9_c6Kgq6va~L}y(CjB?zI2(X)7nC
zvdT2>I>xo=fobUO2u1e=a+?nbuHam|K{?=>&S66b)g)J$#$P9l0zb@LWw~aBa=_7O
zL-z${+aIOqE_)UC<GWncJU_#Am-L&w=eBB>#c490TFrD!uwzw|_`aV@vooTW`TM><
zRG%4qb>30g_Kd}AT7*)*M;!Xt=ylf1D(i~;H3m+@t3NI+tm$%jm98nKB0qKNBk9Ti
zPVNeGaI<t^?=khbSQxrDt1M*I>2HA!QET5bDsw!zDA97g?}6OqgFnvvzS!Nf<?~Hz
zd-MJEXQ#hAbHa0f3I8MQJ?X}^C;1LZ^V@u{3kf?{->p7x=|l-5i%lp0-%URE=IGO@
z&Uf<X-sSJNEI43QKD+RnQ+jIQ{Y`VXiI>Z~d9zvE>T|Jo@o%edC-{PH6|M}~e%U5N
z^!j4kRa>0H5^ZluF69lYv%MwKKlfqc>PzzH{M2O*TP>IOvo1Jt%PiqY#^I;wQmnJC
zNpgk6PY{*ZdM>2?L5aM?=5tZ~*+<$MkF1T^&o3e;`S9Acx{5oE!q=v)Jr-HJZR4A_
z(&G7rXBX|*(evZp>-G1Jw@!Vz-LX<l+Vl0Ex{4!>>}%e>E}UJ|p2r`hdb#1+qlJFI
zpE1kIeUO%W=ju`$qnlQtkaHpZ+{M6i0%7`$9KU&#HTLUX*<qjOGR;Wr`!j2A?@Mj`
zJoc%9d>>Mar#zncR9)WkH$SW$%>Z6lmH+sN{A5N3hRKYe)f@E%DM|1~v&L5azX_`t
zeR&wdCOTR=9MzCb7JBpLiGEKW$NqI64pmjO_3JNFy}GB(sq_J7*Lh`yqfQ1dcmfx=
zB&}PvR;J8TdG;-}jX|d@7z`P78CIQQ=8&B4{$uXG4gS1M{Cg*S2+X+B=BCN=@&U)!
zgXNz*<W6<J_)vfNgG1LpgQ*8Dok+YKwDO$adB*g!Aq+NI`RSHt?Blg3O+CJM&hzZ4
zUX@oq&*PSo(6L+0%@L?>yGm+N=1PYJo(r^>mWiFK+~?yG)IZbme6D!j;f(#K>aAb9
zyxU*GTCwK{Px8dF?Q%QQ&lH96%ilI;U$t>lO#iBlb=MNCuXuK-)$j6s{DzZ@`>~df
zhS0LyXrn`dH!^k@v!|T1DZPA7;^$^IYlR6+E43D}Kkdk0<jCthr`g2r&t^l{lIiBl
zCVYv|Rjx2~lixjiLF<qA_j;K$8D6jmX9^@Ad{Fe}=vkhOYYbPDMC8~Hc_|qjtMqx&
z#PO@{+N>XICKPG%xGtE}<tAt;u|~9}@WZ|Kqsr+|10P49&GQvL-YR)FDX+A(_W;La
z?e~nZ=!2|_Yxo)D&nv~iz%luysXTby4I_ut_oop#6=8-Ju1*RH3JRu)<^~|z&@#>7
z&kUE^zo`rXORjlj{C017KK)~Pz~=7GZyVI!+%^s<voTQ)Il=w&@Kkk6XMxlD%!^ip
z=}7tqUfjQ8ThP||H72i0H=SE*a8^q2_hQc_yhme7GA^!G=qS2vn)m3L%g0;wtJ|+A
zU&^*TVZUR}-#0Av*YhrK+<AT4*A>BCr?X=%T+3pnbKMhL`NZl3i}_(|H9obLFy)ia
ztv1bFxJP%<TG@9S{(n!ByT9#t`+a83qy6u*biHDCeki+m_{W^Q9|GH_f8P0zJuv0*
zmFgKQT~~Hp`0uq_J}70wsaKvqnzt%76lXuJUlHTk{!8=F`L4--Ei<d~i*<@VMg1#s
zKIY=vY|)az(|$VP3g=<DSuy(_?b!O^1-I-A?aP^GIjlDt#$2zhvI?+bKmAs`Ogr!R
z(OhZyH=+>-QYt^qvSoDjoBStq)z-!CJ;xI-y82l#ZF{rx!Hzyo<CuC!MeEaX6<WW}
zr#G42cdLJDcj}&xZ{89KQ6^!=e8%5>`Y-Bjw(u?eem7w8mid<3re64X{CKzL^SlDN
zU8<8Vbc&wZyx_#=uOIXDey*JNd+CK2Jo^;WzA`T@DhYV_omX*t$JWz_a<=L|EQz_>
z!phn0b^nw{tJu{Us{4(byC;5bJSWC_HSA}x<D(k4Nh{SZKCPGBySe4?*{9*J48Hu}
z`Q@6*AN*ebd6grJ`-#UU!qyMIrI?=g<eJ!c;vesspFiXmI9EnHzFXoIqUp9KEKlI2
zU}-?yY*7uN4e7jJIli*{|Ls`JaA(29UzZs6&V2d!<AWVnZJT}8X)8IjO}N!~?8`x^
zcX#i9Z#>&`N%>`VYk6&tSbfAIg~<lV2cpwvZ?0%-UbNIP;Cx>4<~ZjqjHVx}Oik2$
zf?|6graswzyiv4R;p%E%?OUt|s<bAwJg8i&wc~A8;2ZPJ>n)R*rpPO;H29kKbdS#m
zoeT0?t@=|pWM~}PHEo;dpUSY}Uxyxw<jxd#^p*V`oc8ku(^QGO4y&IF`pi$M?~|%r
z$u-N6?HjxG_vQ_^a?Uuv+*FfxVS0dl;{PRKY4?`5&$wghQ<$^&VXuGeF3mELdy5uF
z?7L9L<s<Buyz1rxnMYbLQ=SX)Po1D#A;xE=nf5k3Ol5Z`<D?+%#l_Vdxnvwt|I|78
zJWKwzCfV%C`Rx@tL18nzr(fxEeCHGXaeKX#EZ-uDuiuOF6g)+bR(=iI!0GUD=|Q)J
zpBl|gzMT8uw3qi>ZAQ7u@yPY-uhm|4)iPYLW%<d|>Jck9eg3GsV(V(gy%me^6{_+*
z{q)%QLF*B5l`vVab5a{$PwIO1H}~HogWgqcYyRqW|C-(O>XOiYe;)JcPfEYU<~-}I
zQ~LFAPgDJ`LN>!b`TX3c!n+fXlr{3C-&>r!yYlR%<40JH-aS#?uHo|G?W~ff<G;WC
zDqdmael(yUyzEKBocQ@+pZiW!ZDteIP7#xMxbW+aCwuPo^i^ni?%Tt1PM5{Ujq9?a
z$x7edCi<J_zW=gr<~_5=QCD|8su5Z&$;B-6pss1<s?KMxYU<~`H*HMnzWRQpI=81|
z)fM*Za~0Nz9P89RH^cYguh&xlvo=n1af*7H$W(p&nu$g`3+GP`cJ(#<{X7qfgy$vw
znt8=_d4p$^+8ynds_$wi<_ZX&TmFnI{Ak0Usgn&X%)+b7eZpgRPp%2%{g%CX!=2qb
z*37E7tizpgza{MU>k6;S^-D7x6nAOtaOgNEqgOX^*6zGh2j54h3Ua>qb1kgSc!uS+
zOSV^2%VwXrT9y0kPU!Xp!t8mQm3D~*HU>tocF!$x7Oo0bKD}zS+AN=mf6^`~PqTY+
z_)qr{vrC`On1@edJDPNH1y7Tqf%OX^DbGEe3GZGdEO+wVJX>7)+BFvuhSqcSY5F1F
zkK#7H-qf17Wqs#aw_Q2*&94qx28mDWJ*pAZ<x{aQa`soQ3ezGPH_42f(z}%#nPc|r
zhSir$PW}Ia^`&h58|!<y)@&2s8uwpdbS!&!$-=j*YH#G0U;Iqd**7j&ebzDN_cE_`
z!Sg1%M^ZE!?=>#^SI;-`WlOH?MkdMsN%b#eR>&?=Y0YnJ3|f5ps;kCCF8}{K{+rB;
zT>ePuYfsgtC5=D$m^FQPZ?%28C0_KwwN2vYUghm2i%!hs3j32(^s`#>z`Cpx%*HFV
zO2ob9MC3ZpzPR_QxheDcWoq9K9oCq4x%>A0iWN-kX}eiBovm54%9k^6d0EG{pvo^1
zng)N^>)pBqxuZC=1-l!jJez!>a^jEmyUPsJzkmB7`}h0bk0G;@j_SWz6LDY}r{tBd
zJ|}!v?lQmR=A+=T?Xv08X{Ua^^i^89ENN5wuaN2kzxv<%ZU6D>Soo{CI`>)rTirYv
z?U;INMp}(#3r9V#!m<C#^A4DayTA9IbIc{<^Fx)#{inW6t#@&>K5&PrwpuyYH1P7m
zxd*xKcTO;UJ}-jDaG{#?x`eU~t8dhByZdY|xbkZ86i4+hy&o<2-TH9-xVl@|jHz49
zgH+=UZtzZ9dB<<!-oG{%PDJc<%I(;>Xre))@8_!zo+hk0tM|`#_UwZF>&y<v`Ahtm
zx#R7hV)>_`|8|^xuJY2fvR=aBwQdsM-ACMgY0c7p9u@z$8%Hj4Oj@nh%C@Obx-HLM
zbLuzoiD#VBf7x4pVRsX~#95kl*Xinu64Pe2&6l2>GdxmRzU6}Y(=;ueGjc6mdXp}1
zm+Z=Qx}#gPa^d4e%lNK7-ja8@Zr?>2UcPC!SZcG5&Qi8??%x)nSC(sj>y}b|0?%jd
z=h|xn%nSCcta$NOWzM6$Uxc&nUS76hKA+v@BMxW7*6<c6?|j5-lVX`+{_NMD8z*0f
zHUH9gT%B}2{H#h(&J>P`H=Q2($IeN9ob&R)m4=CV3(^cv&8uGU#XIW0k(QpX)`yp?
z&D0Z=9Lf*ZC~lB`wasOc;uDvLaSv@i%TKPq@M_J~?z&=rgW}fzpXU`^;9DDEBKhDK
z%i-Fdu#0Zb3~Y5$ex|Sad|<0e%s0X1Z&q4K<_YUdf6aV)*1>UZ$6OWZi9JtjxP4@d
zuX!x_?Q>zl)y0Ynf_1GT-<1U!O<Z}RIZ%+9i}nA1p`ry3o~-r_w=T)sr+X$V-~5qI
z#YxY!!}S5zQvWCjyq%tK)-YRrMoxVV@9kHd7MZ>CJs)dl*w46pY{7EFHw@SJB&`0C
zXe{kya+x(eaq;&*J2sm4E!uA1KgGk`@u{QCggK?x6k;XM%q%~DO)YJjnYsSXN5waP
z>TOVqC}QsRyzTP8$?nqaEWKrBPxAxTPI(&9a7avDMdif9`ZB#cO&eu`<i6=IydqdB
zm@wBo{BE&asG;+dw7*YpzpIvY5$N0{xLxFeK+VN9zs!nnpKj~?>nnLN=E>?skN3>!
z3HN%{>aX~6(yEG6n{00sCQRr&lBcNi>GYn4Z4>`A1u)%TZgug(727whvzykJo_Y{a
zKeJ-p1+n=hCl}?<s61X+uTZS3b96=I6AzJcyJxz8`)^sWn+yIq5}gz0e4u|*PrH@8
z!#*y%Cw>RE@>hyIJ5tilvg0*-L`jeG9|O;dA1_4;jx4*iacamqrK2w9L61tNn~8kd
zUMpsn!0TQqx#4K3-xW*VQ{54Bs-=RPF3I^N96e*iHuI?TsdX1c4yH{~cd0+M!}gbS
zdTZL`TW@@COn+i!@0}HNFRH}S#9)&Ue`L0^w3*cL_G8ZX1MZY&+1~y6E7SFtW9Y??
z`Tvgp`us&EzPojPkii|s=E|BbcA<j{3Kp+y_q5;^n%7z4dP+w4y_f$f3#0c8$L{@}
z{!2UP`v=3KJKq=TU1<2|su1hhY5e3#eeIdwYl2veAL#Dno0al1@rc5D;f|Z1#FvR*
zVA=L+`F3-W<QKM$s{^Yxu&#{ruNB<LyNvC#<*t_{=khjB_wl~j@&8V&!O8HGGmcF2
zFp+%V?)Q_O>!Hzf>x|mzsY@1378H}pEq3K?c3B*>_UdmwiT|2sp4pu8lSorD-(Be3
zx5cAAsGsq;>z<_(xs`2uKi6gD_05|$xoGvI-NC1~i~o{0Ht(KZ&=w!J-1OMVbC)-E
zd`x!@i+#y(P2`1cR#~mWj77(r#kOrJEB-qn`T3XVv#UApF5$G_sru<+dRmBWPV3Q@
zWqs*O8(NP);NH76yYx}k$wzDZd6VBSyp^)t{=o$|$4B+@axRfCPca_kZj%(6zLo3$
zJeKKyb_#6&vC8}Egp6fxRz=x_PriTR<Wx=L2hr!PkGwh=@Mx{_r+*Ir>v(6M`&gLk
z(3PcYz9(_=)vMLw7i%BAJN0Y5n$53u_v!`s*ydlzxyi}xDYd~#<9Oqly`2iW9O<eN
zhq>x|jxIj>XhqQRmow_c{e`;P81z)~3w+OQxV+=uvM<|X<&JDiSU9zN(FCtw6PLb<
zVzEemw<*IrdBFkydAIykbK}nZNoTND?Y<X#Joln|M_$HXZsFf$myb{WYWMbB(9WHk
zv;N&b7-T+mYo6TlMz^O)jSG7&Z%~yBoR{^aD>#0l`1(G>-8#H0)*N14XH;LvoSgUj
zZ@2R=>jRE4AzwTny#LbXe7?^~SH0J9;jD{m3ogEKNSzq#-*~$8;*EdOzps9HS=Z+H
zuTr<)ubl5qeaiZZBW?eDT@G-lGamhOe0Sj9h7$)1jwCLS<hWQL@Lhkw+^ywo2USfK
z=5#M*mz;T^d|Q)#;VVU1m0QBQr^hju)K_|*V{{dp74S;SV!PtnRQ~4VXPctTyBWQ*
z0{@@;ym^{vX5xiSk+-~?RXnpUwfz03;rGsFOQNWh_M(Wiq|+~NRHno`?=n0;$u)*s
zmM0_9P15~{@8eIBO0sX~>-3qMu=w40Xq3L-RVc^J7}MjKTFd{~&f2kwMY*r!XMtVW
z5yAR8*|WaUx@-(iYkn#>hxy;#!!TFy+waxuo<Clcud`b8W9j!r!mrkgTXg%K*<OA9
z?f;EuW`2Ked1x-<;+s!P&iI79Hokc!z0^zm1*5o-uk!VT=mYPxGUo(MW<NbUcEU5B
zr{Pbfm+Kyz8c^HV5|?NFa@HqVwJEDV94QXf6z9m_|Dv8Zu6x$DlhONjT9rPDUjEZq
z|4ZDqdfUvUOHS{%sc6_^q^#V2nNd!~-sNV|t>b6&zEz$L^w}O_k!<Mex9)3D&dHg(
z@~3<Ykcdco^24r3I`w%`jI2-d@*Qt3sQh1kV}0^`gXc$I{ybAVSL;n%qxbKkQ1f-q
z7_xXWc5iNd_4=^=p89uO42k=`{VI9%`QYmA-puzxS}Q{qh-x+;e{Id>Vk}|DGd;0K
z?d`=Rr__6#nxWQO8(YLC?Cm+xndEHu;{Tn)aV}bKx4W}1-rndevS7mh3CUM`W}NhE
zi`#Yh*}<Uye<c~`Wq62%u}y4q)JpYE^onX_`o8;R(!4Kfzjv?kxwpouzRJJJ*mY^#
zwdE5o96f9MJmuePN8R9raKleMrwy*IO9@P5UVfu8e#R43jSD{ugjf&1EjsxpbCI|3
zbg50ZXV%Ja<~A>g*;t^vA+q>Li$8m6|F+gUsYSnMR+g5Z)?Lsq5dJg4c8ltj6AvHR
zv7SE{k>Q+dlxp{f>(<^yVN>^ZG{)A)8hrS7G{)daOwOXo6J?(5w%71UeSGv#!qGcx
z*=pD?oN`%q#JHnZs<C+4Y|D$g>>^}0$|z;LVPw1!^E#j5Ojh07*8P=RgyUO2O=z+A
z=?zT|o6OMm)bqyd8LuZl{N}n;`M@5%t-iL=C$#q{@fIXLzO5n<vv;-e17?%?|2y}x
z>es(}JM+Z3UBXv(U#ORG_g9zDwb*vB>wBngX<br_FKfQ>7Uj~DNmK6czU~`(c0%@1
z*~6K3kBzT5D*b-$mCU~NQR*)DYm@!&-8gIIB+Rx$;ODHa13Aw!4?Ru|z0LV<!evF5
zHSs>0+>Dn$avAQs&cA$Zxn*kS^<B0V9#-?e&WLT9Q{U$)%5Yx2L#o5*&BpbQ-hR}v
zT{mrh&50{nH@I13_S7D{-}C2@#<`BQY|AFT`m6NewXd7ZJE^tJVh&a!TQokMF3Q-I
zp)<38!Txi;!V^C%QOo=}D=6>mY~N%Do_9g-3JW781Ck<qZ*IBpes|(`(Z(Z&P8KIr
z+bqMCQzEKQF}BUEza^m9_EfOpg<9d1Pe0GuES}I^x7hun)9HVQtsD25znkFdb^f%b
z@nq408nF&_)~TW5eM)Zq&UuCh_RoHyc)~;Twv_Fut2ae9RPHM`e7T#+G%Qtc($&V;
zWwRHREe<T(sqAdbSzX5XQ-f>mlBeHy?d041NL?{|z4o<|HI~1gTIkm^+X}6|D&9Kr
z8dJ{KU7zy)<)xk0s93Q4)r{wkWlJIsXBfx}-ivk>TJ_|X=dAOe7oYgPeAm}hcMty~
zdYxYl>>I9oX02@ORP^GhlXbcMV*h+6xy<sthuXU9ToentrY&-+x*Qg@b)&kmmNEDG
z2@hAjs4hGjb&Dx;Wm5OnGkS`JUG*n+&*09#A5uAmc{k_D*d<R@l^z~`yYP2y-rws!
z*U#4TZ#K~oT`Z^2@;*n+SVcuac@F=9!`{2+i+1e#IX9dst5G2Hpv?M%ANn8hx$a%N
z!_fJ*oPVPg&+_O8CqB8)61==1>+VXIrf{c*(D~}@FV|Vv9h&=KZ%X$bg%ziAuFby`
zT<@_@@wzpa{4!?$79-v(A;%sIhi=^Ka4$mW;31ZopU;KyW;zupH@w-hz0W?|_|Sn1
zZqKbPj;>h~=prI^q4+*aN|k!X*)JFN3;p5idAIyns=ctvS?=d^*VOv2_K)a(_xz9Q
zDNd~qI*0Umm)gti*>Eb*I&$vneK~tKub;cA@965h`h|YF(mtYFRZdMk&syl7{WE7t
zjfSSkn>Stu&CkaQ>)XmLX5bD<P%pK!f5ZAUT8BUT{{IN6Q;+R}?`*Nr+5bBDscL^W
z&ylqeJDRKOrhF*BQ@<^b?~hEiYQqU_@oOH<tA1OChOZSXGHmUdzNdQ5p$P9%wFhNQ
zdul_}<|IC?PpY5#_lvZ-Q|d>R@9Xpho~I=o;F#vgGV4eA7ODH%m5leNEU9d(@D!?>
zFn@<Tvk!axVcsiG))dWL>a$M$=oQ}$H?;Utf946QI*O}qy?xd+wJ>;L%%L++^>`K^
zT7InXuTJom>C4joxK(brpY`>}G8vD<JyJ6x9_Q$;;Yynj_>b+xzWSNF%$m=s8+#d_
z=sDANQ~&c5|Ct{S9laNPV^80W<Hx$EKk~nRb<aAk`eLKIg(_vaCr@d7%qfzQpDKRw
zyP(6wJG=6JT`(wE9y$GOeb)cqOqXXoov=k*!Bjb-nfcqE{fkAn9oxEV&dG_s8}B_S
z|Li0v?!NgBo7D`BU9L-B>nP7v$*vc5cyx_hTV>D0zZ+h?ur@ncwTk=G#Yq<*DOyyl
zX(_UQZz^xq5OeHXq;DLT+)U@!Z=AON-Y&D{*7n4JQ!iW+)^C2c&Ajn|`s>RpIarxY
z7-F_4%sjPd<ND&Kcg5;=#6?)K$xeR4u^{8@d--Puse-YGJab=7IqZJ@KjXobOa7fU
zm{Q+XD&@Rhdwa_b6WMI9?GYOu9(VrdUjOg1-p5tDO=oO5@_P3lvDA4P)uBs-4|pD)
zl^1ehqHYSeQL09lG<WW#iQB!}GYgNEe-u_}W(-o9KP&L7|GCG9?%clB?3@!6mwx<Y
z>8;8in=Vgp&$O`F&f+%p-?7(?yN+?BI(}QYrp8%eL%o^&y(-6mt#@wxsBh}Ztt&L#
zq@elcZ;JJ66`9oxau;4*{JQyO+SBPmkxO%rXSL>P{Sn!06n>xWurS+g{W*DyFPDCJ
zp;47GXW8|t4Q?huAu-P3cbhh++&wPmWjaAgb;6;-__P}bnD19V**1YiWz*t&0lsYy
zeS9w+Ke0M&WwFrVdY+?APRRySyBAOVQ2Ogu;{>KJy($~tH|3pO)$_~h$c`#mhyKOC
zr*av2&lKRuzn!V`DoEn)?C+VyYyUUenr%43F85vV<i@9yPZX>@HtU|0LZ4~ziKn}>
zO+986sNTKmHAP5?`M*wg`%j*?Pa?UCYT6o9Z9F6{<{q5=!^wHagF@!|g9fu?10y7t
zZ)H=O6uU??@BPH2hJ3p<&OTkYUTok}nR3TF_rk8Zd{eU6I%gE=itJQ1^O$y~!ex$L
ze{#{5rt&1!=*9Cdd@$2?)4!nl>Dw0ev$dQF`p%ilYk5s$_fN3D&UJmmdfCmJmwD?g
z-7UL)%gG1JexK?4o_0Zgj*7rJOTPL|%S4!k7T9~c-&-4Pz0bB#r^lp->%_b3d(X$`
zbrsy?`oCf!bBBHFr-s<;&8JM?x~nk9cC0$zr?@}uuhiu;2YGcaZuqO7*)!L!=b_hx
zmFvC=nP2x_$y54CZfTm7*TU?gZ?mp%_?4qtRy{|>L(glqo0Zz@S{^Hro@Vjy+?Oiy
z>+7BRHr{aS&+VRYv(&lp%e+HN!!s*4AI)9+d&Si`WgB!=pC5h0AL0I}eaUrR1Hn`k
z^#c+8!gJh~6kir#>ux`-Ci_oP+%n?e`j3yZUL?-gq;<v6rnb!a@jO+AwBU_rYD4~K
zC{HMzerCPP>?YAO=JiU`cieN@=fM{1t#C!`cKw?A`A>b$_mzC?e9_Rgkk#*q`|IOf
z?3bL)Ea!FVDa$`K+%PLT=DrWZ>gKkc?7wSIUo4R+HLDd~c&GReZ&uE#r?1kvV*P@>
z+9l(A%i4q4w6m^!+UEI$X{OKI{_C%;x>aM77YlI}DmEq>)#%<T-7=$Kg7=G*#(4#+
z>)YP^{ZzVQZ~e-m9iHC3k&AYlb-7RB_6qn|`9$Pf@df?R{J7tK@`puMu8+C@YIj(E
zrtz`zOVZE%G^ZLIf4!+_n(O}=QZKi?m0@4jepBMl=V03<y?1*atY}gHbYz9`C&5&S
z==^V*7t4P{UU^t|yWG`b&cT@xXOGq$Uu~-RMkZrbN4dbAj%4<*`k=yBCp)IzX<Dqm
z{eOi=$BYkr7d?47)ZFC0dhl6=aQ!|a$@1~mhW}@eY}CAQATU&=Rb<7X2Ru`6%y3qk
zx$|V=pQQ(EPH`JGtvDO_HqEG-%lGj8OPvc3ugLo&#3}#1ON8Y|d6}fu%&A_hpL@kg
zd>8t9@@&=4HN6S4iuw-MPF&OpnsJTwOazzx>+^BU8|5-N_Uz63_StUpn+xX;$uh29
zSTx(_@wW3%PKfQCVpz5~G&}y^)&)vF{?|Tio)P`DGko71;U#Wg)BIo)OyK#!$qrdM
zpiS<R^RryQo8Gr&>F9vAcRzky`#tZiZJ-$&8yhDZD;on$8)RO}>i5y5B4P{-{F563
z6d?0b{9+$og65@+%^~wr$ri~;;CU(2G;{D&<LTqM>luEnd!V3uz~fYv#6*i?-YuoG
zdc7wKh=%0V)IYfCKF6bcS7?0Kq7{?hMX$5F9xB2cT%y3s#L4uQafZh!Az9zZOZ=0+
zd{EnWU*_C@zU8LTVy1Vt94oFD+?jYPMAT8hIV90@&eUf)YrR*6@a-sC;KC=V9oHwO
z!V%x?@KLKrUUSt6O?{6GQwp~*1YTl{No?!wU+BTWd!?h{P?!6|?dB2p>prR(yx-`$
zG}tX8_DT~=q`2rr9ghI!x-C5_oldGA>o{1Oq=Y_9F?gC2EAo2Lrnft{Rb2U9Kku>5
zz1xyyAAgtct2n<Z<z&~g`yb!F|EFZu9$~{aWpzfE(7k6qH77++=HD*cR<XYL&*yXc
z(!X<Wmi&6;o3Nwz^~{|AN2}k9mPj|%?|V3J@y=<L!g8O*^h6ErIiGg0ShrTjZ(&<i
z@&?}1+m;#L_V<2XZk4q{_<>;5=G3bEANM!Zzh&KVIgZin-vf(|U9V;JjraSPOkCJd
zkQ4Rnfx~7Qm%DGzl<#=AAiw9ZkR|svSs53l^n**9)f<&6=e{qv;P~B~Y0h$)KY8^$
z(=BgE>lH7km~rm!dog~uplLRoZ{D9>)UeRtg4w-|9uj*vyAK^WH2M1kwl^jYk5|7h
zEPGmNH0@yh>pQ=t-@Ays|GxKTfuysd>_tb_DP2c{3#8Z%N{i$-SMof!+-PTgzo-A0
z_~XSwr;guD*uTmAkN!RF7r*P@DT}RN@Ve$rc{kIsV+YM<Jy%lOmUdepI_UuW{F=Fs
z=iV0(U-$NCHEUh5#f<(7f#p2!w|&!#x!paBar53Q6ZJNjzWZC>_TWofsnxbj8{s8h
zGhVQEOj_WkqUy7g>E@iy^|^fQzipc?Z@DixrzJz?`|QQZ&jrp-dwKuimt~iwC%H75
zXuPia;>6;u5H&ebEcd-Pv(pZiW#7{d{yO%-`}wA~rSmT+?C|+k>9X83`r6O^AAGK>
zo2*makat*5VE_N;92tJ)`)=0D{#V@p-uL(i-pjiW8_De5&6kqDVO{<GxsP*p_%yOz
z3Anss;WmL?j-Jcbl!gRen9L!f=;x)Wn~?JA(#99}AL>k(sc&Cg(zbxjtEa-tW5f4G
zf#e>8Q=ajC`iC{t*Yf<HcYoU1dpdfzPnX|}yFIs<Lr2cp@c;v-fX2MG{jXz|G1mXs
zeZGB-=*x)_KMOBRIlW45wUTnl0j*7IVj8BoObuOGax_UGGW3c;s;;YO>`88+D-$-l
zXi69a-mKCJc9|KlHO%YfoM11><eqD;p`2C>FPX2I7UrFZaDKfgWl51}ph-!H9BWt4
zv=U#FKAsbka{@J3xzpKgZ0BZk@Ln#;mu9bLjFZg&Bg^+NaQU1mrM3}Cp4XK-4c5uo
z*oL(>P5)x@g1KKLQFo`(&MJjmUy-(D$4^~wu2eX)H2I-b*}9vXzOT5hwWuxUspmQk
z!KV#N<yV|ontW0G>@-7(>2LLK=iff3ciTOOZ{y~^zw3V2{y&%6eQs;o=`Cwd?s)q?
z@3+^|t)J?D%5FX9skyZHn%2_ZkGu4G5_^Qt9X~4I+F-8dAyDGNJgeun5wr9)!#&0u
z9vZc~JeQtcG3CyJwOXruewy;#n=+sCtJDR?cxF3hkrEbPe^JjVtcta48X0XXChciU
zJH6yjfNPjZ<*N2Y$}c8{Of*g9Rh)D2RCV&2Pf^;cTeqIGtk+&T#mg#vZQwbM#XTR5
zTp~8_`RK&^wN+8WNpt<$l2a0oOJip|>o)vm^*#S!!2f9)OZR+_%U-*7YnYej++sh?
z)a$YF7ROevGQTFdwD0|<zxOO=>u!8J<-@L`OYHCN8!g@&cv?IEn8UH^wNtmcc|DyI
zc&b8i%Dm(`_iujLUvM{NQ@x(2W3<q!PaP>da>mD^l6(DCD(5}#oado5(L+U&IcujM
zgJ8j0#Vh-tzPc|YxU9Fo`)1uIpD3YFE5&e;J=ff#SFf4kXQe(jDD32dx4yS$*WS9f
zXq$O%{;hi=?=!2D6F}fXK=PRboC_E`96rij%elEVpjE>}k<*pK>3Wz|ed?M;*S^O^
zznt<B*;@<yW-Ll%eYp49HLsU*f{v|tT64$xsl?JblP^WB?G=2*BF>mreRe@b!0Xk9
zx2t)-=3mT_y!LHb#jSgC{9LLn6*HEwAN{=By`cMefX;%3WBf0yrtK}9$-&FScJ#6V
zYY&s#6!~@y^NfyJCG{$<^E90<Ogw4&vh&nbt*i@EOeQX32=zKMtxzJ7$$at(Ij>{8
z7*;LP5qV#3-TaX2z0=;@+ZS$2zAn6WJMw;Ad|Bv$6A4Q`8?Nta`+hHD?bNN;K#A#`
z*3vD8$C%jme0R%UyJo8&D6YaZm-bF&cC`+eG%aRg)9YPHt+O0>gX#~K#!4jj7*2V=
zbz7L%^C?Ddugd?H#}>J+=uSv|a{ck5qH7&J&PoeEe9_2YZ{<B*q4s&k{TRkgrg@8{
zLqm3&cr#~-^|T4;-_DQP{<Zg|n%2zoHzSyC|2QkUz~#Q2m3gU5diZR=d=HQ1H<f1l
z9hWI+uH-qkQSI@zj^}pI41a&GU!%E`@!?*>DDBj>#X(*#=WO-Ld|w(XF-!Q=@0_(0
zCua(;n&dNc(VM>i-PbqnEu7Q3$Y;~Kl#Jx5C4O4jJri3B1m!*yKHhriTBPRE&3>7w
zDw+#EN;k9|GvyZAqTqPFG_hypoY2>Ezen)bt;twa7~;w<_tJF1$u0}I*9UJL-;!7F
zUozv|?&C6B&g%d9zBbkCp42l#+or=;T|>XPq-y&|3MKlUw5U2UnRmTPK+w8HY$uK-
z$od>t;oG?SttE$*63Zs@8znd6-mhI;D9v%CIO;yH%sN%63G+)$&IMb<cxzk;Sa7jJ
zE0`tKQ{Q1C)02!B>>TfVFGguHx9P8NK5qI#qTWc^NLfkQa}uAjm_vhsiG<L~LWQ1B
zjKT*PjyNsM&{67kS(IQPz|zWi&_RGLkmrzsz+poPm!gc9F?ToQdw3>!CCh)Gs~J)@
z@s>^8{3S;!G`;G7S&K>Wg_>(#eV}x2Lu`gnN!(oi&9%1{m8@{m`mxgc<x%tPb1j<-
z-bneThSX<Wv|2dB?rZ-PW7nhG-LCEq6YSv#n0)B>E>+IQf%A=yn=gH@@4orM_O~DV
zU(Y{%QRho;^`FPTo)?*fOHA~xKW+Hg-%q5ObJe~TADR`d&-CRr-9Kad=dW~Tjrrrb
z+t%GH=$n#herP94|3_W5=N9KL@VvWRul9S+`vj}$m**^dFt7f9%B}Kj4H+9w!L44V
z<#%@<4&3>J<qg9wR<=UH@CR>GR!{mYV5@pWSiZ5k&DQ+xt>YhZ*>rCmc%HHRpz)k;
ze*Ui-^BI&_T=#GbT}mhwv?{F#^=XthzdfDzyPHGVeB+!OmHQX1-TrBXl}c^?F$XQd
z%IMhqTJMb)LrYEt*1QU+uXz<w^GL_;sfJzY3Jx0$BQ_PU{zyhyW2+E_k32U0`H~vn
zl6PemRWQyKb$PS@rGf9Q3bu>uCzc#=yeMTqV~^P)=LXB*;~MgZM74iew><oId{K0b
zemKYWvd5vjxYz?2+RpS<7|h7N_T*AwiR6}_=U%p0?H2D5;aaKp^Y{Yo-}TCkWlgSI
zWdtUC{x`*J&6kLxoktZ`tVsJhMO5SPyvuis&n}p>==Bxp+nZnRd1~myl;(3Fw)JxA
zgyTylD&OF0dh%F|GvOkmN+8o5@#iOBv8V~DEl@td!%}2DVS)^!spU~e&Xd0U$7d|L
z%vCt)kjaO>lsR4n{ZE#+)G8(RZ=GZsQ7`AcbJ4Q*YX2rV=6#w|w!pmZXlC?*X$OTx
zKK?nMe`oQVI>G!q0rK+$xO{XZXVr=Qn(<7FX^+I2B-^y<M?PqOJHwOEt!AzgE23B&
z#jxRixj@un=~emv7yrL%DU=?(t94TJUagFKTIMBdZrOEiT7Pwy!n`}TrH-!LJ!^9Q
z1m_O7dd2%Y55AYrPzZV-T_4dD;!(LF@zSIH=k~umvVvEBS#RdDzIM)@ml<C+T(oMI
zt@SZvDV?XXM#0i_sr}~py3K#0F0U(^9?y5^`_J{WdK`~l7PLKlP2aK5{QSenA9r3X
z>#JEK>$iGI!;h0fr5>N2EwNJAx7ml4bC2aprWXHcD;y@(&wDd>jwtUui*1X1A9PDZ
zDW*4bS=cdZPrcVAr=_<zB(PLquFJgkhrhP;N~JbG+&Sfk;gWl1J9KAMlnDL&wc&@o
z(ToK~Ce1>b%eHa*w&(cnuU8Y@f1e{kQqgas@{6lJ386m(-u#T1w#k0gS*Dr77lZAi
zZnKK~nl?TEa)r*cB=7oe`PG-i71w(8-L7VNp!h@gXv*ya_bUqY&AVLYNJr1>p0d71
z$!_+di+1Ukx7~Oax^elQR>rQGTeGZ<?ue`kR6g`TBu0wuv4d;#oSXBewld!Q7WC!#
z`~XI~5|a$Y!&BO&-Z?h$rGykeSa3eIa@pQh;XB^fMAo&|#m@hyD$jg_uU@!wrD&sw
z&glZlo7}qb%a;Z;pIY^5d3K=lW$}!pAG?HRq=~71z4d@=a`ZC&v}2b}a0$sSo70`P
z?#lc0T9cC!370G<Pe?HhojmjJ;^jMTU)U3~kmoSBsdz-zvik-RCeu$H;rXQ+pHVV(
z+2sy~8QX+*o_pVXqshzU!HUkLw;59!>g5fWES6@FcUy2xs7~p$e~X2}eZ?!8=|VF5
zA3u<;%$le>T{ysmtKHcDL8mK=`P^+se+Gw&weE0fSJYmrC}Zd?bz4?%%c-o-rqZG6
zTHHC}OEc!Jn$Viv9FU+D8O5k~Ca^ejZIknw|2yAISs+{!e3HxWvE!jL>t4^CX*uDi
z@5%aa?;~b>EV~jvLvzRcK#}FjlPCDFFY(pC>hvkkP~B?z9FO|~{<7W^e8gL>#ssmq
z_5I>_VUYf#QCcJAmb0q&)HRtGoL+5tSNQ*GiPEl(XH#D#U0homINeS7Z|r&HS8hp5
ztd34Mu3YHwe2<~<t0fcNY#rT_tohC)7Mj^rAFsNxtNvcj)?d->A`4ZI_}lzto|607
z_V@dy-n!?nq<_v9xv}wx?CV7rRr}`T>CP8<W$;MQLB#XITY>4pPZhu1yTrg3`ryl2
z?`dLJ9IrG?wr&#<Z(gf-Fvz*1q>R-}Sa3^mYjdL6tL>A186}!->A7loH0A!zTv^pQ
zSCwwG{_!n7ulVO${hs9$_GiAcY`H({yT~W=v$pLYq@(i6eVV_Tp5nh-aQ3)$?YXvA
zrd?09O0^ySTwVBJwwdu1SCu7i)lxbI>=q`;1RoNSjoWm0#+=;i+%_h{9^b4AwmeO{
zm+_8`!Q|rNt*@Q!Hpnhvi+W-5aY28Su)p5K?`aFAEo>IwE}7oz6#KD0_k6F(iV2xh
zuD*7NW3ywr$lT+8?*505!p33U^Ddp6o4X~ude1I5JFVopnoWDoJb%I%k!JW+_Kj0r
zx60bDw^gP+ovwWGxt(2Sm<^j{Z_PWs&%S8_UDtef&Qm`>S!h>jt6ksxP0ibNVs9Oh
zOXmCgW0iM7TB^N__ieqJUl|o<N%eicDji7{?|X_Bm$se0uDo+m$&9lN>%P}s6!VMO
zE^2jZN9%(1!F}N=e|U=<FaK+kc3C0vm&+hO<<XP7k94mdf0@z|b*G@IcbRC3&b$+=
z5B)k~qjlZ)NoZnilHAnSYBSQ6l3EuwA1%<Es~!97j6r|u=Pi>N4W=rpFH^1kbn1z7
zJ+IiQ12=z0UHp|Ss+_&y@5MKa1@_(7rT>U?aK5q*j6L+#qt4m;N}#!h=8=|*0Si7d
z9%Gf_PtmzB!$Cqjj6)=2-i{rOAqMjW7{AZ;UVq8-OpD-S1{YCfpI=K4x1Fjumg#uM
zGxXg9vk!e%Z&wyuCr|Q}I^@0nedN`Dy|eZltG`lzGV8yaZ`@=1S1F|>s~UZh3brr=
zCM&BY<Oknit`}pOk>R5%5W>~{r&mT;Y|Hxf@<-#V7BOz)+5GZ&{+ab#WrIHNQrg`9
zct%OV2~}Cs+XDU0>twRSqV%U;xb0ON@N{=f=f@jmE^FU@3;e+PY#~prkdbB9Tb2*%
zi*14?@#+^{toLk=yzsH3<lhbUJ(ta5C7ZYL@JAeE;l7mcMEZ&}3;TQChV1ym?0rl6
z_b~1&3t4Y8q3e6k7Qb`VTU4ICD6wF0nWFsL(Uze(M!m|SWFMbJhvGxe00!YjC84+G
z&0n@}+7*)v!d;P<{xN+nS*O)+=c;l-y<TXu@`Es@BRVNwy}I=--F>!V=Q8w?tvaWq
zSx+f-bm6|uT{%tre41qRfweC}j32v}zIeYz%OI1D-=;nCXpP*QMCN`G8T~G=Cs|WZ
z=q`Ga=vpQi&%*Gx<>{6Q4|^v54SF?mQGrbCqn+2U2PTKR@wQ$JdN9p^%T0*sj|<Bb
zpOX`2ZxNSEE{#*4zA0X=o@dAE6LW0dOSbNjxj&7yU%vn2!_8X`&U;@slj+3Lm1ob$
zEqUADvufsnFB`wH3nU439pFz`{QlMA?11k<a*u1Yg#XW3!4dsww@-wh1)FHf#hy}$
z<ZJwy{u*+No|LR9n;Jex<gD|ZkJcZRC*Np}lF)n2&Q)NsY4QuTrDr!Bo7Q!<ew}rd
zpo%l+!;3Q~DQXy(bn1H8`FXEm>{u1&`Z)MnH*XeqysdLpPS_Fw&7A(4p8m%Q*E=S~
z^NQKMKO$?q|DtHD%a19a+75`GX!)o=!(Vh}``kYl<6rr%WnC`X^k}W#_x0rsnb%`3
z8s0cA#=o^M;>gj(J2z>bXZ71`x~P8H?kDvu-WDR7ttJ;0JUNee{__aSn(X;DWttV&
zv?(8wPd)DXVBbBv>S!7--{DP?=Mvgx`}AfCPVQYTRPMH?FyV>dKdBkNvpeQ}*!Z?;
z>zwdshG9R{9WLHx?-g!4)VNbJNB?D=tp7Ki)y>W7XM$vczn0%N*z-z!ulDlj6{}q8
zWxjoUQ~xC=DMKw((&ovh6yGwdkC$us+_hMx9x+Kjaqct?)(f=yH`!?3`?cFG{3D9i
zdRKjHi94QN@%5D9)}*d;jkDJzN_b4#VVkzjr1Q~Rfi0Q>+c@hVv20#(?z7y5c`K$J
zS{=sxsr{&y_hjy^qNksBY5W)ZZaIbVcjF@=hZiBmx`Mp*7bp8D9nSi7*7AOc^sW#5
z(Fy6_t2!T_Dc!2S!t?e4^_XI_Z~f2St*o3lkLTC+Cw{j(c)qUM$m`J9a_`>C+%~^^
z!mY+(UuR#@@I3WudRS}F61(XKr54MayE)}XQPL8*<)w}_Gn;2SU3}coKKq(+Wm-(n
zH|?*SyIFQ?U;J_{@-b`u!n5CVL+<<Z2~FC1`kmmhj2(yP@8>ymi}$@)%&#8X>#C`B
zniIsAwMy4{i`7+6xc~dvn+etrZa-$ZtHFJNWeKOo2d3wfww@?mac{j^D%0&}t7e~g
zCtSQzdrD=+qiGM0Ox*5o{5|;IvhS}V^uqF%esu~_%KWf6&s3r1w(|X>!advTy*wqv
zC2a+SdYKkYW^!TP^3}65;7jA)=!&h<fd&mKOk12b7&h<NwDY{S{^7o3K1G&X{Eyoi
zM0Un1Pd}@ZG<oayM>X$`?K!HUThL>;>)hq%d{vVKUzLa7{T5|6)5w)~U%^YSa=)ea
zQ<Z+G^m<4bGI~ZINOrI|;$`UUaAe7q;@H2}J-Zg2jIfW`-{rIPuhx<fAuUDcj`_8s
zbN+etZumTH&XPq-@(s8CFO5ua+VI*tI(y@WK$q|^R&g8u=Q2zxMyY@Fm|)|}4B%}m
zdgVsDW@j@p%+6+<ERZb+9$^LzA}^>&V`iA5&hgGS_?Wehf=cu;^_<ujdv^S|pi#g2
zV$h+WbwTS^9dEsM_&kF>^B<lM#ti&FB)Nrbotn~ZzuGOf>7P;Kvc%oPPU(~2g#L;e
zug`)L<UYO-?DJ2xJ9JT5%C_mEvXuPB7lJ+g0bi~>3!XCXP>stXcb$5>qdz&0x+_#2
zS?DfN<+9LSpz6p1caAET1@0<#Oc#^|<Uc+aZ14Z@nd7j#h~1*|${g|sp9@yYEqpFm
zDQEbD!=_)L=Ez+48<j2(lo{kde&^Wl{>8TGy<m0!g=&|3${*wkzjN$%zhc|;PO!Lt
zLbb~s<p**fzj17L-(uVJRxrE2KA_s=mhuI;!fza#-KW?-`o*!yy~oz+jbLIwL-mpC
z%6sH4e&tx_o@49uS}?NzK-H0}%4_5Tw{~1}-Jq9rS;<6K>9Uf6?xRaeVd9CIf>Xs7
z?&`SWx<KzyiQuwsjaZhKN^atcyE-noHt0Pn7M$1pB8KI;l7?<lvEZ!k6EQ5$l<M`w
z4KoF&b+3qNS>hU?msBJ;QA}}X#|c*ly+?(D6U07d2=;XsZ0T_8PT10M%vC3f<*|~8
zuF^#%G4X{vI9$3dws1IiYi!|g>Xz8T;n>ZwrQ?VzLlny+rGGk)3IyxK5_fbQa{Us?
z@=)oAPSSa$FFH!+mDt1^(*>KlcWmac?yl#EVR@kRPwe6Lj(x5lbd&N0tGZ7_v)og9
zCziOqW0&g%-K0FhqVA4pmOD!K#2#+z*yg%HHz`*ztJ@=*<(ASpvBYg1n_OeW9k+FC
zbnVbp$`MTH{t?x3U1^ut!L1!@T{Co*vIQf$cSN;ZRa(V&v5MoGbB<NZGUpU4mSxT{
zR!8bzDeA~Bx}+GwKe3AAit`+~Ltg}zwky~kd7<dSFIdHK!MR56&}V_U?GJ2NmOAIi
zIeiwG*?z$0$Wui%*+ZWMrnXNg;W*{&Bd2spQH5WylH-K4jU3Y@MLF3+9|ijOF1`@x
z;k#JT;o6>H)3V4}#p=i-MG^kS7Xs~ki7y0N`5a%=3pDd7z7S~Sn^?i&(C%P!WWKYE
z+@bS|Jp6$b9d_*sHZ1d<-&nTHbADoZ<bmP`8K(~d<$Q_H1sdBKY>wPl{KI##oMWH!
z8(F9K0+sCttdHDPe8U%5&aun+jI7f;fx`9%>m#=n@9<qL<JjiBM%L-AKxVsx^^u#3
zXZQlkI$kTDsOK{*<JjojBFpqfAin*BmCJR-9ef*0Io3L-$TGba2yfqD<#JUaiv6Hf
zhi~%*lMbKe1125b%@MLKOC3X`T3#sxu{T<ETy~tnx2Qy5Nwb7(%L@f3c1Ej?^NtmK
zi;4y2G%qmeIO~|fr&KI3qj`tSktL21e2a<%Cb2nMcARu{sh4VbqM*diXxVYx(Lw6S
zVn++ULl+gKc$+RNNU?8x!8c1mf_>o&zWEA5yh()uUCj<A9nQ@LCLK=A3ML(n%>pJJ
z4$U5SoRyC|GDxvJdZO^phpEtl!@hjOo-;i?Pa1R9zSYeWXlvGxahdNZz}IwM;Tw<B
zd4*3rNgqU5<~efQt5+24ux{Q^%<k?X_fNuUiv_z<fk1=8KemV4+Z_aIn;%FYxu@`j
zZK65H9>+Vphw=nUn-@qQk(qDT*1o8Dg0#yWg$HaO!>l;AJ8pS<P~@vnvNFdu$2Gi8
zxdNHZ4$?<%Dx6^pWP7xW-PdbDvx4-I8wy9*1kE@$IM(nU$`**#_N-UnXv!9dZoVMp
za!p|aTcIh(YR3@UtG`5E2hW~&=}XA6<p#E;FIQe&u45beQuFHap!rU<ufAwrUhXwt
zsW$72=Edbs^O<U2ebzj`+-m-#nyyR1v;8yerv4Op7ChbGx9ZfVm8X`g*t-6_@+5fT
zyh$}$pEOS_mz&@8<;vpaGV_bRgw!uyE@6B1$CX9PCFTcx30bsUY<^G;*TvxWc}8DC
z7B1(oHGQG!=+9cE^-<HoU(xRC^Oc8}|FKE^5%Ms&cAipA*ZJV;d6zzi%v;Vj|Ip_t
z4}#0*ZTcMYAh^N*ukF+CA@_r8{NLIx{T^~JxWfOgZR+=syTK*?XKhu#hujG+@ZW3u
zwEkPj?cf~$wYE#Yh1?3x@Skg&`Yq&U@b%><=1En1y;-?o`GI-As!qLLxo-K6dAF*(
zUawrUe8aq5Ri|F9TxGxIU*lK)+5Z;2<e&Mk;U)j{e;a<Wd)Ke{!hY3$$v?)g{LAYN
z{yM*u_xNw{i~XW~%Rk01{PXKS{BeFRZ}H#ZCI9UD3xDdJpUE5iFZkK+S-;_r^HX`1
zzm1>xC)am;VLxf_@{jQoe}8?$mv;C1f<MiR?M;3$FSa-Nmv~WL;{Spd{GI<c{9r$7
zukh>eLVJl{&I|1YejQ$5&+*H7fxXH<#tZTS|35tEZ?FIGnf<W6$iIc><vIQzc+Ow_
zZ^3i^%6|qw*lp?s{?s3yYk%XX^8<N?{~x}y@3;T*xA8rHb^V3k&iCX${44m*zT5uF
z-^O?R#q|?@JKvFi@bAMn_U-mt{x-hl&#n*n?R-oA!oPxV?3?YU{C)U~eUp99U&lB6
ziS-P>4_}wx^Y6k}_I36-e;r@*N7f(sb@;0MntuUX+ppPfc$aut&g8A)Wx0BTw+}DL
zg?&%R<e&O&!LIfzwhP`pEa6{Pt#Oz6rJUP$g<b6zY#ZJ^EasnA{o)Sub2*K-iN*Z0
zs!!ZuekP~)-5`^HTJ?%M%}Z<p-X#|CPyD8^v;Bmv!@Gxt{1d)?$l&j*F4)rUR-Le=
z{g|!JE#}8^B5xHh%87knu!G&D+F}d4bA7eO7Ivp<i7o7o)f`*ekJvKYVtyp|@6E#k
z{<?1oJK7J~e!0o~Q0~W@#Pf1r-YA}zWBcBa&fiqMV>7#THOC$12Xg<uJ=os9&-TOH
z#C-m$>Jzt_@5#OUmax5jm+ghOiFy1*)g8B)@5tTz_F!B4Hrowv6La~qsy%Kq-;z7`
zEn!=G{U+O(?+)AAH`;c*Rm|Z}sQz)Q`MTV$ZwI!vueHs1tC-CnQN80<^HsT3?=Doa
zU$f5H)x69)Wf$`@>zG}KU&-p+U3f`0<o$#y_AAzN?j8KXx3pYg@8K7+F7E}Z*e_Vu
z+&lQ0Z*KX6J<Ln3bM85Q=9^i5V9(*FvTAn^e&U;2KB0uY{*<-PJ;h71D(?j<*-u#8
z++(~XD|h$cN4~yy7hdr7yt`1*?pmI(r+JaJ%C5tYWJTULyx?npm+*qG^_{~DHP@C9
z!ATEZo(%F3W%dv7X_F|dGHO_Ic!4#?F6RZ-D)$&K$O^pw@SLx`{6jJOVQZ0l3(w1P
zyg%@quln7B=X{m#3@Yl`ZOR4q9G+`^W2f^2S%&u?%Gvi@f4STEp0B$6!fxk#vLD_R
zl(X-)zH+zm9ba+zgx$_}WFNfyP{zL9dduC$w|v><0lS@V$zFI@P{zL5ddl60RqUIr
zd+s{E;Y%!M*nRlA?4EZQO4-+0=iGIC%@<jIVAtWRvTNQ2n6_WD+;A@OvP`|nS;fmT
z24^2$k_mgBkjXdo*#fKfE0zn+JuKl{R;)3X`K658a|NsR3ziM%9v1V>D}FJD`MHe7
z*~DVLS;Z&jFh7&gdv1`)H?4TZoaQB#0p}8n_$EG6uxvkJ>2U60A>V{&A2Rs*iVIBI
z-HH=T+K*Z4%wm2lBXU;pqKw${1s3cs^~Dw@?9Rm+ChShd5+>}9#T+K>M=Tj;F+Y;|
zcjjRMU){3=i}pj7UuH5tl=*Qc@x08JGm7VB*q%3}^EDOkFlM(d=9t6$K<3}G2j=bj
zEI*u0%;&2rJ~5m5p3J*v3Fhs)EH9i*%;PI6?wHMdN9NwM2WIWtEH|7@%;n1}_L$9l
zOQ!zZvjnsDO_njw9n9J{T6UaO%;8HY{xPfhy3DR;2Ta@7T4tP8%;t+I-Z88Bs?4f;
z7k;r_Gta4NUS^(B#k|Zsrt0u3X`Q_bFG+{opYV(Aius&<2fy$x%~z;B{6gC0zQ8ZG
z3+6TZ4u0mHoByDOd8v8MKF80zGxHDB9DXXTw)fyC-ukKe6TYyWGWXf1cu892zQ9km
z6XrJi7%xf7?LGLBx9{GC7rZ_9F8pY7%}=OlUSzIPb@-9A$o+;FyzTcAUhuZwb9lkq
zd{5y8Z{xiQKiC}d9cm8GH<#IW@Vqq7{eT~BcKHf5%=69PR5s5ue^Pn)f%Jzxjvsi-
z?<G9vZOmt=IecHb{?EM&-`Vz=zuD{fp0_gpK=t9f(r@ksd}rHberB)ZJKn<lhU&w&
zrSIIk@QrPo`I^0sZ+SEG9jXuCls<DW;9J{k=@a)1zOijIZ`sTEhBrR{LzVM&=^ghr
zd}Ui}p0bzmHE($ShAQW)Qc>3r>}vDPzObduC;PybHt+0+*yg3CAyLisucU&mH|%P=
zY&v7z!V=yk*%GnMFQlBVGwf<RZ(6Z#VKMKV>;+re&YEVdQ!M74k-a14@DkIAbqkAl
zCtY*c*>=*@C93&}l+tyEoo&ZW9ik2|HnmuH@S>E|+Qy4gQr9<R@b+W}Y-w}Jj)-Y~
zBqgx+;RPw4wF@suajZ?eAjPs)@j|_n&~=6k-j3`ao7)bX{)lXTD8+UCLOO3t_JhrB
zw%Hdpx7lP%#4yh@<yiOdoYb2&iUqt4**~J2?@Rr<c3^wkUegzA74vy3vUfx`-<5iG
z&0%}nPSX=>74vutvMZvSZ%f^}c3@lER?`)06?1trvMr*UZ%UoIRuId4Q|j0?g>7vc
z>P;KgKFsEg%YG5Xd|hhWwFO(-)|e)&eVD}?mc7Et`L$%k`3*05W}Tbxl4r)bf|oq2
z(>Ii~d8G%~I=_+(IA2i3cG-B!yv8p)i_-;conJ^goc~bCcHX#TUgKw;Dd#p+vYj<f
znaB8<XL|aK61LOEA@dwBNot%osBH5{pJ3zsL{g#t{D%rQkMxQXw&TVYR?dr!4Xm6W
zONz~H{K(UFZo&(m?(_f~=0(ObR?Q2IMXZ<?8uM5+FED1Ya(*PqFqiQoPyM-q3O4(6
z0~_aulE3COe&DG&x8XTY)wv1Jd79G?6tf*PzGK-u*O+A<;|HGl^bgj~_a%Rv+fdH7
z*Z9d?#`iqs_30a|o$pG%IA>7Kw$u2?T*h}i`RN7L&bK9RoZC>ww$*saT*kLN>FEa6
z&Nn63r7Kt;z9D(!oIn}d2IHE!2Ve8Vra!Pcd`)u8xe29gYm8&&9(=_Unw%la{93}S
z*YUE1Q7_|V3BBILQl4qY0y244C40y+zmo7fo?z8>$#6nnVo5#EqGXO(kEu#FbsttN
zO7ZAhzMMJ3dBU53CdLw;`N<z-oS#cr9Cyg%nVoz=#`&3q!SRC5#V7igs|$P0P+2MT
z^-0o&C591w3yXLr9dod3J89@5lwx$GgNtWUa)%7_6A8KF44FLr#~xU)xhH3su(>5?
z$Q)i|Xwc_)Q9`IUv5=>}E7`%M%{ke?WU`{$Dwdm#3ol4;^d?@AVChx7AR%;|A%mwQ
z`G;}aVZ$Gi%?~BGj$cUUX-R%y+-946!MM#PSwe<+o*_ry!*ddEdYahzRGoQjRCiwZ
z(drui;!o3aah?4J7HtO%8TuaP^VB83kY>Iw@$J|G^R_+u4s{O;c`D-TXZ&G$D)H`E
zf_d96!wbEMc|1kQ9n#EqB<>x1VAi(Ha6@lmE>BjnNAs6f_sRPTEZI!lk}ag0Z%Ujx
zRv^oKQ{vdZ2R~Xp9QW!!`B=N~J$GGNM*NRoOfw{IimwZe-*D*CuR~$i#rJG8h}y*(
zY-PRYoNh(l!{8F`mTdtU+-=`}eW}k$JTLa+%T1?;Vm$oYKcsVabVhS*VRc;Cv2DSQ
zR#(^Pggb|n+@do?%)=8WUltB2>A4~j`t>!aUm-k8YPR$onYpqD53Zh>B<FF`({tMN
z=-Bwg<V8zFU%mVEO=HHQRZ*|L#2isDYujMh(EBi(CocJg6!Ue7ZO0avwyiNt=zUn9
z#S@mi;+NBF@reB!UUJXcH{m7sjC}<!xmU+;_|obXAMn@dm3YAZf?uqc^{3P|e&Jp$
z?y_It7wZN6n!1CZx#z||_`|eRKc~*|GxyB+1Ah)Z6<4c0_=$UJ{Dd#8r}Ta56fcRZ
z>=*dSdP3i(j`5PXT<yV++<p5lyx{KH2acusgg;G-^i_TxdL%Bgzu^UU`@V!1+^zc@
zUT`<>Q+UDMxNpJ_R)=_pKZoY)%hVk_FV3?+;76-nyuu%*`TB2uHqFz2^7GIG@eehQ
zAGpi+B|PVDjA!_B=)U-$eHXs7?$du$>-e6#GXB8tLwCjB><jqLx=a5|t>ZiH!uW>Y
zhi;4C*>|D-8|yaxHMNd!xijM(ejmCier8|5x7OF<C-xb9W8J9VQp@;;J3juyFQ@C`
zJN9k(%DPrRrIztEcX<4UUrtxWqP8E{)#@94VN0t|^nop{-q8_vo0jT^+-iCy7PP%#
zSL<cn8F>p!xR*pr+--Ux=Cqw*SL=D*ioAuz+;gHAY-v5Kn^B*qSj;^mddHnZOLQah
z78Y?&+UBsc^`x%Lt)?epO4}KBwjS4YxOHf;u0`I#i(*o_jTgnFwr|Mb?uicA(&`c&
zai{5#m_Y8s3t~LE3onRq<R)GaW64##ASSe(A%nXk`p4$h!@56iHa!&M+I}IOyCwR;
z=2qM23!7VQq9yJy&C}(m&wF@I>`jhh0e3_6kK0Z6#eQu&u)TG!?u%T-eC~?q9k-kA
zioM$Au)TGs?ulH*Jnn+%irY=M#cpjou&s5g?uuN+T<(l$i`z{%#ZGN2xXW}??ASJi
zZLJ%08*(3JbH_!$xW#l`Y}>X4TU*!YCgeWM;tq>mvCHYTXvF#rFS%x|oA9!pYsR{Q
zmt3pEH<Yw`g$L|)dL<gLzMzWrvi6j?#xGop!v*#_y%2R+|Dlrgymm=k<7ciZ>o!!f
zp4Co?WBklDJ$yz9>uK$fILAw(8tV-zTRp-j>~VS`s<8e;1*=DRMG5P1ZHrw_i?t1Q
zIXxB?i*5YK)wOQI3$E_)fIUo$v}JZR)i2Z**~PR_n`c+k0&SLEPLD(xVi`Yj)vqh4
zV6_i7*yHq2^jA#d2d<iR8=iAjt()+it2z8YG3!C?J3E`^YO};Ke&DJP|FGNXzUYs2
z8_HStYCnl(e9u)LzG1i1UC|fo49Zz|Y9EPZe8-g^Ua;Hgw&;y@8_HO>YA=ape9M&{
zZm_%F>89wqaE0B6ZipUPCs4+^LAxgQ;A^hf@CUmNT@&51ZbB*R8ts_agRi(kgEQtb
zy%sU^b-XNM<jZ(jM9(*|lxy0ufK0Ac!5(v&UWxcEPq1peq&dMav4m?;Fvnb`7b14c
zA6T}Y(=707yd+|_e1T=_8O;R0heceImnB%Xp3?M~RnPQPL~Xf1CfB6kjyX(EMC6t;
zWODT{dtkxp9-Lvq>K2?a=g=Ze13$-$B0|22g<M_14koS6!3HL+PQeN$t&YJ0Can&^
z9&?x$Xe#(UJTJnx{6RWbTd>9)r}>%!evRivzWF$w7y0CqSin`WOu?enI(UO|>j6y$
zzlZr;b-rB+^&daFtV}-6C&xN(o=T5iVm4<?T($Ru#TU$3_i4WIb<F3g3_dXX&|Q%?
z%L2?<cWIvSb<E=`3~rcx=(fn6Wf#m?w`s2Nb<E|;40f1(=%&b-WdUZbuSHHQGcaS_
zsM+Gnn8Ovn*j>TY)|Tm&V320N!b^^+?i*|lEm4l)W1OsZjiWx)eZ!$kk3KO8D{EVO
zTQ8Zxq0w|#@LgX+dCMN<7qW@(IcnTrSU24l{MW}&(Q-ifhUKBT${ccx=N|}ua=B34
zV(b2(nB|c27fUBaML7rC@;4t&K4xQA%v<+PFQ31s>AJ|SWd}@K*J@_?DrR#<1n-#D
zbX8>4ybHfruBqo#H7!%GPpM*>#5sN5hF>h+{wuz)TvcCU$M}_VxxYcJ(@SBG`3ApO
zE~>ZKF@E8k@Bg93>AA4Qe215uv;8mBI6V_Km|yU-#nXR7jnh+M72C#7oRj@KzObBB
zcd=vq#M$rP@TJAwzo4dRvARhW(_(cKyTpsa67v_l;Ov~Y;Rnl6b%m-!3+vS-s+<<8
z3sfCipw3a{v_M_Oj`4!9!2A!-Iotg|d}cYUE@HRvyfDZ71J60D=Ph{7Svk+(2aAor
zK+U1K>NhH#9tbnc|L~n<zxo&3#`m1n{uip9?g@XGSMZ%>xB3;^#&?{>{u8R5?g&4a
z_u(7McJ(c`jc+-#{R66<ZV6wQSMZIcezW=%+lRkcHmUd6I=<md^k=9(bX|DQybE7h
z)~V;%I=<$N^gmE_=&JCVc>!BnuBmR&OS~*(qN{jW$lz=;XP>MGQ;cd%)S*{GI=Txl
z3586bu#4r2>Kwg;C7esW6=Dy)5OSF=u#4q_YK`8(V$QkV4`P^>s^;i97IV(@J`i*0
zsZhO|?!h9?sooQ|u$)r$(Nnx6q%vJ#C(8*{8$HHLLUOtX3px9yUC7|<nRa1Ei>r4+
zOw%G&m8e6HghZw{WN@}mOUU4Co#v3i**r}lgR^nkgdHpn-VQN`=Bvu+9Xv0@Gd*BO
zi=DSZ4AXqoH<3;ARG&m1dLZ;c$FYF3d|E;}XQOvLL(HN3LVu=R*v_&~^^LA$K4+!(
zf#^ebh2BgH*v_&`^^C4#9%rF<L-e8BLU*QJ*v7I=b&alLE@!5<L-e7WLT9E0Y-@Qf
zbYhypHkOU5ExL?3oblctqMWV^?U=S<E6ZBd6kWz_&T#JyQBGF{qxuh2wfMSUC~5I=
zKTy)*?H*y<RKHX?#H#6)U{HTURm)}N8FCB1a4d0`ux)xF=+w_p)pB0BLT=$_jydiN
zN?Oh;XUHjj=9uBW1C)Ux<Q9J7nAGP`*>Y0Z#j5Fvpi)0WWy^782dhJil`Z5BUKEs)
zZM-Nb)xY5dM~{0zNsEhngiX^UK>^u^7X*1^7hVwLkWIW$FUTURctKF8pWy{Zhx?D>
zmcz<FESnw*a`j($&e7uj0F=Wn6t~#8OV}{YQ|6F+cuw$*jN%852KOJJT=}c-KzYkv
z<rlJw?>Q>mcUU*w6@1m_P~Ngr`Gl<EJB|YP3hSoZg17n(l(lSCULmXamLtR6!n*0E
z;Hka>Tc(?W$LjkO%33xkH^@GG%@ODR!iwp-;I_U6r7dfe6J#I0;s|qJA?5U1AfkOk
zCdaI{37H%-+6po`Ry%JnY4LInkac<`5YS#=#d2A33SVOh$6{vzS*I5Q4(%T-S<Wk#
z@HG~5OljL-$#PaPg^#hAW4iMU6PDA8A$*RP1T@+WEL%LBC)CS0JrPi7|6sx5;ap+D
za$M0u%4xBpft1r@0WsdjLXNJs2^k#S&H*w^ixg#~nieXGNHHx`<dJGxpvWTS^hkh#
zm$8tezOBH5#opOK#_6HJFP_E%j+(X&=^RyU6Vf@FoevnZ98|m`*)&&?g^#g-qu%+0
zw9|coA8i}VS@tSEspn<P=O}mHAnkNl;6<B(Im=GPBfN}x9Qn=#(oVMpZnSMMW7(>>
zgqJawBi-3R+UcgiI%fsxLpKDDv<aB8Y*4J>J($fA>-<3K&^3WAZ4*pc)+omC9?aqh
zwa@s=_?qABuft`2qrVK7`St!Ld}W_j7x0pOmA%Jb##j7)^$EY4FV)LW_?Pg7eUUxK
zU&a^wcJ7y&j|<6!HgTLRd~ni1X%hQ9`xk#0pYv<{P58_{%l^b4#%KI`^#(85r`fOg
z)3`)F;9tTg_K9^0Kbud;JN$d_k$pnlhZpR9_61*>-Ru*-G#``K`NjB{U*xaCMSijR
z1wWWw>@B`9JKJk~VRo{Y_`>X1Z_n|i`G`EjFUCjw|NcDqz+P9E@T2*V{Fk4M5BY!m
zNjT5{<&VO7ezy9C=j=`PJ3cd8+jIP3e8B&&?!ouweexgvCcI~_vOn>g@gD!Xx`gk|
zyW}tYO?by%WZ&_d@ecpJx(DByx5;n#oA8!B%iiNR<1PMkbqU{^H_6A;JA7;2DBn^4
zSK$qNg8h$Qjo0~i)gAcSyjDKrufl8g2>Tts8n5!ND!Z_Y`I>Cbt;S`tDYqDx$;R9|
z_=->G?Se~uA>|WxF<+6L^X@<i`%-I#y9ZzJxs(g+V!j|-^X@<~`&{b>cNmw-=Dc$#
zW}j(&;LgFPd}?nG6tPdWp0I`al&sG?g-d)Y<pT9PnNP^tykoe;C-?S1A$wohg$(wd
zvI{$!U9A)DG%k`=xpnXnpGbK_277y1LI!(lnL`G9bD2U0dt=#z9n22a4tEaDmz8;U
z;5;8sdBBclJ8OkIjPqsR+-#gD`{d@q2YesiI25p#mnEbthPM52e56po-eCRXcH@1%
zUu6fjH}93LfALl!pS{9*$L+?ue6PwJwm0vTJ@Hl{kG;UU;&$V0zFTDnwl!~+UGY{S
zmp#MU;&$UrzEfodcNuT;9V=7V*1SQs;q8NL_BiVow-~SUZ7W-_wRw$f!rKQ~>|xd`
zb~(Q0jmY2dl5JMrgqLhH@(NzEtv26K((Gj(u-EYwZ$N%Q6?6S%=_&UbzOXGe7uf6g
zg4ZGcLnZTh>5_X5pV_A5ZKz~EE1hzW;WOKG^BE<~r=>&gIb7n^$Tz5L_AsBY$MFfT
zLjH#eW)Jg<66WL57P}l5OB?KRe9S9$x8WmOSKfpdY~AJodl(l<%j{}gC@r#!aiKKN
zuEqt@EV~>Z@iN?H_{dgYpI1=9Y;SI`$MGTWuR9GN*lO}NJZG!QoA8{i+5A8;^Fir5
zI~(Upv)p6&z*cYmVYlOb-XD1z%9-~{Ke@~Bo~_(`!*0jByf5+$%9(dcAGyo$jxFE3
zV7KFK-Wz!v%9yuGFS*O`mMz`fV7KE<-gV{*yAR&rJ(4F-#=Jqg=I(*lY_av`4|W~A
z#=9kNLMiha>6p6*Ua^H5XUt`M&0}`f;j+^z4#6g855DE<jKw@gXBjT@=$%ct>LI8q
zBM~vnajE2ra|)$wD~v7XHooNXN_Vhoz9`voP9fxYzoL;u!?_2=Z1ap?%wc@aqj5H&
zm~EEvi8+kVc=XZ@GTEjXub9)gL^7bRKB;|ztlKJ^z)#F4C&^e(vZ(lUFrkQTVw!?w
z^9f0Za}NsHCZv7HVCyq3Fllx(PB3XcCaE)v@iC9cS%r%{V(AMkm|cu5OqiWp;{vRh
zos1<+m>rEdOq!2KGR$Ip#PjdWg95g?v;>RhLy})+GCt(_aVFtB&zCa_=Xu!D8`9aD
z>Wz08Gg}*T%wc@M^DpgzdGkKW4`&nd*{Y0B%x1jD^DZsHym^=8g|i8HEQJO6JWt#b
z+D<9-Z7f_PcqP(qhJ+-u1xr28J-ze?$6i`CZ`+%8z^r*|uSg)%gDH)-crK+im^E*f
zoN;zR4%>Cf31<^>*piGnW;5R4*_Zafw0WIm!P)wRY_=%l6SEkv@vKWrFl}BXzGYv-
zSJv5a3tqC$jB9wwIz4W~FD7sO6<?UHiZ9v6@RfDBzCo?yOKy*NgI`P+#as3<d|{oh
z|Dne5Ik!c;!%NoL`WI>(pK%++7yNAU)Zb9!_>@~^Z^I|n$@(2%m`;kj>|^-E+OOa6
zrO92tpr&zgy|_sg<6?1>eF+!2CE^#nVC{_C@Pp~7xI)#zh2j!bjtj*Fstzs?=csaA
zAg;2H;R3fn{D<eP?fM@+GaVKe*|*?4H%I(|=d9In3!bx9#u@xzve6f)IXGARMy2Be
zZie^|-<kG{f7#pcp0!&4Lbc;P?hkPV-<fucU)kI6j<r~SLUq049qtElAHFed7vHkC
z;Vo;nen7S3E$$0(1>cx9i%;46;1|;-@t(a7Z&(xc8LAIn=iU={;VaWR@tnO5uURAY
z4^$nz%DpBoU~AJg(GBYoE_0czRk+M$u=c?vuCVZgOxCGk3wAYK5nZtEK?&<JZH-vQ
zmt1b)3cH#vh&HTyP|P|{yZ%KC<8v;JwF$+nv$RjdFh1kb3pdDQou<7arg4dAz`BGY
z)`?*XJDX03I;?w8$T}hHLk4S~cEOe=H|>NiO~*uaq8J}@iL6z)$R!rOU<Z?nw#61E
zXKjrwOitPoTbLZRIkq$%5oL&Ce8lx{&4U8gy0C;DO@~CkL^3|)`mrYAJXigfH45js
z*uopqS(~(XY-Y07=7?c@!1XWe!S<$oq94{K<g-?3pNM9>$Mr5OVSCdq(F<!6@>q+s
zJE9ryaNP@gu&rsE=!UfkxvW{*9?^`qxXy(oY-`#i8WZlYt!blZ$6AFP)&%VzQH|HR
zc7+|-+O$?QW356qYlQZWsK%>YtNbq1S20}^&arA-CY)l$xJ)?4>fkF*ow*AxafbL$
zsA9SzJZIj4FDy&d6>JZ_;B@g9sA9SxTr=;$XO_9@4{R8h3g^so_{=g>{eaEEr<`hY
z4}4;osy?BF>6Ea~JcUb~D*ghMOechG<}qC2l$(3tBTJv(g%>P6eitg5T-6h78W#zx
zSk)hV#3|z6@Peh?FX07CtDnOQmS#VN7c7l_6DpV-)E#UN&KH)Mci=oHkAFZ#lbyPP
z4dZ;_H<pd_gr8U*e8Bl(j>89*a=(P<ERE_6HV5x>{_(p|&a_YX&0L4~ES2g9tPkGh
zeB&2T&a_MT%v^_eEQRU~)(3BM-toIo#<Wd%&0L52w=9|J4%P>6a-Q)EC~JDndBV@2
zjA^5A%Up&xEb;0etQ@a%?(o}C%CuHEWiG>OmT>hAR*qM<+r7@O@}2+E@bA+2TJQLm
zq4r+`|9|n_|HZTZi>v(?$N!&g_kXsWe<^?8vvj*>@&A@=|8r{kmnrr?C&qnJmj5I#
zf62b;c=?y!c^B)=|1C<dah?A{JpQBL--YI1TIXHhuX2=sAuNAE`QLo|8vFbot@odE
z&OiUY(surHcKP$%|K@K0+{pi<{{8pb^WUr2f3NKSUY`HG)c<?2{`W%u@A>b)<(~hR
zz5ZKf|F`t~Z>jaQo8+rE@>g&8U$y>y)w=stYtL7$*<ZDKy_Dl?j);2q4Vf&n+$LnQ
z%y28nWLd4e!KBGcIY8F&6-R)3ffdtb!6|(WB`k}T1!Nsxa5%Vsuw*(fSkl)}%reDo
zgC)~h!IVCRVwUO3GfbFH3x@PLT;kAhH?VB-P@W*;_=H2j{euOQhjN7p({VuyDaXZv
z22zfXImCJ!3R$|`CS<U5E7u3eFfJ05k!oBhC?dtUP>@Heae*L<l;a}~hF*q3mU_1W
z3nqJI0~yDM9KU)R3Rr5~Hl(vuxlKrCX;wa9%ydxjj%4FpL6$y-0+xE^57LhJIexfp
zFlX8;_@tL1pQT)RgS6vaju&nQ=1e;UkMuI+vE(ZkNITx<xZ$?JjA^UjlKNhTT$XfY
z18K*b9P5-7qz~TUIN~N?#<W4OruRTLORVw(se{)zwzy3&Wm+Q`(|aI`B~(7+FT-ng
zvs#DC>_%%J9~WSm#cojh;1YY7eZouTsdfu~HD2LgQ1{>q^D=pjzYH(g-Ru>9HD2Iv
zsC)34d7k`>KMc>=HEI(+GtZJg@rR-Q8M~gn!As_8+L}#^xhBbab-XzdxRkwLhJD@^
z7RRP$&MT9zG%jB{Pe8>pX^GdB%Z<!a<tKb$JjL%*r*Mf~#a`ei;|YG7I)+Q^a<vCO
zGWXeCc){Fbci~5)t9-(rhDH1;zYaWN7qM@6!Q5__@PfJ3&fx`fbC}Z;_NmNGb^<S$
z>pSHczAzr)|MT;}L-s#42R<;j%D?!`cxbN1OGl>830iKGUQ|?d1sSPu@F`ky+1M)H
zT)2d*X*o-14U1#b;RC``t}JlyRuzjnbv~$VnpDiGjpu{fryYvXDq4Absg!Q%t|>2r
z7JB#UP1Pt}d2*@A^i?rlrJCoL{`#Pky8X(%phoX{#;8>VE3Lh6MxMG9G<BMj?$nr5
zMJrD)<(OWyMMU-R(tkRpnVLtIs_44zoU-8R`K6zx1#J;oxb(}kN71kHHG?iJHJiRF
znyX;tnWa+GlVV=+T?(4u-C0>HyR`Dklfa4ICuLPLS8iOa($BSJ%FV#mX+hCiZ#7(U
z-EFN-6|UUA^ue@xr|7PCE6y&q=wGzu%FV#3-m%k_th<Ud*DZb4*JQ(WDX^)}sAS5c
zz?tr@QC)9W9AA2%uV~AZtASNPh3>pjS#LF*yr;^RW@>I<9Mm6VyXsQlw7#gbB~z9y
zHj_PC74kYL)H_zUb!*7ApeT1$E3b=-7EARfm4qx_tkXZM<jT{)8TG}b?l)yi%SG-5
zR=U5HJz5@eKd`RBy|hk_wJPLA;3D_lX>zGmS6&9LaKCHm^+ChNomXz^a}8Vfx0YIZ
zA2qfw=IDP_EV5v+SpOtzu8$f!4lVv8lUgxl?qa5PDcP=)6_*#9$hMY-+z40^nC_mc
z$=BLZw8BT@*g})`N5)eg2GrNLd6`UEv{0a(sYK*@V66K_*{fzEuLIUP$69ISYFu2r
zuFptX>vCY2^IE>tml|6Z`t)l_dwtc|xcEw6l(bgq3NPnUzO9;^mjh<EDaow55YXNh
zC6jeApswvz@s#U<$<CI1r50BfEac#AwG3IjP_Nyn<jVDcPWMJ$(To+x7RvF~AI(_d
z;q1w$%2Bl9)Itr%LOxNekS77H&b53`EvGD=?^Lh#xATko<@qlD^-O;`zb<#RH~n|y
z#d5bkd)r?xKdPUYuT($jkLD%+$+c}vGFk;ItexNH9{60$+$){1rP0m#BX8>Smlyoo
z7F=r!(*7V;&S+`HJR@D)A$a$JTdX(qF4UJXn!Eeg)mi;{dEURH)1LL0=LP>}uD>lW
z)Q`>=tM~dcdC`0c`}!u6kedN%&U1NN%|dSZC)l_CRdM@v`Rnpco9AC>J0!g-f5rZV
zf7&idYrSXc*LzUWc#*p!PT|YS_v-uS|EpvAqxnJI+WziO&w>xjZR}tE%;bOQ-)b+(
z7iun2f0va*|3Er(v)+d1OcPXc6DBsU;*AhB*yHe!^;gb<3v3*`rRG!aF5<1oU69Qj
z!?sN?p{nr`_k=u$Z01Pm1G^mNE&RmOnyzthAzS;UWQEU6J#Dux?`U+FF1T|bw9#8U
zVsFDz?hSDZOfRvj={r<5p5X1tb0}e6D1M=&(O3LLNj;-an^3I61y&(ZNsrqMk68uG
z8_HfVO^|jdVRRI)xO2PV5!)BD4KGZ#3E%X)KKE3aS7{T=&fpXkw_d*_;T0x~-og<_
zO&Wb>s~xavyf)XswEWhc&P#rCtV`cL;oKGc;)UN5>#6Tjc3pnyx59en+mda<xBN1#
zSH9h{P58E7uJz9Pw_mmi-|;K7KKa&U`{gHoCDvEprfk1_*Kdlo=dG1R>Zj*^&{JQq
z>+(In8tbobpKQN;-><=%^<7H(a%*eOcP;7556=DM<8bz6w)%#-hsu6!7JkH{RL-;|
z_>o`Z4Ydv1f)~$~dHZC?<;8P9m0h~6Iq~vCzq&G`Ex`-ta#+5+nR(u?zG;q_CGV}y
z^DM9Cgp{w^vg`8Fxdv~iWUBjG=VtA7xO$a!gWiNv#<kozu??qRGsPzgSEyJuUgVtM
zw;+cpp8vv^1|Q)MyJk7uU|FLU@U_8L_(c`NYnFBCGt?HCGOl4<&8+9EkjoS!tROwx
zLF^@ymw3TWhRdvT^cYM-rxr0z)2r8IxXfgv>u{OLthV7i`!|~dMU1ofe{f0f)>F95
z<D1Jk#mZisL2DPo8Rjanf**}G@)Ca-=J9jXJvhhyrbgidbA$Yk-wpTKf7u=Q-nf_l
zMXkbn<_h^8zZ>qdzp``q-nf(hM6JR*<^uVO-wn6fZ`mFA*0_~_MXkbH<_vj@-wikG
z*-zOO{AIYwe#}ncTjK`)hS~?O8^jm6x^lnR%y5i#gWiI#jG^I?{1>7a9x`u}JMgt(
z9qWh6hU-jb_7}b~uH(<Cb$HDjDSzPCfvfCm>;kriybfC9og3wKIcTQXgLF+F?^vNN
z4UVmRvIjmfOy=I8C2Py@@+D&c|AeTQ4Ab~Ftg7$)%CMGuMbv?-OdEFm;`>m^aE3YN
zmhOW*#)>NL8=skD8s@UzIk|aj!@BjX4Y~?BIgFA0><u;!DNC3G!qVg%CSPK-;J?6G
z)ex|g^^9%<U&E@U-ZRa38%i10vae9mw)T$x%_Z#|lzM_!#e&18@P^9PT~n4W)zV$M
zE96zs3h%k~da1iYUIs1k?$uM>74jlzfp@Lm)14vDgXVY#Mr9Rio?U7*{ZP!SqLrTB
zk$Ry!rz}~jF@08y)}^3H-mZGAJFh$rnlP<u%aq4KebchGh%8<zH9cyJ$m5`{X<l2d
zJPPXaR*ZUeA*gMd7O2u<(^bt_>ENxZ$C{yeWa&Sh(j8Og*DvLnt`)QDd{B$`$H=Vn
zK~>XEZNBm#sA8H>%&T)jWz)D~xC&P8U;1a-tLU!$m3x<dnRY6gD}UwgrEjLKitfr=
zxpV20X<gA=c`LUsy)!K<x+{0()}>dbc|~*OuH3xz%rvd&SGz=R1|@rU>WXd?xe*la
zU8#F@tH|}BXzxtjsar*^)dz)pN9u-_hP)13<DP5fbvbZm-=UH#OBaXrZz>5{x;UiY
z%a-fwipz_A`n7DkzO1;o*rlJ#mg~!k^NVfzU)gkh*6?!Am0MaF@+@$Md#s%4OO4Zu
zwfZNOh&&CP?Cxsi^=ZY4#R~mZpqjM5ugK=q#lYUaq>_-wf!%#cHeMG4>pT0RY`i{d
zxVXz&ow^X%+NV@<Wx-+=S<x3Ojx1)7ZLPR6e=%SGr{XCO16$p{T6TTVuycQF>2*G^
zvQNr}>wI97`(4XZ=K>qu8Rfo~Pq`mh>;6%8YxxvVZTe8Qw0z3lz*6^%vZm!z?gSRP
zAC&!CHsy9;uKPyWtz}bg1!lT0td}h<n{qQS)jhUf$vW$e#>T~m`k1U=z1CR2cvs&e
ztE|@=YZq_oJ7o3hmB#ADtJ<<;yGmF1I%i60T@IMhw#($otAJ^3t4yZ63Rvm9kgwEg
z%FBSI&J+1et){#PSm@ly_tkRB^MJX|g?z4=E6y%7X+I^yRlMT#LN(r0%aEr5liC*5
z%VZUSD?m|8ktYHD&W=*AE(Y{DTS~3E7|_#}1*%JXoHeDiE(UZvYx1>btZ;Uglv;Hm
zpruX9WXghtOuSPqL>4R*X#Zt=<zYZwn~}__f)#eof_z8QSJ*ngl+-#O(CqwB(hF2C
z-jviT&^WO0U)v|?RrwnG7JdWOSM~WCdltTH+a$dzPh;1@XKj<DwemD}EWFoNB)uwE
zW81=OZ9&pnxf)v*o@+CbUX`=rbwG-9FR!Xu$c=ym=UU#UrXkk@Vw`h%mzsuL3y5%z
z<xMpWx$3{xe&fF_FV%hRQ-5(@_McuC_4ni@|EYCBe>K0VUzzV$Z}fNN7xhc?-Rgzv
z|7w0wzcAmf{?nh8pViOJ*Z8~T=j3PpGwl=qmHfQCWWG-Qsz08W{HNIa{u6nresaE2
zebb+pAJskVZGWBosP1lW`m6Jzf3Lmnug;I^uJ)?GGC!&xoiFgW<b}Gkz38vb3;xY@
zLSKRx%onO>`Xc<uzrN1u&&l)tZT6!7t~^&iH2+8apDoYTZS9}_%>1BkW6$}o<hi=F
zJ?lS~AD8d@*V%vld*u7&d;V4SPye=jzkJuf%>L?Mm+zPF_!rq9{mb(G@@@Y-`>lVE
ze7k(hKg)jU-<EHeZ~CX%PyJi+xAV>Njq{JxasB3evwZ#hJ$0{sb-rG{cK(*SQ@=Q0
zFJC=>P2H+n4zJlF>diM~GS4!bkjXs5tRRzlwe*H9jb73LcO71_1(+A?V!X^dC9k1`
zd9k#>U56KJ4(1<rGM?ux$!jQPo?^CPC*xV(lstxF=IPQiwlJRN4asx3#HL|xu(Q!a
zdcqxtCu|DlA9gT$NLOrOJkD!z%V9CE!7Yc!Y+|_$h0I-M6Ec{)rRxLkFf8Jgxz(_c
zSL7DMLSCL*4GVZ#ZaF++W5{JFWUe<W*uiKoZE(loA=|H<h63gqvkmFYRb~^?nVY2#
zY-T*jd*^1uTwazuh63h#=?}LZ?z8<c+pwK+FYl9FhJ5C7=?%9X?y|iwGuY0!llMq2
zLmqR!bir+h+iW+?Hf&?u%DbdKmm!xqUE1Kb!%enz(h9c^++aImCa{fh18+_4fo$ej
z=?AwCTw~i}HeoB{8s3=P16j<W;u(7xUbC9TI$UNoie<RWsu!E^m1&w@z)Pl8;vRb$
zUa|V=L)u7j3165NiF52_c)@C?|Ddw*9Ctxn!zETT{RNecXSfsM9(-b&T(6f<*?5ZE
zV;93yRyBQrmrRqyJN7U<VU^QocnNOGF}jOqlrXx9XY4t!h}$5};UcS0Y{EyTE^&vF
zMrUz@l13+Sg_1@`ae<OX2XT)*3=6mw;vSr5<<o!goT*J*V~@jpZh^Rl^Q_-u9L}?T
zib?puRH3I((P%Bcp}6q?H$#2ggZE5z;xBeH+-Lo!x1hXn5BG!E2k)55#8>QQxXb!X
zPocbV2ls*42XC43#4~m?+-AL|x1g+X3-^N92XC0t#5Hyw_{x+bF0s4e2J0a`hO)->
z+!e74UNgmr-`Le~jdhb=Luun`?ugh0S<A1@jVQmhW%9DQMsHg(mwQ{UeV3BCyxz-t
z<+~}ngkSkBx1RYfWS8(uzs1&_??iS9zwn!HUHR_HPT}W%Q_61L@ho0`X0Ac`t~;5R
z{H9rlzEjCmKQ&jaylczkCw>#H9p8P~A-rU++}kHRCNG{V_4Y`{@?&$w-X7U8dC^><
zw_A2he&p9tX0>JV!ns^;T{4zCT65mgyx`Yq%~*envv9e+wd}hm>FS5)a+SZ@Ed0=~
zrtH?{$@AuZdSkLfc-~x=@?D!J&z;Lu&UEKxzWV;Tzsern&dgWeJNHxBq1!L>)OXK)
zRkrAMW}f=axsS@4ZokY`-#+(NS<>yyT=lJUFO@mnewm}bdG4vQUGH4BUB2O$WZn9f
zW!vTJesR{NZ;#Y(y?o6t$~yIJ%ht<R{lct6eHWB6tr5Oq!ssh}!i3RBc!x>jRnCZ6
z4of*#_$ib!tq``D+whXbOWgs~3heMxC}CP4{9{hTa~3Q01D1?l!Z+qLJYz9ZZ?J4U
z&FM3%;VFxjx<V$?6yX_j9F}l;_$d@IO%Se_!?1+YW>&*v7MXhA1s7Q)d>0flb*mk)
zV00DsFlju>so}?Pk%iCqzy%gA--Zh;Y`zW`SeSej3Yi+z8Y~*^g)QbZ%;%KwTTsAM
ztL9+AXeWHbn9)}FgmL3R&IdCa9<aRgIdG1JNu9x>aX;q|-v#+hHNrP$H{4_Sq}E{G
zxSR8a?}9w065$!M8}8JzJW^vYZ`{tg!*@Y0Q;u-N?1oz`m(&`}8aH#!@LiC@be(g8
zZ$b`Jk}$_?h8ryV)E<~NuH!85O~_`75<W4D;Tp?2wFJ|~RqR{r8on~lmRs<Wai(0u
zOUCJP8-6i(^RM{AaFu<D9m7|~<@^S<4lkKK<PCl?Tx4&tWB9^2pZ`Nmy~A^63wei^
zjI;SK)Hpn2Hjpp)+2F~)p~m4Uvx;rQC&tPA9bXtuvb)$Zd}8e9Z}`&S&R<Z|u$bMX
zieWLkiCw})W(oNPFBm)JHvC{X%C1m#U?ICimBT`IfvN)w*g2{k7O<<>F<f94kpJ+U
zv7P_JXNJS<B6bVTGjqrvc+OZYSHIvnW2KzI4+a~4ftmwz*>6-jJYZ&!|L~n*Kl>Nk
zhWCus{1>Vn?lFImEBMZ^oBfJy!#l=e{t49%pr!vGzA<cP-(uVFmNA<@pxWUU^98wr
zZw#B+r`SID#juIJ$JXHuV<JC8^?~cmd*m*BWmw0aW9#smF_Qm4)q$(bYvcm9Hq>8Z
z-Jq9nnaM;~;WCqf?t@EAVd4pyj8nxH>}t5ex<Kzi3F9(ujaY`4Om5-|yBaRAHt0Pl
zW}L_UB8K5PlZI|WG2<-m6EO_WnDoRAG8w0FuZU?_!Wy8LP{cS<Okrok304Qa2Zf9i
z#6Dy&_Hh?%X>j9C*wS!}RVRw!F_Va{!o_+fG4TaE7+kn5wlFwzYiwa~;+ELL;K<Ff
zrQrxGLlna!rhhsQ3K;9e5_U8kV*L`y@Q~?;PQrPnFFFe6nb^b|(ixk$cWh>`=H`fD
zc);{e?7{YieXJjJ6Y?3WxKBhg++%ttmax5H7wZMxggnL~?v7}NJ52Y)9&Bsa#=1c_
zA(yc}i`yfb;TF?5v4m|6n^<GS9kw-WWbM#Z$YD(2{t?x1ooScYfvpW|Su=DMvKb?|
zcSJQ@Wm?5|p^D)ebB<NRGUgO3hGon#RtH`&>c}p*#2CUqp^D)O^BlPYUl^9ME7%@*
z!RW#-P{nY8xkm25XNI}#4{R8gGUv!Sd}f%*e!%8H{ZmFYP~T)K`-BpPQ_Mbc3YQpF
z_ysB%PB7cZF<fGllRfZ}p^xvv3x*!P3l$Bn><Kmvi<nic4m@HM;cs}s(9W0ef}xeq
z;RQo8pTY}<M!pFZ3=ZrLHV5W2%g7x#&&b0cP|;w=u3*D3pZSet!#w6EmIod%evona
zz);SY@SLH(k)6Tjz<tI)d>6_Y_A$Sab$HKE$$r54z+J{Sd;#SQyO__&I=o{jWN)xO
zaGUWC--R-UZOm(A9o{lzvO8EGxXE~iFQBa9HRB0BgEEGV%q_AEZy4g)KUg_jXWYTJ
zp_E}QbBZj(Yld+44OR|U8KT$^ST*=EUodI#VLo8e;LRLSFWaz`F+{526+;kvgH^+2
z#u<DIN*I<fOUO37U~pn*uxdEZSi!fTm|+g{0+WWbj2V0i#SAl;cgP%A!WhA~pon1-
zn}cP;Nk$i`h9?Y4><pF-#~B@@4lHK0;5%@UL5jEGB7+qBh75)t<^Yoh7v>0=hDQtn
zybmrg@bE6Uz`(&<pKyVJg;(JMgAhAI215t)595Zzj6WnB9x`yTUr1+YVSZrTV9R{L
zxWR^5LWW@;BM0Aua|~~I6bcv`n14t&+-La3cEG%0FXIbdg?xqz<{i=vcNt!>IhZ%>
zWIVyE0BV+0NH^SOxW#tBtYItT3SNa=h74v4>4uvOr`QT)8E)1y9Ai^3YuLcp!22MZ
zA&&Wl6vK6fZEOon8`dx;@IJ_52xDGR6&-)A{POCTXTKy>>F-^=e(Cm0tA8!qx8nWF
z>|fLNEqVV!`&Zk(1@E7~{#mwfj{ef}&sS@V<IjBmH1|u?zNzb%O#d{uMtSd~{3qN$
z?e<N$=f3{&>kLJfc@{ah-1HaMZ~qwk#b{sGJ(u^7R{v0{;@{hL&q;q_`33D4ykFGz
zHN+kHe!lw0uS(wghqj;h{_&~u`<^PZ^G^2;il5j1aj)|2o<{u#zrX+5TdRM6_xD$O
zEA{VHf4{Z2RR6B^_fvZd_3!-twrg*${_Wl0R_)Eyzg7Kh*51_jUsbD*hrQvgK6r2a
z_4?Out9IU78~*xi)y8|PufJNm>s-ma&2t{}JU8FH^K4Gs=2@3}o*B>Hc_zh;Nulse
z5})ym{coP?6<3~)I%hO(ea=&@;L1}$eMVE}zj>l&TzS&V&uCJA&J!i!$`ej}Mic7a
zJeK=haop;hVPAgEW2ws($Bg<6d*a_b65CvHRLjq>tKL87k<jEBuLQd-jwsCgby)75
zLA&{yhdjwY4vDQZXjRvF$l?6sAYYzAv-p|^EcQ+OI;B?v>~kM5S^GX<<hD4#AQqJB
z-Y0D{;Z1<k6Zff#<`W(yeAu%1cc?<c6s^SBi<ns0926F&a!Z>;U&?W8R^(+fOv+2J
zXgtKi#gnzC<Y#@;v)-9TmIggHCOzYtrk%C!#es&j?pda(6A}uXpLNgB_e;{4(6#2&
zY@H3t?gxuS4lcf9*)?}DiyZ3*je7Tw)?W7mfAnoC7umb`i7e}TjdJ&m)?Rl5U-TK3
zi|kx{M3(iPM!tKYwb$*y8-1I~M7AzoBFp+#Bi-H5+UsWEI(J3u`cpRokMs$ZiELP0
zBYX6<My&fot5eqkxAaXa6<M=5M)v3{jZo)IS+3UsX1XZ{f*S)AOYg1HR;gQ%A?3Af
zVS?1Dr3=^a9laEw#p_zCvC`R5_SDM&pY|XtkxL7E_*_dg7CJM^o_Zc&(|*ZP<lMpl
zsZ-AaOxh<|ikw*(!<Tv~K&QSv$Wp}9d7;dyCjm;lu0<LXof~CNJq}RdeR?rKru|Wd
zMsM4p42@prNE4A`3pJ#?7A@4_b1l^9Xe-LlXlo0~&}eBh%Ft+P6Uxx&bY?UWIkNDN
z<f(@Ne|U}-XtX-NG!{9u@Pp*3c?(}idd*wN!^e6)fTg`CU8Af`$U@}6!aw!ANAopm
zogYe{x)<=JZIZdjo`rXKkLGEVIxmzybtm9Sn~=H4j)i-8kLGIRIwwk>x)pGxZIYSD
zmW6Y8kLGBka<81E(m9dUpFw3|OuLiWl#L5JcvW*W5}bcZbzKkG)pp2q%G!k)ysFt6
z5zafMx~>MSs=M?{_}cs&tCLP0Yaa57*H<ojsXjB!=dsl7AIB`tZR{&Q^H}cpkK+z}
zpC-&t_;qsW{5AiMT=LiY>+)57rM=_dlP~>!>Vtj>Uz*?Z&*h8yLVL!)C!hP<)L;53
zd~SZguanRGP3kB86h1RQMsLPJ-ZFy*W}OF&!Z$J%Lj`OYGn@+_yp(m$Q+RmL<rODm
zh{`FC`lBvA4Lpi7zX+e6AM(%TlD|g1(a*^q_7nejKJizm|MWxH!@ly1@bUQ;zdRSu
zH~8iG*k9~#%SZLDx=An8yX^!2a4woJ^Q&{=e34(A3+MCv>Rd3N<(KCpe}=y-AJyyK
zk}6)X-&k%QUhd9vQb^UiPU!QK59)PwNk1kZn*Ze|=R^PcAAeHL`+xbPa^9b<zUjGo
zll{)m!q)bje>flb|EqiSee%BfAO5DiSFf@^`J3~e|GT=R?~`}UzwkHZoqCad=WotC
z{`cx0eVe?kRiGj$xoz7@(=C&)gj~67cu_#<u(OL}3k&;ShTuOMpO_t<2ydIe=C8|J
z^-O!m-zRVSpQ#J_Hu-hE|A{)IZ^9erxBO*!qaJVn@t5ay{~dLkz6!6MpYoUGwR*Vy
z#$TRS{i4bb?V9XseR0cVAM1l#CVN{)-tAmEH{@35E5D%frd^XS&z<pZNs0OrYstHv
zFZ`U!nRZP+KeyuDl4A8a)(f{xK07z#ol3F#4C|eDPA-`n@oq_x`lK?aos&=2&vm)g
z`NU7DoN4Fe<8vKuom@QE;@y#peo}8+F8WE8Z^}^bu@2la*~L2YPUj;(fwxaC`0>15
za>0+|ZOR2dmbWSw{DjJxGSoY)e{P<9c<zszoe%xE$}gp>w^%>iJlWRz;^xUV){=KP
z=gsAK_vD=4n>Q*2>J8REZ+G7J`&D*m`{cd#b6>nw$ycwi-g&$8uHUONr|pw>&OPx~
z<(<51-G)aRpPUa0Gpl=5IoNtGO!)BRfy-1?j~Wff4^tRCr`)`HX{pcB<tt}QnL1g@
zQjblmgu`&-1%7$kmYK8X%v<31pzPB&;q7y`=q@>RS-8PZJ;yrocIPd>OJz;lCU2fQ
z<L#0h_3Lx%C%jF`QBShwyv=#TZ(rG?t&`WyEqI%ftsZ54@)qYczjb9vTPLrYz2#oZ
zSGC!Bi(abD%xik7Ha%}sm9V$@$`awLvzOds`Kq?u+;FewOJ9$CqblKxvs>=5d{LWk
z{&A1zb6<;mr<ZE8%`fipeCBJAUsO5S(|qF|&!@gBcUwNGO*XIZED=6A+Xd7$={IjI
zne1*}xTkaRY?ED_i)WkMOS$MPk-zALT4&y-3gM%(6?UCmI9p<u=fc?nyG|~c&9TdK
z!EBX#EEjwQ@;^OSYd8N`EPQyj$h{@!eL3<EJy)yFTl8G5GS8?&*v4FN&&j#7Z|wAZ
z;LDKzsa$yf>@Rm)-m6uc*I(T2dC#kjVL^+tM<0{Fzwd{<qH^Kgv#;E3d8bxvKJj*Y
zqdJf8qdcba$=he|xVz-7T8??-?#^4jm-3p*CU2fS<L;6-YS(8^xSR4uEy<h{)VJD~
z_o#I8y4eMHQ(mh@nV;OndChlSUQ+4gRWrAoYbjNmowg`bZDv|irrPwhO;+{7-o`6U
zgs;wAa*m}`ZMm`GT+f$29_dC_!WU<@oMS0bn{WJaj^}e9i*%<<wb{lO=XgHzF-R}6
zoa|}5agOIxAC<E$MQW3cJ57X7&U87)Ql!>z+-Ne{-MDa0=i-?rvp5&eG&z@Y(MKYE
zQHEM)+9nI(qcas|om@CmVwUH^nF6y;)-RaJG0StoOqFvi7kmWLKc%a+8-FwwK0H(8
z+>-M?9O;MB)vD7LrK?q@8CeM17z@rhId|rbnVt`P7}7tP3-6!#<!np7TD9@T*`D`&
zKBN_y3-6wJ<!noyTCwrO*`9ZN9;AIT6W%^^%h{G(wQS?S*`Bw2E~FKi32&Y`<?ItH
z;Y~B^dn^-`%qDM`eB~@lj#|9&$621&eRia6G8JAsGvzExwpzIHMk~(yp1=G)nNQZT
zQJ1XoeC-|Kzv-pQ8uiTDlgp-NtWC*QjnRHt)wyhH#axw7DwAfYpS0<G=4m!P<yXfG
zZ-ZKvkdMmU@}5V22)fG`wv<fr(%-pd(#~B|Z>)Wir&?d5{W7{!{(%>he^KeA*Ph$_
z4wVXRnB3Akx8;q>LUlpglP^3ye8ZDwq^q`S|J*EeWGaJFbmtxKu=u2xs#D_@{hD-T
z`htB=zNjwK*R17y>FpM;^lQ?E=?(jyd{&*O|FVYjxwppNl+UWO^iS4sKJ(U#H+rc$
zO@C!g=aT6G`%*rs)=!L6`Z?*ubccOUKB`WL`}9J!PrvZXBscxUFO!Z<*Qw%s>@Bia
z<)XJ({GuO1F8Y>Vgq-y?zX&<$OMVe@)aU#%>Bw}3D$YmV|MoojpjsD~^kdSY>0c^2
zAA0}TlXBks%N~{U-fZzr&sCfBcYYSK*5|C@eBk{r?$P&2`=)=`oAO?@zDoaOHRnC=
zcX3JIC+(VkVQ<Pi)gt}QYR)^}_u?LXo3w5EhP^3oRkQRxt2u9ZpNmWSHfhuJn0Tje
zlQvH8*sJnJH9`MpRp)i@U2%uLPFg!XW3S3<)d>BaRh?J8SA|{LC3J0SPE_ZzsVPyM
z%cjOeoqXk`vv$cPuaNLbyM(SxowM#peTnK)ZN=D=FT7mBg?0&Dm|C;$NU=hfm(^7^
zArH>y4lFnCObLiO`OM2Ce9}&#GgD*MrCjpT2@l#S<f*+d=HwGErL`_asuQ&vWl}mm
z9#>WE*KRbKuxqMK(4!qf?p{)BTP6xGUw>?>PS7EX2_6dNQ#8CLW~g?1iA+^sV(N4{
zxv+k!L{N|ir{Z2=FSfNV7rdC(suZd=gf;D$WUp-*(>Z^t#JVK~s<mNGJA~}CZ*CT{
z)jqj-(!r?@B0C>=y<2nSoEK9#(~e2|r~X*GBww{g`(||KJ+Dt;P1`5!o_b^Ll04NC
z?U~V?cf1~jF>Rl;ed><2OLA3nv?HTCZ+Tq`YuYwxbN$pAYnSAxUY|N)ZAy-6k~U{F
z=MArYVUM;>S~s--l(eI?PeyTG^I8{{v~|*|$y?^Nd{vq4x9FwHOuwd=D%1ToRS9{k
zuPhO|I(f-Fmai(y)eUVuUwV4@8&wHioZK>x<%`OE^^Z25&pj>tonETUR=;TD`OMS6
zzo>GOr}{=4&!_dCDsx*tsZ3VyED<_6*<~KfCzXEn#*#_y>V-C)izk~{aW0;0GB4$#
zr-c8a7b=~8n<|8kPFAowxp1<CmFL3A0#+v%Oy;oiTrgQ>9?Jz!0sl|WRoc}*77HDo
zEHZD&c~1`iL(f&J{T4k}sq{0d5VBDhv^hC<@(oMR2c8W6pUQ>y*H8X3x8=P`wfaSC
z&wHL9{EEtjc2B-Cw*^#NPqg;D<N3hvQ<>2A$y?^Oyj96o5485Y<$1xcs7z?{<SBEX
zR0(aG+%wnZjY^_AqxH$_o_qW*l?tt!oHN(uwMwM=L93HjJ=gdJnNGSkaYJ9qWe<~H
zmCGImy-zNAgt;eWs!VlTWK}=u%ESeIPfAplDQn7dzVvW&SF)OPVPZqylVX*5$}eR&
zpL=NZrWC8pQa&le`OHJl-6&IKn(|7S&LtBA`cjHiCb}tEPC7Bsq3=nd$^^Gh87h6s
zg(j2SloL%R9h;~l#rfDnq*vvlhnV{!3n3R}OA{eyWla+yCuK<!AxC9SlS%bQCNfBI
zKJxh2^Q1tf&MnDe(xHi8Bsm{?{OCzJ@A0Ka<-7-*dsDhflk!euA!}t$8O{eD|J)v#
zPue%}LvKpHN|o|SY0i5d@7$8iC+(Vep*JN@rAWC`n)8mwJ-0_@leSIV(3_I0lBMh^
z&3Vh?oLiFFq)iiJ+?~uOZJgNAtCFKqpP>9xs`I+XF1JIblh#hm=vB#9iBR4t)p^xp
zmEEOZg4g<UeswJCPx-~MtUu=0iC6AAwM#C!huBa0C3vNOPTi3&%1h-H|DJf^?qV<W
zOYlN}P2G{t%5&u({^3~KpHt`ZS$U@X!9ORSx~tV5`J_Bme&QFwQ~f@5Dwo_<?1g>`
zp6IW)sbjh1E?0ZxqjI0!r5DOQc9(ukbd^v1)3K;u<=2Tv?jrV0FO=Kul3pmc+Bv;Y
zZnjf;q1<RU>4%_$yyKq}^ZRA$j+}Sru@Cw&(N13R56AreH$OY(^*{M};(_~z8kY~s
z<#tKWl^f+5|D3q*{>Sdpcfo!AZ)#oMD_6=N{C(oCd;J@`pznga`p?w5yi+ceZ~T4Y
zw)-8sOWy>y^{=UQd8?c$@A&(~P4_c)LEk36c0XZf^i6PMe@iXP8|8TUkH0*wyYH~u
z^i^<ee@ZROYvpkHjlVpux<#2E+BMNv`r?*}KGFxbO!StHyxXy~H{@2wE4Lu?rd<;+
z_s+;$Qlh*>TJmm3{R=lIbEaJr&-Yg3Eh$!>BfW6T#IwB_c`C)qGo*LkIkBWSB5z5N
z@+32-ofA*?y4>n`;-+NIv~%L|UWZ#J7WZ1@9l7WxmD_UBP0D;zhH{T|;FgIl(vf#M
z9=QqRKDpq=le^@C8%J)+1vi#ll?!e{=1dvN9nwEHPdwcF<7UT0H?Df~OX<oj(hoOJ
zw3WWNd7_Q9<Q<NAy&QQ@&bhtGQ7KSvkp6kQ<G$N3vqRe_?(Kb%tCFu=A-(f<$6dEq
zW=`8D?(990tCFW&AYFO8<F?x^vqRe^ZtY!>tCFjnA#HiP<EGmwv!c5kH{FhzDS?t=
zL++Do<v8h=w>Yl5Z8KZ6b>f=(-h|vIS;}G3D|dOkc8$>A^ipY--lUgGGxUmHDy<gZ
zSTfN|JaDhaE7t)1qAJ15-BaRPz9=mg7u@Ud!qq|lQ>Ebf?vl8c&q`DDHdP9q?M{hf
z`K&Zud}fK@>F$s?mrJf1`bL!#J;W#O@p$5@p#P~t&_lekMDTdG#V(J<-3Gfn>L0s`
z#kPD@>e8F^LaAFka1Y0#Zkb&j3%f;jaV+fS+10V2n`M{BBUgr4mXAvHdPNn2_Tq+n
zJRZ9KifQ?vRHL`)xl)zhq~}V_;s=Wb4|d<#*)g}9C648TQoZ=c-5&Q{f9P#07u?(Z
zB$nm9Qn~oX-5z&cU+5W?3-0Vb63g;VDZgI4aJR>8*Bg49$^^G|FNtM&tCTKoxZC5V
z>pF48-6w9i9?=sj6Wq{U6MN*fQmpvHT_>)&Zqb`mD!8UQCiciHrBLC_xg4)u%zRxg
zyBPVhTz1j(O(|8HrWTZ`v`W}>F2^euKlLQ5iI+Mj_@$I6EfVIO%kjd+PW_SP#B-em
zel3^kUCh)MSx!9Dnc(-NNNKWKlI6rxogT9|p1P>13uP)z67HPC@x(<=ohehPU+s~F
zpu2FUiJ+Ts=A09YIt~0>F1iT$rW7i52|JohbQU%=ndl^}Xfn}JSkPpmgRtiujs=|x
zeoxN3@TosaS85a1oZ~USQ^2p~yvsKqm-8;4d{PSPl`7PfEGAkDZ#15Gpp(JxNxo8@
z@XOg8_g%iJEi#|Dr}KgDlRTv|;gz#F?z%ivQ!<~pqw|38lU$`d;mp|_w_UEOEi#+9
zrE`JrlN_ZqVa?emN|jQCC1-csa5<#LWHxbqXNB*QY^50Co3lEuxolEvGM%`(Gs1Vt
zE5)_^iM1z|wby4<c`R#Bs5-H<eU06bOU_!hE?*T_@;la^c<JmTAM{J$QhSe`%NNCk
z{EW3Ho;%yfU-~I<u05dY#4~3T`AI(o&a}tarCf5>kq`PQ;K{$R=EM_cC0mzIiWB)8
zYfe0NR<M0?(OE|R(F?_1xkE1$d-)^32pntIsPb6Uu4Cu&QL&?5uIPngn_SQf#TGfE
z7m7`CLN63M`5C_m9BKbkdE%k-ADbf|6kGXUeik^?{-N^3y!IEB9`o9H>{!k_v&a`c
zS1gkg`XO+j{g3UD_lmXr535hybN(VX>AS$5_B*ym-YJ&yFRVUs$N7nz(073y?R#vG
zyj9HQPpm$1%lV4jq;CRS+Uw`o9(kjf%D>aD<&9!8zhJe;4d(-LpS}vLZ!fWJd94`D
zf3eErn)3#^qOSt0+e37hlq#;_zPUxfm;2-v0Uz$2TP9p>jfnDC+PXqdrBrbRw`FX{
zOD8XJr(F{+wsz>LlqfFX{u$Hp+{sG(&`tp_?wc_k&zy|Jn|4k(-Rcw7@zkkaOI#^a
zaSHd$7>^~b9(pQ8iW9giV>p(y+C+6cc9PLua?wdbcS)gQx7eW_0<PSiTP7TB)zD+P
z=)|Xc<bo5IZp#HHHeHtsPE5Kgg^CSgO*<yob6duA%x{&@TT-A{E9SIAz>fRoW&vC7
zlba_TY<&>f@xbYw&XIFYOyW#CChTvm|Dn4iU$KV!W^~6rr%z%{+b8U9y`j4#PqBo1
zW^~6Lr$=H;+b3*q-J!cAS22e>GP>iI(<QN{Z4)-P&d^<wqj<e_f^JHVViGrJG{+66
zePWNcPFUAkpqrAd7{z@uisPEoI<cg!6IL~Ek!$&?Fq?1DONE(yO)nLu^KGgU@Md3G
zB2a&|d5Ij$SB2&5hPECr9X<Gssst`Jx5%-4QJByE(Z=JsqXoayONH6&7i~PAIU4X6
zRZj3^-)Q6U)KNvY<&(l>_RbQ4lg%!2ET0tm*&9nHxU(19bS!Q*vEo?VY$BI((NThb
z(F=u6zD*SZN1GL_PAqJeu<}^gEMRqFK{JPy$AbE16*-m*jspCjo-4Goe=HU_+$<uu
z<h&yX|Dop!)qIPdD^&6sRS4Ly3)-BR+kC^)<AEas|EF?+{moxwTiz>FvtP9KxaatR
zuc%yLck>n5mUjxp>=Ug$?l?Z+`&1^dy?Kjl%UgwP_CRZoTaFj_ipm5wH&2m$QYEmd
zxkuLJjY48QJEQf9>yCT)E|m(bYtE5%d94u1e$eW~RmU}aL8cR~HE!Tbx$I!Vt8&@F
zfcMEIhcNb}Oogdzi>xMGX<Wefq(osEv!*P^O9wZ0C94S+8XNeY6f4YQeksH8+(Cmk
zrC4DW^GO+wXAXMoMwtrJm{-blENKkjODR&A$fjgD;Y6cDJ>Qc;g$Zn*G8Fol3r!}t
zF(;Z#IM%2m#qrodgjeOFgBbfF3jr5qOA`TSW=#_TCuT_#0Y_#|lL<!}8KgKKIsD^!
zQlL=BmSi#EP~#U#j)x9Ecv8+geBn_!@4&|1l&;Xkywg~~nwe9E<AK9Jwnyd@_BDRs
zP03fNVm>L&anIphJzJ9bgk6mncvJEeikLg4Iqo>zV|!#aVO!${-jrO0EM`w>j$01r
z*pkd9Y-)^QcQTu>v9W_!B}XBF`KMIJb%$MShfF7|ZOq_R$ySJ9-YL~_)nV1Yi@*4<
z)#v<bUsj*;i+x#r%&+6G>~;PwzGNTrf8sCxEA?~!9sVM}^uNO2<1gy%UH%KcVmTZ&
z@#^PyigOOTeE9O?55rpyzJCmVk3YA!`G4^z|GD~rU&o)>oBW^nlmAS8%)jJI_B#Iq
zfAV|&U-0Mn6MLn<&Y$Eb{%`nm{IR{l-^Um2W&S^WA>aG&;0yWQ{}EsKkJW4Za$i)h
z^UwLCe8<1S7xHcY0$<3t{4;zZ-}J9u@P&Nme}*soN9zClJpR!B&!58|<Xiv0_{@K(
z{=?7X^Xgyxbe~tx^N;zwJ<I>X=kjI$1b^@!sQ>f#@O$~%{||m2zi0pD-^B0yd+P7}
zJ^W6-^#6k2$M4ub`6u|De@FeEzlYz-=l)Olef*aFm46ez@o%Z0^Y`!@`PBbA{x!dm
zPyR3PyWahV{egcUzw)oIFZtX2T0Z*!g<tO1>^J-?{K~(&KIHA<Qu#H%Z*1ZB{e5B!
zzt8U-Tl%loM%;2=TD#(%a;f}^-xhb<U)p(ncih!~v9{x#a*6zc-#_lOKew~`esCwh
z*Y6v5+Mn4OeQ(^^f4bJ^R{K*st?!DN@>8<njA!3|^UVCPW&OFLdB$_aU!PSfo_V$=
z&1AXd!O0AsHc!48TJrCyG&skcCzt(s!{&aE-xKb*rz|M<IhR~0KjCx6o#T&fWZp7g
zvXgszxKO_D+r<p|o^Ka-^qr~IxyAn2PGqfeiR_~0_a{E{*|ywc{MKh6-+8Zb`S-rP
z#dGF4f0dbfE-_QS`?W`Gefz4VrEAtTm&$j&Ur}}Zs`Un?waG=YQ(jNl!ndvR$JxjE
zat)t3?yxVa-1GMF1)E3T5;Npmzd2^eP5Lci(tolt;9PQ!-1YK?eac^CreB-5m2XWg
zM^yV&JEor=F~{eZ%DiK~U?=eXW4dg{>x$dQA6hZ3U3|fc@9p99c0At$cl6uU|5mue
zKEL+O&Gvb<Pi`K6VE5sTbAf#Mx5RY$#@`Hgj^DTY^X=kx{(ZG?-a6;YSN=Y5`}keE
zH{Sxc^Y5xX^VT^}zVLU$?c=xY?tHtrjelG1nzzom@|nLKZXdsCcjjB*w*J?4C%zeO
z<KI}@@|HPAKK}QITkhBGc6{5om49t*%3J2_din6*8*aH@wT*gzu&Uqp`-PHzpYI1s
z`n|tL>}_9K9kQ$am2J@b#;X3y)idrb{vx;JyTso17q(9C8LRrwS6AFy{8?_!_XQ>W
zXR9;rDSwum@qNdh<4dX|?k)Z#H|d>YW&g=)mtE~oY?a<KR`wsScGz`%aka(0!xwF(
z?lxbvm3qIi{)Jr6_kfaqm+ujK+8@~p+<kn(mgnx`3$`40lP}n^+*Q6{EA*c6g<Qw?
zAI1HLtAFfle`w3~{^E1FmhTUW`)$8pDDJoUF0qGwUNy(P$LDO{+)@4@*YN$v?)Lk(
zzup}z@84Vf;;!<0xr*;QcDLWPef7?<ynko)iMz`0<O;r5>~6nZZ+q+A!Lt6X)hq5Q
zzm?1QZn3-lrtPVBg?rg=+8(RwxU2j|F5&x+UG3LRcYOQuVi$XO|JqD}d(Nxf!}!-!
z$J{;qN-oqU>iNM_$(L<R&MIHFF}S*7mZRrkk<w$Ta?_t}wBq;vyuyV4YUPr1%%yV6
zKO4+-e`(|K-0+lhiQGaPhsv7zbBBwST-$gwKYa;d*ibAt$40~VaNqGXF1cBsPdsTn
z`B><vV!!9-4Nn43I$z?HoAP<a9QP%a9_N&c<R*Nsn8UuL(q>lsV;h;Xi!a(poLyWf
z*Zu6E1;6WO50n0*l^W-mFWT^(J$%82>umD{8@98~7i^f$Di_K%JZrS*xBqN0r@elD
zrNp_#1#-2|94+|mKHo6rxBYy=xc^|~gPH9QY~GzYe9ngHIip4Y{>mR`7w5~>e7-Te
z{hrOIXN~6lyDM*;U7ROZ@_EMW_B%F@o-vyDZ?D{Oc5$v;&gY2P?YC?$J!>@U-&{H4
z?BX1`>y;DECg;c{edd_We#2(pvxlbr>naP*Cf8@nMSVUoi~X9-x@U=|{j18i>}&oi
zJNw?km$EbOHNKRcesAM1KJWJ{zVKZwU$T$+tL*ak2DR=ltv&7={^Gk>-m;JRi|qXO
zA8Op6TU*?Bd?`Eo{e>F$XVwPy3xD=`zTZ&e{?uA!Z}TVF$?rS9@SQAo*~k1zw*P&@
zmp=FR1vTx9%j-?5*cX?Z>`T6AEpdP03)#+l8-MT}Emx>IzOY=P%6(zEK-KXD<s4P+
z3(8gYF<-D2xc~9FZ2S8UpZN}#i|ku`-kRh7!RNBo_ZB{vt-NRWgU{x@K+W;F<u@wb
zA6PTo|M;D6fBBca&F^Ka-(RS9zi0j7Ug3AX-Q`#IHoucCem|kwz5b5%gL@yp@og{P
zvbXuIZ1($rYWG{#7w#2)<J(+5W$)u(e4EO9_By|jO?=N#ef+xho_iO+@~tb++3WmT
zHuC)eP>HqXUf|ZgYo#03C118OS*v{6%3$r|OIBgm6EkI}UR$`U?@H-{b&pGAm%Y}A
zWq)bqc3p8---XhKb&rc>=e>SWAH)9KN@HzuvFxnZCt}#2S?OIj%#@w>dPPk8lG1>6
z$wjgguPN^AJ5lPe?s1{)gliu&WcywhZ0U1*ov@|vSgB4F`(rDSwaOQ*#I7&g!RPYY
zVhf-1YmF^@POl}l@HxKb*wS~Tlp%`!k=4I7j|*h$t|jj1J5>55lKr98k2T5Xt-jQ+
zQ9f_QcD*rOw(0eb&3x9cIbzr!SpB>9aC_gr(hqBs^JS}EpNM9^XZ7w{;`Y8>r5DyF
z=gAhm?ucf;V|DM^!)<-rN;j-c&Xvu2?Gep>%j(><#BF_>N@K1&ZtL4v+ObwSM>gU0
zkEr(RR=chp+}gLcG-IuDwrs@f9Z~I9tyZ18SXIw=tvJW3eOYme75lQ{7^~y2EOq8C
zzGNA4eqt5hmEt+`4u6qZ`dq>G_zO#y^MX};7m91<9sVpc_xS@G_NB!+^PE4+%zS>p
z=J-=fwYi5s$xMAdp@i>LvCll^OO`6<1uOYZ6x+;WzGNvk_wYxVzH=8}$n>1MSkdSD
zJi(@YQL&2E@%l%WBIg@l$h4nJd?C|%&hdpz^Et&AGL7dZR`5AIcd$7=zgTA8;q#U}
z=L0MH?4B#wu+J}kW7$5h_=)B52bLe^IDe2SKbQDirtvw0&GGw|f6iSj=i68OX0G#l
znabw}tdHNdd~+_aoNrh0nYqsIWD1`*SRcP_dFR~4GQMraYvww?t(VDs?qGfVrsbJ)
zfn|NKEl->?EaTf)+%lK>jZFOW4_5BiEq9#TSjxAyIAt#LYnkxp8?4-~T0|W`Xw~QY
z_<~8F&*K9oecq2FWZRb(hDf!)vIsifXw`SQa7N$a5}75BC1l%QSU4SLwCX!wSkbq*
zSZ2=S1txuG3p4tZi)Cg!-XT+ed`V$M-{K;fNyi*5`%V_RNVPw)P&&?N*>}9qLF)M8
zLW{n`7cHcEn=e{O9p9KC)AKmMq|fDXgiQM*3xVFp7c6*s7hka8=uN(0!P2XI!9wUb
zV}?w};~&O-hYNp5wm-DsI({)-rseSi<38KR7mWLC9!tou&nx8UdwkB~O^<Rxy-dU7
zAJXmjEq)z4Xx_KC@I|k3zD&jA9n$T0EnXdSH1FG4c%oN1Pp05;g>?IEi(AJIn)Pih
zT+yqXE0giqLc0B?#i?V3vg|i4jvZ4p>)TM+(EB)BChqYIDfa6Y+m0<X?ORir(EB(`
zChYNwUv96>Bld56DLrf7#Fx@D_7%RAUVXoQ!<SyK`vHI5UYQ5%FZ{)OIe$uB^B3vG
z_XYmCy)bv!|M4g9`TUZ)=Fieo_HF#hdp19%j`_3n^!qcu@Se^OsdK($uCd?nXRpWo
z34h$4m@Dl6_=DHue#IBw<M|f9+!p5>{BnD2E>_$8QMzm2#23=t_XGa0Ey|bq)wVES
z<QLn*e4hGWZ42^Qez`p|XQ*ZVC|$p=@CUE`eS<%456yqoG=Gq;*|+hzbk)9z&!wC1
zANb6BF#pcawz>H%b<7{6>+gT~?RMY%$G(l<dH3c&sbzjIU4DPVZ@0VVFZLOJ=iQlq
zq?Y-ebpHK<-)^_fZ|vLnjdyGQl3M1s(&_gNe!JZ?Uw2>O_p$mL=12Ake&gMcUsHSd
zwRG(L2fvP8GvBgr;#b}^`7yPJUrC4F&bZ6=+RQB1`LdZ&F7stGz1-wd>1o>nGo@GE
z_PERT%FJ(j;;!CHxfAk|OQaXw<^Zj?w%h)2XYaY(g1qKSW@g(L?(992n~?XoNP6<N
z#GSpTay@RbJvCF?E|@7@Kk0VI9kwTCa@!d*rTe!%+`;R9J7Wv4+wF`y#}?%p<T+n7
z6Ut34l<vCiu%*}ew!xNOr`rl!dL3^IZ0U8l?Qw@~L9RmH<MU>G+aIP&x82sb<2FB6
zAg}qn*|!|$^JbrNk_)6OwkhuDwZ6S!bMJv%hP=o5(sj3A+-AFP_HEn3?Y;GTav$VA
z&XX>?z2Y|8U9)G~6u0;8$UTtzI9EFFcE)YC+h*6cE!@_-C3ivY;~eR<+Zwlzl}e}F
zmbl$^!|c#D#%;apb1QNeXG_Q2zHzJVn%Smpjaz$H=SJi%ekHZ`dcxjg%d#_exh>01
z*mZ1a_L{iEmrS){oxe)0yza2~*h^EN^?~(OyqB_j;+(%oExgXK_t<k&oAnnfdCz4B
z>^k<$)MWj{O5QWsF>%S4Om)@=R`PmYU$E!c6H}#F=TA}-uQ%*D_SjS*_VGnine`7}
zNcFBe_(H1pdPE8Dv22Z9Zi}*Y;+#K9b*w9VA=S1n@P$;%I>Q%IP3r_-NOfLkDB(Sl
z{b%Q~hxMj^Vh(?hYQ6rVnD<cjhn>gfWxv?zHZPkej`_SP%lg9SQf2D|D|ioN|A{^P
zUaI!`gWbpOnSNO}v7C2L_MO<n@1#nvFW7zTj_H$ig5|tBviHOuek+xGJz@8;Tc%gm
zO)TTxl07H(@EfVr>pS9_-$*527ufA~!}P$qkEOipvrA%|U)M`TU%#-+?V9O^b%mw8
ztFuFV7ne${xqQQf*Z1-X6JDRoJ4|}7W=70%TbjATPq|cT#bt}RZ7)r{mOEPYUd-(9
zQ!bHOaQVlaw&x~R%MV)edR@LTr|p@E(eg&i-qV>rv)Z1TXf0RFl$vsR#vHdLnI3-1
zMN$(kSIl8sl4&!m?XihWz3<|SCKA4j3#Gc39kk$e1x?Z%&D8K?zG%Ydd-#G07wFV~
zHecrpCQQD{g;EX68ZCP5FI&uMo1ZD+x41y6cA29EuifPv#=N$dPZ;+e%zQAj?SaWV
zpTp-&n3gkI^zP66;k!6rs^;>I*=_esJ}qlB@7<kw!*_9>RLSKTv)k^}n><>^Xx_U$
zbBFKZT&bMP5wqKFnOs`dXx6(qbB6EY9I5M>6MU0%q>?Uk%x1e`vTxZ#)82KN1-{AI
zQc;&r%woG{vTj+TY457^Eq2XcC1=lD_)>D_yvCQ3)8}pc#p8W`#TTBd=}YXGze+AY
zZ&2&@(%56Z;V+(x=`D85UnJ+B|4>u!_T1QFzT->D+2=3RxIHsAm|ys_$MgJ#8n>s$
zDz?p^Bqz^ap_IZdsAOLAWJQvTC;!UjoSDW-w$4JHqRO5nJQasFm@9vhoN&IPhHXi@
zO;y`tV;S4U7mX!s7k`xOo_FvEk1J>z>1eu!9rHzFKHI|=jJa%^FBr4gI$tnmvQ@7C
zDA_Qt@kfvSd5fC1`RNjNi$6%#&U5_1V|V_>XCB-0CqDNaOn*?>_Q3d^&Ea##O!FCk
z^z2XnVY~RfWX<^-)ou5TKh10W-m^RXhV9~ak|pP7RJYwRel(Bqd(Zat9kz?#O6Hu8
zsBXJud}&_ex1P=EGi(>Xk-VNh!8ZAgWYT$#YPR|t#{1?y{MxfFy}&m4wPe)!6IE>2
zjMvRe{MxfBb&FnespRZw3o|8WPHW7RoIY*iE*|gGE4J`lO<khLTq?Qzv_Y)fOCyiz
zhP!w!rncxYmq^Y({UOHfxsk<m$4trDr!T~~Ju@<xUbwTz^Yn%ox2Hxby3IwBlTUYS
z;W?S=qQ_h$S>J!UVM~wu>4KQH#i=GyY>QJ(^pY<cNlaguA=x=?;|`vqsR~iY7N$x>
zxh+f;h&r|)l_Sb+L8^)#^93V;=^xW2+fRSk%yT$ZL~rqVBaZ0@(<Q5?EliiJoMyO#
z$L6#^%(1ztHzM607%@!$xSeNz>KEPSe97w57oy$n8GV>mxSgkdcj^`0<~+&b(-WfI
z?if9o_Hi4}_S7x9&AF1<rvswhZW&#eR=AC4bLte`$GdnorS|AL=SU`=W{5s^-DuCW
zi(7ftrRL~5XG=z&J`i>6s?nNhfu%jyk~hdDUp6$6RlaO!Ap7`|VOW3SONps{3#)pr
zBrlMA{6%8faSdCxmxgZriuF}J7m^#~9)FgYcl?D7+jBz=+2qd>vyPvzVS8q%*Khbz
zV%qT)Hf>9i1LTrFNlffhtn4|F>>&5}qr`;1k1r(pju({lxE)U@={c6HW5xE^P()Vw
zqM=y-!U`Uj;}#`6&c`)Mc$|()l<+tn=P2nplFVSm_Q>#`%;OIdb$y8yJ%{R(zgV(8
zH2fixeBSVjjPiLyw*JQF5>3Z<6!Tag=dfXWVEC`^VR_HK<PWmR?<J~^pRi`TXZWr!
zvAkzj@&(!CcM?U%JFMC67~bo9Sk|*Gd4p{7TZydW9@cEP4A1o?mi25(j_G$S>)Dvx
zA*=jGBH{QCtG4TgyZR26_N-0LkX3$NFA;HkhgI8E!&Pk;t$3~_=18?IOH7esTb39j
zb?lXa4)5Yi1|jVet$3~^&fz;;BC+(ag6y#u1}^P_Ry-FHYxoWqOUym|K!$B;Vh*2k
zvBb>72V{;tHBjR{TqH5|@B|Z{Q;9x&%9jjO+666nP9)m!F<&x}<2_s`(bsk{L!zhc
zV!cI=>)`~Mwnd35QpX+{h_p9mNVK;lW=OQQIc7*Sw<%^wG`3B&;Bh$YAaiVfq72{R
z^9DTaffhY>hZSVl<|n?9Y@3((MDo}JgAY8;1rp_LiRluJhZ$s!-8cBtcF~+?U*a2H
z=X{CE!v~~~-8FdA7HH11EAb4kbDl)u;Rfkrx9bh=v|Tjg*_OD5*Ev@r^RR>Tv6}{G
z+5*jbUK^ZfGc@DbnApP0oFfr`_=A+&b%Pyk8%=rECZ_N*XG?@1-XP_6RX?i!;ID4q
z{TIG;`|LmPrQ3Ud#NXDX@gcujU+D+cH~#9r96#gVqA%i0_DlS2eWCAE&-knRe0;^f
zMW4mz>|gMuzWZ!^#y_Rc;xqQ|_;Ykge8j&+pTsBCIsWWE8SnC|^@+YxJ>$>r<M9r^
zjxLV3_;=`{zSQ5Qi~3Ua8()a`><{?T?Xo}OPwOLnfxnL~==1ztbU~lvZ_)*QmcL3D
z^o8mfUx;_?|M9u|aQu&-tq=9N>MuSQZ`uFgbGPmO3!l4f_DlS!XPp<%@$b<&{WpJ<
zK8QE$|M9!^zW%SegWtRN#=rQh^j^GT|Bm0SclBS@Iezcn8Gquh(mU~j{T07kZ|mQx
zJNT`8Yy678N^iw8_FMdJy{UhyuJAAGP5onair>07#5eqX^jbV_|BGL&*Y&s6E&STO
zCO+ZsqgUc#`&Zm@eXSQ!zA;n0epcDUOz|0Ig_+{3cW>Cz?X^4LuInqkfbzm!+?Qjg
zylW~EU%XoYG->Ei{&6Sw`Ph<oO~v9<$~NxgJ{z0zj;UCD`tBK9xKGE1ymPvwr%`UW
zv)g0$ggdTJ^c2cJ?%?*=U9pAxc&x=O*Tu00w_G3ViM?$q6z?jVm?7T1JKzrMqWV~w
zTdfOYMQ*V!jODr2x*(S2mg^%uhPO<G;`L>PJGkw48{BbysQ2qlQ-OF**~WD7s<Mgc
z;?27cZ00@~d*^2B+*p=(Oa<cgyFc7^y|4G9Y~yzBy|GW;GUbbx@7{3R^{(EFGQ;iM
zJ7bT$Wy%xJ-(7Iq^|s!PvW?rgx5h4c%aki#pT67Rw(Cv3b-NXAAHAV>q)c!d_lDS-
zw}-ODV|PEeb@ZCvma>Ukx!1(Tygig99=bhaFY9Yvv%5~0b&c*aUDnmRoAgy|T3+Bw
zu~pkW_Oiax^~+DJ>b?{`;a<`gu|?ZC_Oiavwab54*?lg$;9k=uU9<d!mEC8e6Yf3w
zBsMuOv9i1VRJ6w~)~C8^`GPOSCT;K7!}>&5E}!wGSbyHb3U2r98716q+cWkYT@-C_
z&*`GB(A}huVqM!EO1ho58<ccAZC5DicHAyd((SO_V-M?sXoY)^&g=5!KYT9Mwq0Y7
z>-=bedrjwczuj><ulwmv(g(4MJjIG`>+KtgyAMP&+<Ww1tiEphi`}gEb-(2;EbrbE
z{owAScVcDRSL|lJtNScZvAlan^ntsN-iqaI&)Cg+TlZSt!m{oy(F^WAdLx#$U1Rsr
zuVN|NC3d&o&^?sLSk}Ehy5jDl*J3f-Z|rKlrn@Pxv9x=2bj007Sz>E9C(J#%EHY!3
z>$1p%Sx1*ft~qz;l8)9{r}|Q{m75*r9(}3flOAZreJQf%oKuO|!p#hGk3QG2Nxx{x
zeJ(O!*3oA=Cg~F`xz9w#oJ+c-qmv$J$?ds$!JMN{bd=6I6^TvU+%V_pV;zOFk1p!S
zq(96M>rFeDA=bM&!i4)+q{b}QMUgt^oC?J{(h4)g+R_3u#9Gn}GsK$G1T*TzIyW<z
za36{MGxO*}oj+#|6^ONNeqqdgDDuP1qw^wP%ygX>$#ahBybeoxVY*mZnxF;ufyh5+
z59N#1ZhkQP=sle;X%o%4_e9<~dniw=bn}AQNAKu7NfR{Z-VwRy?4ew-+|3EIkKWR`
zk~YzddrRb;vxjoTQaA57*OVicyjftj>y3Jy18E;kxz|UQoNdY$i{5-;mg_a04QYj@
z+^Zu)_AdG=x@P^2FI>LsPkiC>S-;~;*VXWdD%YjqEA}aU6<x93qPF#=wpYC4uda*X
z9s88Nh%Q+Fqo(z_wpIMWpIlz+Z`8Ct(>984{MmIn+^4GbskT<U;!Dvf>u1!sE(!P8
zr}Rm5LjC%R8rCJ@HdU>UwPp4$x~MI&chN`D?zn?LxLntJeCawGuCb5lqBh^&Ll?BU
z_BLJ6X4~s@L7Qo>(nryTxW*q{_UkQbTIYvL>|69fv^LK12bbOY8=tvs*Pr;@buj!v
zW$Od&cY6+<(`Jfi{L!^P{Kwu!??r3Y->7cAr~N6e@q5?q`tTcj7rhfLSwEw?^^W$V
zIL7Z?+rxM4UG!EoXMIF<>n-g|agE=)HiysHyXcMR_3#OMlir9Xt>>s_y`jA??%~(2
zb>RhjlU|EPtv^x4dQE#>T;kWRRiRtfHI<6a4qKQhIy0;>Q*?UR#$8<At5<B{x*ED<
z9aE|3^3?{ht}nGb!VP!Tb6pH=S;tf&I)C+t7}w`o7U7PWqO(_Dh;e<UWe{Gtv&(b!
zh8WkUS}JRsibN-`?%2Y0GSp=qQ;}%@>V_>{?yC!8S{H|!M6oUoHCdN*QA;9xVTNdD
z*v1`PM?)2&jxG$9h;m&RDiC#aK`2L*>w-{~bxaqu1j0Y2i?*-+u$k*{XuZg~Md!6R
z!Vjj4R);N27p)94+`(nDS|H}=+|V15t`D>r!ar{3+8_F5ZBxEz_38`JuJ^P)gcWY*
z+8ugjZBw3T@#+cDu6MK^gnit`wLNsp+NNC5?9~C$uD7%<gcWY%+8jD%?W0{>n?ifm
zI^~Eau4afndR=Qz*u||}>q2wZI%SL2N3K2)b@Zy%ny|pqu4};?<|SR$G?}Y(S<_(d
zqf44${)sO|rur?c>berVVBVuIBFmO**s{LVbn{oN>belzFz?Z4k$KBs*swm=)R>#}
zS!CAo6E>{RH1+%qUy4jyzQU$;NpQftq)#Ff{S+&^P6Rv5d-PFcg5Sp%B7MsXO1j*Z
zCzRB89Shd6VtuSBGFR!MrkMZ23NDxB7A0KH%QZ^4oR&+Ja5*mLDCs&9%wWa(Nb}#E
zM;}D${1Pj=4h4U)WPPalV@}d}%`bD5&TF#yH$E3>TE3&0%X&G74eJBVe|`_kyY>Zt
zn49!oq-yyIYu0<3@B9+WyLJU%n49!Yq-c4EHR~PC`g?v4%euA&Z<w3(RwQe=hc)Xh
z&2xT<WnG(sWBeV<x;6%P%vE|LlCb=TRqJ)lU492kyVeG0%vE|V60v-TRqIvFRc;rp
zxUL1}NVP5tOp#(;78p}?K)B6Tq@IUAkYQHVoJTA?Ex(N?P7PBMZk4qbsjT&i>2hAU
z-HOY5@rsm10`;u(IL(Bw`^<`PH~iM=)*}@d(tC)j`*P6aN$MJ@PnFd~BGtc%h)Zg*
zw7oc@D7;urPkrJG<%8``r6MaAJIEe=so~=uXvK9Yu&2+dL}cM&2HB&}HEaS)`kIPG
zruZ0SnKYhYS<HUc$-`$y?jzn$7hN87c!U)9uaHT!R1zv$HM#y&Zq|iKtJWTQsT#1{
z!8@nO@2BRHUq%;?fB3-vh~wDGGnziaP0bTHR<g~!;C%Er%l!Kt?$*NP$_C4wj2qok
z)11z-+`83qcI8>Yo(FCjUPggtNn2W$X`VbTI8QaqJ3;E8XQ`>#)g?<+t}ga12+R#?
ztef@2Xtvi}uK>=oCpEo0>T6UTyhG~R`Z7$KCUF`GUl*F}mEb2Cq$~LHqO#J_tDBsP
zRgbB;{z+|Z-C^RCEjV9!m5sjhinbLdUb{HFY8AZ<om2Ffd|F<lbolhoR5Ej3@M`O(
zE{454PqUt!IG?=qs=vURSVgXh1xwbjG_L%7F<If5v&d`>6Hf&zsTQSTk@^`LdhUjt
ztxq+y9M^<KxlVErXk8K*(3ez{Ef6!^z;<fp<={2`s%%;&OWV%}x0KD>)%;v@)AAck
z^A}ZT>A!!Yxn=pKYxA@=SHF6vX|r$ny+`vVRlj=0{v+hN_$&FK{|lm`I$!fIsOOOV
z%e->>GkG)1{|_X8wx6@FS*Mxx<VU-EeSHJV&D1uvlb`q}8yIu*-hJ@IAT#M}KxyXI
zW)&Zg`AyXtO1(}+A`=%k$Q*sFq0sy2qK1t7!wivLw}TlXy^AAExQ+#C++vpOa#<W9
z)A~q5;I3k+NPB?9u4W~rb6z5?ZjKou&2EYuoLvr!JzN+wL^>A#Fzz}W_(QVwp$3<G
z{Y7@xhZ;W?+%V>{U3|j0>tNsmM@5S+>)9uyTIU9`*lq1%DiEn({6X4Po@-y=n_ee=
z*LxZt%nDr#zjyeHlxe(jb2RVTDY!=CiQK_XB|cV}uI+(4dKcx2<SdS;?h<IdrPO62
zlCjvrInk_ZQ(%m{qgmI+z>Z#}9Fc^@Kcrf(*K6!@J80UqHZY@CDO)6B@eZlhs~W59
zF8<=Y=AZMcWto4<FP3HgF~5$yQrD?nbV)tLe&R3AEB<rp4t)_`I$z=Mkr(PN_JY4S
zFZkEg9r`Rhcm9JvEKB`!>YP3c&zyhY&ylC<YPE+x2~V9r;S1*}f1f&~OX@22f<HM=
z_}kPmT~e2;uRZipxX<q53*jESi$6MD=O_GWS>&(s>&PQ@5&Om$!tHj6FN9m|9A5}G
z+bO;fZnT^DgVSNY!=EGb{blM7omc0v5B$+-H(%io%Y6SgKU?PcKlyp&f%=CUrw_vA
zc8Sl08|O3pIdWh9kKM)ZocsLW)H=NvuAG11_mR8mZ|nlUbMC75KU3@UPPlM>!|x-v
z)$iC{{KmP>e@(5^Tj9+44!@7wR6k=E_^tD``UyM3Z=4(bTWXo!2*=O=@XO`8`VPB|
zUpd$Mr_?gN77m}k;g`!*wJ7t0yE=VmU)a*=GyA}nPVd<fcUzYFhTLj-r50q~xU2KB
z?~J@fCBjR}4MI%}cX0*^FRY)<aQDb_H5>DbJ2}t!2HZOGOwGi6;!aK<;pu8>GdIW_
zS@23t%Um&2c*^V<cU+eEdgLh;2~U_^affAzug$HN$7(XUiv(w|+X(kKY?zsG=g1-l
zH3{>DCtQ?-yJrWOG@dxJ&{ty9mQE*aLj%jJJ2{<ZOPGk>3yGL5u%*)>IMsJ){npMS
zz6`fm9)(2D{*nBsK)B8<aYyGN-!GLcPeSr$E7Z6=2`T8GFvshi;6yF+gXzN6a*38#
z?}U^F-}Egt7oES7gEQCZyxJ!p(~MO|+#RZpEcWHeV>%y_I9s5G>++GgzBeja9xJQ(
zKFttroXueJ(OmRN$kdR=;F~jFoeODdo?ZXpw#$9BA7&fPug>#*k*m~Sw8})dB6zNE
z>$c9_zBh6g<q4OB<j$UPyXDRUWwn_dw^{Be3*|n_70&BV_%cQ9x!NtcjXOEF`L4-z
z$`#I>?J(P`NH{$>($CfM>Jzmyau=;aMUx+ggw58teWX-4Wwu0h*G07>W`f%|H~7~0
z9+kAJ7k#1ZATMYoy41bHZ|l#Fi@p`Pi(U&Znf;*YONZC&8@F1ng)H$+$z{qG4)6bQ
zr{$`$k9lBe=QZ~!cB++}*SvFfwJck?PR3Si;!B|!dWA2AR`<WCX?Y$zK`-&8&{VyJ
zzpf-dQPzl6`YN<yx<&1gq{kr*dWlt?L5|ZEYF!q4_teKZeGytXonh~h=c+c#e`(cl
zm1u2P{wHS9XQ4UM7nF3KUGB78YIax7s@EZ{b<3>gbX`<636^hMc`3L}Z(=3q8SfZ7
zr_0J_wyhZ-SGx2k>^-u?J0fmT(W;{>g?yK0TvS!@J!&3$KcwDYRw=G&lGbOT$<sSZ
zI8S=J#4&vm>Yv_FU()G5y<ktvVsDdOEQ`HO;*u_^O6V_qA=Ih2v4Zocx5BO?3%w<*
zT9ye`rS$JuXUpV&Cw3m_BHpHGm%CBwPdjW{`fpr5{y{J<p<5#4#e^g!w|(wk{<7K~
z>U*HfrXTo1s7254g;0~8;0vM7=?o>DN4)>+Jn~TWPt2hYLaozZ)E9Fe^8T>%$UN^C
zJ6+~^^TaWoS7p&Jd@fX`Cs@IG!23_^q4z?y(;w_Ua!>V(-o$dwJ>GX>54{sAoxWiA
zkvpnS^aRT}cX;oKJ@i&6cY4C^Beztq=uIr++~Pea_E64xmz%2VrYmS0hB>_vN}PDi
z%TfMfX~z}U7Comgf-&7cqyx9sbL<jW(Vb!2lFkw8J-hwF9+OU?=So)M4<(t3KM71;
z(ybADB$;!AcTMb}*Fv$g+9i&PeCBr4G-gTfI4dxHS*k+RVV3Y<TSw;x%c7PorOR2a
zt8UX<SlYS9J0bSbE1|IID`vU8R*CS;nboq)Gi4UbGS8S<M_#Gu_%6Dn5~4oQs-E+T
z=N!L7B|=LlE6hFeLd8X0(2DbdXN}w=!$}1~^CrKT!}453!#Al|XqJkRdZQ)Bl~t>C
zgr-lPVZwRZGsMs7l8T19p=GDXOb-t~r6QpTDst+KnL_<)4=p&|Cuf*&x=qfQb7YaH
zfuGYw6(QfGLZPn74kn$>lMPHdohB=obk;jg7BJ~_nCvl!Wr3%H-=p&?eCiL=h1w=-
z%yF6T>o3%@Nacr55<jQy<P*l72d{cQP*mK(?%_FY@{Y~zr}?JJYV5aKFlmEv=K)U!
zzeo8(b(3GrW|8mQ>-j==(uv*7%A7Vr<tlH~0?j#hd7klg$`dM_+%WsdZIwF$!k$}v
zn{tKfvnL14cDbc;L9Nh?bF=3Z-$z!Qn>>4bopOW{Co{}Ga$RMQ+C@{&b)GrCPT4|{
zlMl=~a#dxGTA*pDwrKV;^%d-wR+{-PUAcBr`>x$9jeJ{Q_P-M1Fg7veFK0XGw$*Ct
z(v@1iORYj*g{%mk>z8U3`Z8omaIci%rYDUGQ(uHE46bkV`)WD$dC1)0LO<8cRcDun
zEx$BJt61yIO1<T?Or|~!nHualtE*_$$(2gJs+OToLM8;;`mtuNau2rjdz!JzE!c3@
zs=`&S!G?ZIGgi3->-sIV5M8vA&o?z=l~b_btgH(mP0P4ULLY@REOVOk>U>Ch@Xwj2
z3RWFj`OC-ELUjJh`fol<(^uI9^ZK=>YaLwq&L=f})q#}^^M8H5@-U=6_^0nxbJ6=D
z)xj@)r<#l23n>r2=^JV;dN-sv_@u9>x#*pc{NSCop+BcQ4ap7O=)2Wy>aCE>;Dx@W
zW>as5qz1<>SDKxbqqTA6p?RN-MQ;SR`HR|JO<%Qs<(_4)W_7*RwDYfjTj_N^Bsw_L
zcdDuAwctK~%UQ2pX}SeR+QKg7H3_|{93_A77yNEsWiwl+%gRQ!r7tv{`wx5xd8Mpp
zoAgz1nq1&Z!BzbpwJfic{p1sWbzEAmBJcRC<Dz?qozfS<1^qv2;J5#BoOds=Yx=y>
zy}y3Jmyj3AX7USvio8%Zl5hOkaoXLds^zJ&mb~Ii!6}UE#c#bX{Z=(|Z(Qp7>tDYr
zRqs6(r@!&K_8Yy~{gu*Z?k6z3wNc}H|COnF@4h(w_19Ow{`#nD@3uJowb!*@e?3&S
zcU_#mwxOlLl;(*kmNPutKU$xOe~|cw!OCaRg2QX}#?^=Bhrhmk^jBGK)ozo$u`}=K
z`Q%?;x;^{r+f84}LaTO%++TNPJNH+;%JQ4e5gcXPBsL#y(LKhMV_4OFQu=b())^Of
zCOn$t>GLp&NBr^e$%Tg9M@;nEE+$ExZM~FyP42LngiCXv%$WxXpKiR|^iprx-1d()
zXPzfI@C#RoYwtD4ug~n>X~nbX@D{yimy*JE@7t%6l=H{?Zmis$xJQ2X^)7E;{pGDv
z?QXaGdKb5Ae|gJPyW8%*-udmTKfircvs+_t?CkvM&u&lsS(dmjcJe*F)7w{ndOPV$
zS>V3d$@$?+wtIhi+f}n$;r_bg+qo~@{`%r=QO$0dy|KOb^xUdBZ+G8_J66B0`rvKv
zdvOvT?TIBbjvdyq>REI+#m?>B+pL=1VtZqI@~1D_u6^<L)*oe>`(iupt#i(wzHs~M
z3%6Zgyp{S=##yzSX>V+2zW9Re+#lcm`dlWvFShQU-r?=5KfHbQxlC|hY|XuOw)xZN
zZPz}3+w@1-!^+((_t#nHPoKM8`@>tNn%(vP?&<B{zWV*!N7cK(-P7B<UHkppL)E+A
z-P7B>ef7Jyi>h}&yQjBvyY{=cP1U>a-P7B?ef8V7N!7cr-P7B;UHk1@r|RA3?&)pb
zzWUAEUHf8F@~_{xt@^F3b#H7!{`Kp(pMEVX-5VQ|e_d?4b62N^_WK7jZ|kkyuKoJ$
zp{m{MjJBVCRsVKX)y}ARy=&96FGmNN?v0rlr{|-8ed+Y<uTM99DGIIH84|zl%5?6p
zPpxWq`oyohG`;)FQ>ogWF7fLwOy~ac^jFPJoA`C-rgwjSy68($?!K5=`r*$`xBe`O
z-4`=CPVe;e)t{bD`cf3QFJ`iS_>$?~pPqKr>{N(fcYNoQgO`4tzE&T9<=>`j-^90H
z*xNDbA8Wt@$9hGbFQ1DXt9Ht{?nrsSnf3I=y<NL)3iYQyKK=B?)2uH=hE+SI;@7$A
zi$6ZS^u<%JFGafhV!HIj7fpYC;dJVYr&?c%1gmzk?Tu-QTj!u3{^&I8i>FRCJOAy8
zY1f}VfBNYUPqk`x{@N4MqAxywdUpN!(@UQ}J@vVWcVA3JoZi9dug{%Meg2fIW+y}Z
zy8Y8nzkm9wdgqV0b$h3KzkhnFdgq6@b-SmZe)n`$_0AV@>vm4}e)qJidgp_<b=#+(
ze)}}5dgqO}bz7%<zkTXez4Jocy3Ny1zj>-vy>p$u_|4O%--<f-#w6;C-#GpCYf<Ii
zm{@)B`s=5+el5z}8xyH7e(iMW*P_V1F`@6nUhh8oD=)WdyUE_@nfG*k-d|f<p8fUi
zrZ0J+Rog@Euf0;v{q?R@?RKC0YcG{|f4M7FyWQpf+6(2}U+(^@*=}=x?YZ*q&vzGn
z$;;grJ?nkgv)!#f^J4c!Prj#nx_tGgyOX};1@4QU{61_+dA;|iyInQg74EM+Ue0}K
z_tzJ9i)yyZ?2Ycdr|b4U?D6icFYYGQY!}%Z-Fa{A(Q@yPcb&fE$yROW*&E$@PuGcO
z9@~^{H;(GJ751d+q$r6?Zb}k+e{Dgz_l4b}FYbEPY-iXT-SPg~{PNcy?tc25C%G@W
z^?lg<^6n3JAAQbyTe<zqp8Dv@dutDtbD!U>`Xldd<@Pswq8s0fJ=p#Ad*08z(Y5cz
z?(g3EJ@4h-=*stE_jZ?l&%3!dy7ax+-QA|&^G@!KE_^R`XZP1{c{}$;=e`%ay?g7o
zyp?;SGvABd+FklBZ|2_U)c4oE-c_pJe(av^#&Yd9cbTfU@4KhFzI^rTyN{~2Z@X8o
zyS7~W_1!~N+t=OGU0uHV)zz%ptr78Seb-N07Os7Hb?eKkyS`+t-4``2Zmrk)X|GmK
z{gt(FU)1vT(_XF){gpLwU)19D(_X9={gu_YFKYh!Y0p<*{h3v`FKSBM+Oy%_pRb;(
z*=i8K_H=mmrPZlFvqJYpO^VY!6`uX+YS))6&wWu7>(`4tS^f1#mSfdcxxG<+>!&Ra
zUwv`)(HB><YPO2)jp|uHZBe-P#noGXWNGe;>WEwGynfok@YNSqyS}(8^(Bk5YAe&;
zsLu6b3&OcSUj6ksOLkvWU7YUW@YNr#zWSUcxG$<EZmsS5Y4c?7B(Ul4IKWmX^=idZ
zJA)?sgIsk6Ey_F(>KV8z4zQF(c}$hH%=_T5$(eJaeCQN`pMj~z`(@lDY<MHKL{-ME
zJs8e?ezoe4th<$4-|UHMTrc)u_1EuNKlet}t{1z%dh7SBmwTfs*Nfd-UHU!i=H95%
z^<sBdn|{waxi_kCz1W@AU%zGT+#8j<UhMYjt>3a%?v2V^FLrBn>9?$z^?RdI*I)a3
zRjGRGu{hn0;o5JmGF5Ng7pJ>EeD&+AkE*tAi_={juKoJzp{lLx;&fMsuYPqlt9En5
z{WZS%)0UZQU!L9i^6aiJX>0dIPP@0pD}UOn*;9X|E!-EmJb&8D*`dGEChm(|oImZw
zY|&q7jr$_!=TCb+`|8iM!hMlb?yWg%?p^=+?5Uc~2KU#THqX8^JN0K;=)TBF_jFE~
zXMZ}|^(D=7U*yDmu_v>?{z!AI+AOy>vM+zyV)NA(XCHlWHmhc{*xtyV{Ar8KwJ*-z
z`XfzqUu4I<HO~3d7MicVFx&OTS*b5+oK>5d_C|K*i!Ctc{&@D+=QP=Uk#+ZU4x6w3
zaQ4;bG{O3Pku~?$*yc~0XRdvIw&{<whn1UI?ys@VpElQA`@>nLn$7?2>FhUO{r>Eu
z>doKo>FhPvet-5*_2zf?batDses^|J_2y^ybat9+zdPGhz4_ifo$cnU-=0mX-hAzz
z&Q^2nw`ZNIH=nzwv)O$0o3p$2MW*CmyD?k!TUzVh$b|fB*JnSi|C(01H!>#w+O^qB
zzow<`jf}{@c6D~@*R;^R5o`6uUUzSOdGykkq|~a7274o>$E~?4e)>{(=*y!)wHt%t
zb*_lN{&Li)cB5Ck&L#2eFGq!HH#)`ZTo8Z#`RJ#bjaKnG=ft}&b#MKdl({crroPy-
z?$V!0zEvA_;@6xK_rBEa`ZKBCcVEQBIGvN?+MkX#)ohfD*YVK5wpjf1$D>7Gl1!^M
zO6-m3)xWk#y!+$Ppf5?PRU5_Pb&iU2U+gY@an$Hbl4#XNj=d4haXOCrVGG2yKOSZJ
zlBBpVqCRfTVe!-FyHkH8iSCQ2jng?K-hIA%>+_?RJ|{h`+{hNMW1~OqL3io%qxG9U
zC$a8}XwbiQzx(O;q_2A;YV@z&>t6aj>FM5x3jJ$$yHmd>UELc|qJQm9x9az#qkAI?
z^sn9Se)=tG>)wbQ{cE?nmwroHx;G+2|Ju#&)Ne^s_eNY7?|ySss(Ry*xHTKZx!)Z9
zRkd+X+?sXb-LH?{s@k|EZp|8T?$<|mRc%}ox2As8_f=o_Ce^Mt+Z#UX-fExsqRYO&
zx>UXOS6pP(dab?TtKNscs&4%iH+Nt7viG4ct6hJ^_3jH_^gi@OHS4dq+I`{k-iJP~
zKKe5*uxh>8eeJW~wLb4XRI^_1{%X(nQ=e9c{*3diTCZ_`^~vvAm#S5N#<}hbpYZ<b
z<7(EId#k?0S?;S3@4L6!?Y-#Y@2fuUjrtO2xG%ix-fEZkS07a`{Sl{FwVrQpc-y_z
zPVYq*e$Tp4t@>gw*Oxfeec=uFw2yp$b-udvN8HcK^*r}iANs!Pe6{P3xQ~_VzwHUH
zy0_Zq{nZE6t<U!g)vSNFC%o+5>I2`oKJ5Kfz5dU=)%(78z2AGQdi|Gs^{e-M=X$?)
zSM~Zg_g3%v-t}(ptm^eo?ycVOo$KA+s_ON3?ycVTz3c7XsOt4s?ycVPo$KvhtLpV<
z?ycVR{nf9yiF?D7-%q_!E&464ac_A1`>EHfuYQdy+#4SKe(JUAsbAv~_lAeRpL(@A
z^lQ}CePL_XUtM<H>vHzgms<~giHfaS8x~)$?Y%y9>2<HKTfJ)62E?zveErmyty;Bf
zJ>pkiyzcd7D_8AWhxpa!ub=w7^;OMUi+F9X^;e%|FZ~&nx-V?T`m0Z~O<!)^RI^qq
zUfXlM=+kV|pHZ$=YgOV`pSaHTX=_!@T7|t~{c+mIub;Y@ef7oGq%TpX`@*{8w2xi)
zx|lun#n!0$nzbVF+Aiy_F1&u~LU!njtx8{_c&pa3><w#-TYcm@*M;oXA5niR*Yd?{
z+pnMcFgx@|)Yr<j9P!$A>#xqc?sYzU>hrBqHETcY32Rz^b?)_3=dwkAL^19Qt6x9$
ze)iSxQ6Kk)Rj;3VFMI0usE2#Q%GXc5n;rT+>f+w8;`LMSWQ%^Uk2<(FEPwsf+u2vY
zMQz+0mc4%Jt?a4aq89EAOJ6_rW_IYesMvVzP1m#DY!#|rdnitO!}V9Mw|=TxyDLt6
z-Sw>3TQ60u-4v(2=K8BwTQ^m$U3G8Owe4MB*WUUPmRYshXm99@d#k+jufB>-eYtkk
zm#~%lLRaRCzKSmW6*hBU=+b=Am-W%6zrs5Ag)Yn&eG&cjXISOF(7E}d&!b&muDw;W
z+T{MKGuyd7uiaI%T5WIWwEWPg(W)=kE~;6rc7K&e{?sSYqCdkN_l5T7i!Ryz>SFZM
zA7PeNtEKja_S{=_Y<t$l=%YWvG^<t%?G5eDpZX}e^~GANFJY2ZtGV`uw%l9gm_K#F
z_Ij-g(NlkfF;=Y>xWCFi|LVi&r_a|K)vV^azsfFO^kMYT=WB0$4trU-`qQ4!=6un4
z+r2)l-Ss)_X60(8`&tLKzk0v+Q}ya!_q6tH&w9W1QuXRj_q6tGfAwzdrs~zN?rH7X
zp7n0+r0Ug=?rH7V{_5@8qUzPR?rCk?p7nNZQ1$9d_q4XuZ-4b>tx@&rQ}<TAj&}VP
z*1I<}DL?c^H0!sp+P$H1`JvaNkA4ly-5VN}A9^ji^=nw{-q5i8(5t0U>kn7W^}T+v
zWUkNkgC%pluSf3fTlzX=SKq7Bp!LmFb1%Q15x4w{)spLyd;4CLI<04}ntT3rMcnew
zR&%Z|ESY=ubw-@}XR8_Y*LUtYx8!w1-11LWlh!#`&OQ0sWmn&mQl<6Gm2;23cGz`p
z@oS5?;}=V%V%sm4O0D1g!m8(bV98vU>ydl<9+e8jKEF`P6TAFEDMxJjg;JJS^$Vp!
z>zQ9zbzJ{hJooVHA3OUVmU6AX{M@SL`orS6w%0Ef&$YQOxrcAwYmT_*=Stty$Ebg>
zYPkM$ci;WeU+WH+&)xg_MXdUJtBUJ8clX^beYMWHeD2QICt}s#SruHb+}(G(^wzq=
zWplT_UJ<MQ)+*z=<?g<lrKi>v@8!E$dTgC?+1w4U8)Bcowu-y{au?tA(rxP&m(E@D
zIwAJ?E32^UD`)w=E{RyaIn!#^vdNiNGnN%+)?2N<ywPN?*X6*uey>UbmKR&eUVb^n
zuf4=-@nyleelJQKmVdUCJ^!-Auf5o6%CgOtvS(kW_^}sTO}{+TME3N{5I^@zB^t|(
zE$4b%o;b(vNr}Sp&la*Cmn%(VkH55-<+u2y!7RVWC1Sqqg;rh5CTCc6Uk;qZx9FwJ
ztiFXWMP~8UFMP=}t8c+emRWv}N*H|E3$5yx6<f&KUpAcM_ps!bPkVt?&9cquR#nR;
zr&~2&K4>g^@a3JEeRE&3_^}sQ)nEQN+wXqKk7b+9W%s^(;>(_IRepKnY`?oDFP0gb
z%kF%6#FstKD*tlfY`@zjH<oQSlim7qi7$JuRr+Pa*?u=m)?KbwoPF*_$&qEkX0jVz
z*7zRJwu-&{aMrnNC0mwFHkDoTGRF6KmR0Ea%v!$J#b&ndmy3;T*)JFC*`|NBoHj4`
zrRA#gp0#|hiv8v%|C)2@`2@T4FP4kWbJp^`D7Ksb_~)E+&kO9@FBO~3U;J~<ndb?1
z&p%mCo|pV{&Z*}fReVp2)#ld=zqFimzO#n!NwM5~=9iZJ^B(_@aX+8=MaJ!XX3e=p
z&kgL{FBS{grhl~TI`8;pj`MlLFLRvED}I^dcwX?!9EbCsHGB)6E7(0hU(7fE@pH?z
z^O`k&^PdaYwVyBkX5)Uo_>)cg2g{0i%0K2<pWpa-&VlC)cF*5i)}4P@&3C`}+r0Y4
z-{<Uk{=oM6JIk{3E35hL7C)P({C&=j=Lc+`zqQOepIOa!yZG9?#oy*^dA`8*`5Vi$
z^P1J?zFMZ7m#pr)QG93~^S3$cpI6u}e{C6a{$^F*wc<_ln!nCj{XD{Ud6wnc(}}U?
zmOagg@>}*aA?n=Hr)%_%Un<hlbuYDCdD=1d+{>bRpXtH7WG+4J(Q_}cTzHx>_T2L#
zo9UN#%A9)|5OwZZk;(MQJ7vy1jnPZLRHQRKc&Ci#>4h=po)jtRx))haJlz;`?s1WV
z?(>U9GSeStSoTgkoMG8}I&zE5v8NhQev6*!=(!hKc1$bIuxy(aoMG8A%{ardX_|0`
zW#?(eEiy-*{;7{V_ps=X&hY}v*3&OH%N%<8A@bb3r!OM?<~`-nV?STSGQBw6vTU00
z4w(Z_|L7jix2!$=F#6oRqA$}XZ<pEg^p5WFJj>G43!~57DS9$Zc)QGwr+ajd=UV2T
zPK-WxtLVzK$=hVMJe{L^JjXKi^iI9@9Lwa>g3*3AiVjTsyj5oX(~^4K_H4`O(-))s
zt`%*VR=iba_0tg9<zFq<9KTs2<9qyMiHy(joh5UwK8~>RTl#o~ocdRb6~`@Y`(74$
z^*dM1x%jw4PW_9;g5y7J`kohB^&hU3@j8CfrtevyQGavgoYRketooi7YV|9>w3u>y
zrj6f{#~yO(pDZREue9M?^4P|zul{kNjO_A@g%YyMKU#G69j=gZJ?>dD=jdY%IrfW%
ze6q(c6mrS7Unpdgb-z%^B&+_>qM@(3VvhZBOPjv=k0s=mf3T?SbFPrFJASiR#`gHh
z;yDK&Kd|h3Q20*f__;!+e&&if`yc<1UH;yp=J-wPzI%nA`kKq<?0$ShcKJJtlH)V$
zt^4j2KI&sGpR@h(4%y{zEpm=WTKC;5ywulRHfQtW8M4dYSX_TRK{ox3MbdFjYrY$W
z`}!W2&RO@kKsNogMbz<=R(#hA*Yzcr&RO+v3txMw#q74lnHDqKnlmk?w{5nP@jkrL
zMCR(lC4B6q7RwJC%KE)5@Mt%-lDYV>g^#_&Vt)PMk1~GG3oP25Gc9Hxz9{4OtiYhX
z*m92N;f*qWPYYCd+lwqFAMP}fIr-3qkG;sE|8S$p9QVV8GJT65nn>|2erUp%ez8EJ
zeQ}0GXWM2AnWGOCq|PmTC?Vyy@S%Xzxdjh7r2G~<RN-U4P$1C$Io+cD@JC~r!w*IH
zmY*-+Xg{1@Z&BU0INhSM&DcW5=CGj5xw#K-Ncue}U}*nrF0=pP7vA=Ki|WG{rTy*|
zd}u2+m)ZUB3U7O!Me*T@(tdXe9<+TnliB`o3vYX_MfTx9X}?<q7ut%=WHvvX!u#Ax
zX4AtSUiTb}#KVlz=dKs*X}fGHv+iLIuY0yd<l%!-=dKp4X{!(ZI{VuF4gb<E=bQXh
zyPR+E_t~ZVu=?be=2Pny|C)W}{(^tczL+oDulbkvWxiXz@~_z!?l=5<_St;i{+EAv
zpXY1*P5W#<YyZhVywCFW>WyETPusupPw$fZ0sqoInNO@!{yF=^eTRR~KAKOc`~1SZ
zZ-3#J*>3w2zsx>%U*{L^<9w0&ziJor#p)OTkapQ``9<1!zvdTdr~Q&&q#gHjewlsb
zKEp5GNBRH$Jo{i?SC{-__M!V<e)2xd|M4g7eEyd|YUlIW>YJaNH|^i~S=xF(=O5k&
z`Ty!3f1kbY{)fM5@6D_BpZv{xFaKR#^7q-h?qB$u_RhR$f9G%BJNftO9)Fv??f!<p
z^=WU-v-W%b=Dn4Ft}gl8>`nJ$>YcyM-gv*`ui6{)g#AB%^<K~4Rd@L7?6vnZ{;Gj?
zFzx)+do_Pm+2vi**Y4)r>Rom><reR<yD_)UzRJ^iyX;b4NcrSl(pT=zd3UVDeCck*
zyJuhIxs(g<lD=@a=H0Pk^SQep-r-$(H|L#OvH8s1^#|{qeVV8C_E?em)ZG)eNT0gv
z^G@wjo=Um!PU#bOZQik6%9DG0tkAr#>~e;APub-ivt4&5-sxR*SLN2(M|mRU%^BwH
zWyu-lt!2&`=FMfw8Rm^;lXplv>~_3!cK%(NcgN1>@stPem~FRP@ec3&yKipx&b#~M
z=Gg~%AKthXn3tE;C#Rb??q<Am_I}=<vdi10_uYN-)-B(>a`(a8XYb~{DGS~%z3c9o
zw{Cgng}WPXpS_)Tr|j}J>1}t{ymiYp&)n^J`|QoUGiAZsX1~rmQD(eNdgI-ew`@7)
z@w-3X^1Yt7qipk5>9u!L-m+zzhwt8a%lB$-RQ}<r*}mH^mdy6qez0VAz4!LWy}e6s
zhwSQol^c}bTs8ah?HTu$eKA|IU2<>li(IFC=BnA}Z&%z~_StOC_Jt+0&)&|sr}o)w
z#`c|i&Mvtfac|itvq^c*m9tOYcG=bYBv&b)xpMaL+YY<VF1~GX@7Tp$sk?0#bEWb(
zzcB0B9#}HlWqahF-bc9tcb{Fz<+;1;LVYgB-Lwn2EO*r|<O=08zcB0A{<C=Y;oCoU
z_CCzz%D?>FtY!Pd;@P&_FBZ?X*)F+<ciwG|d(Y10zPY3J!K`8X&)vQEbARO>E}y;k
z_KUk}@69T<@7&#cH}_SZbNTF@w@=(vduLX#y>fT&?c7^=hs$Pfy}jbD+FP@X?UuWH
zZ|0uLE3V(mdo%Y~o^sjj4YwQaK6`BzxBcZV-s`#B@)noQUUNI)?z2~BVcS>E@_n5X
zk-j<8Y*yOjOtTqj#hGTSH*YkV?X@{@uJ5ayfb?Q3>B~2#oNFsFTfA9tuJ4N+hxE^u
z(&ulMoNFsKo07KKQu^%8lyhvwX45y%G?6}iGvu7xr5ufP<9f^49-Al5@qLn`kp9_1
z+GBI2iS+TC7PEX8-!z!z`#4AJY+IpOSK8zZv+m7-b9fisl$q7L@TSNt-i0@LX7w((
z$ui6LQ4YgdwnDS|v|<Zs`^|=Pd>`igI@4BQR+F|l-K;8Ya=Kab=7Yx42XEe)**o_p
z%Q?0Jv--^+XZzmI`H{A{-duX`%_nEs^3BROZ=CIWH|Ir~vAOiln@7&F<(cJgE}ZRq
zJLg8)W;5xnH<z4c%QZ{iY&hHZX3o0JinGt&$T^ZGY$m<oX3g1S*=DhuAI>^^EoV#G
zWK-!iH)GBo%Q6dHpIOWMI@@fo+vRMdy=<4W_4cNHHJuh0{L*yQde2(kSJ{5`@yWkt
zUAjJDU)mSbMe8|hd0%AP#XtTz>)iE%eQlSr&EgmToOR}U!oFvpOee=B|D1K|x<?i7
z(`>bP;g_b9)_2zMKFOAgXMSngANTl&l>7S3FH&ynGi%N+x^A$~?P9jj-n5UVUF#jc
z%yM3D_+^&Udc`lZ9M=nendPwFvxaxUb%lNP&(3G_#Xo*-+O}S^#&`a8fqiY~v%l?e
zJD>e&Pud65ia6yTv#i%|{5<Qxb%uS<-ka8~e_73YKl@wU;_tKeTz|0l**nv+^((7+
z?`A)XQ~o|{$MpkypS?BBTc26YdprAD+~RMuwp?GZ_t_iMwDp?RXTO@Jte33ry^(z=
zj``cH_17!*)-QW)8ngapRqwUzO>xa%XRW>-v3FUP>Dtwav1gZE&4}_{b~PdD?9!`i
z)*ZW)rM1?r)O6))$JnzkvwXsXcS&8k+Oy8B#B||m#@MsZvuwgI@02=sH6ZHjvn-SF
z$vdUaT#Z?mb}36IJb0&+=jw$qXP;y#t#vChow&L&=IrAvg|*Kv)@R9tKh7}i4Lh7+
z+PgY(i`22J8d1KBuIj9FD>Us0E6y-&3k%LLZ3#2ZFl`DG&M@s<&A3JC$kjiQXCG$$
zS#zwwv~~5%%~FT1euz9f@9K+4-+5Pg*0G(>VhJx!H!TYj-XV41>Yug8@=a@3Ka4(m
zFY8O#<n2;>uHIRDEYGxb^}^`-vv;zdgb8n#+HrNy+GDw<xvLYS&)&+q5;l38)RwDr
z)*j0-O<lcnU0aT6@@m0o-y2y6!ai@6T7R`<ZCkc!^y-UIzSpuggcWa<T75NS?y|2Y
zYnI<Ek@8)BvP8;f`OcDAS1(6c`7XV@VxHPplNHM?ZF^s4digt7&ANEGW1iX<lLgD`
zf7<ju&$RMCTq)(X{H9IsvrHrZ=E_;8FZ)>aKF!qfSAJ<SW%*1S-zAql=Ba%$nXtUl
zhIh$j8>`;OnKE;iUCfl2yX>P$x8LCkDc9wmC9{rR)|kh3F_UlZu?v}8bK5Rtvdwk7
zkjXSx?W0M9UvtGQ`{kB4z4I?i%v<)sq}I>5LaN?w`ORV}+vO*VXC1u!z_Ry2=DRt^
z&Sf(BGgr*ofBDDUW$#UDmfy7Qy_fmPuep5I?#nmkE_-KEvV5j>@14v?e$3^wwqM>c
zciCH$oaK?$y|*$i`8Ai#+I)G&++}Y}u3w%oH|>o{(sE8~-W!?w{2rIiT6ei%ZrW>;
zsO2ZEc&}xy^GmKTowe%XmcF)9li6;IGfif?HD{VkciU_w<-K^NiPY7LOZwPKO_nb<
zl=Xd?;o)v<C3W#)OCMW_$^6A1WqhA!Shzc9n#^8&QO5UKhJkyr<t)#|8)bZ-W~lVG
z6`4$4+-V|p@}f&0Taiis;zpBM?u!d$dKX_bk>Xu^(WEc!Vupl!{o)LhPPfe#Qb#W;
zNS$4HQ9{ah;Y9(dvkNYANck?fsM5!FAw$6ZbGk|U;*Z8shcAlsEjypV;eI&Xq}pw9
zx=E#*v4xb)VnLa+b1&YI^nH-Q;QrZMYX8MAy>0m>)r&7m``*j=;8tudwfo|g-nKlG
z;>8oCeeYyEaQkc~wf*9j-nRN&lkCNT(!RGcF1Qt&No~G3rT3YY)TWC)y>2-siHjMf
z&tA{i<969pYTd=0Ubk$M$i)Yx&R)$};}-mN=C$)1>e4Q!o7AdZPB*B1b}2o~KKZ5b
zRJ+B$W?nhJpzhfh<7M+T|MI*{ce7XiHS@yxhPr2;jpxmO`G@Cux<+l<XX9D(PuBn8
zd6uqcZ~W4D+WeJ&dX}6Is7w1~Jkd`1=gbr59qOKaG@fAh`Gs-c{K79Y-R38LnR)EI
z&M%(F=_0ji7t_V;7ypoSnQ!?;(s{n-7fGl2l3yep=W~9UdE`99FP=x~|7xCnFs`#p
z{xS2=`7b|t9;W}ONjsnZrAF<1I-7m-bK|D@JL^A7TF>YF!}B2hpWWl{GxweUP@DGN
zxN82%-#quy-`OR9pSkP&h1#@t#zpfxfAic)zi0RO+stj}H`J!RHO`vv`J3lf`Z>Gg
zZ!<TYkFj_DHgn_oj#{-h#tHL({_44&zRT|L*O_b2XVj{_HjbFT^H<N+^i^h;cS&A5
zn{%sY+1Zp^Jj?3O#@ssdDorPM*`>4)^U1p;ubiEecdW#C>1@TjXI`Yam<#Wcyl}QA
z?^v<%+}RKB@GL!>ljl}!JahKJJ7=DzspTFkGM+kn;ugtMXMOV2E~TlM3-6RXan>e}
z?NXXt?y*ASKC{ai#yw`2cg%F1op`5b(OH#SXC9@Am^Wt_x0@wr7}vL&IcFF*n<-})
zH=0e}A?YyN@y?m~XJzt^oloO258g4;Znokbp803r-0Ycm_Q}mN57Iv5xD^<en<b|k
zH_m3fbLM{9AG6EbCHI|ulk1jmTsiyT?K5}N-k1e%m)v#sOs-p=apCO7+h=a4-7&ko
zO>*1WHMwrN#+kDnZ=boDcE&7tTm8(}X(!B#w@Ge1+mg$cV;n#G<1L@-X*<j|Z<Sno
zHYJxW+c<po##=sDQ={|`SIzXDez9bx&-8;OGrgxr?(JE6I%HSRtJEO<=Bk;OPtS;3
z_Qhz)bjiIvFH)WKnX6`=KV1>G?6c9F=?hC{o;{rrr}o)s#`K+g&MY|{5x4A<(Ih?R
z%KDinPrK~ud6KH6&s;h4_-Th-XBMBfh&y&MRVudaVycw><`+gi(*sLpx=fGU)AJ}*
zAokgXRG!#n7g9N5(=Md4#Hw9L71C#ZVbn4GXYtI#r+@71d6>$jfBCsl%k+oEGi|3|
zES_mIU2+f4ywe<U&(5X3iBbDt)G+<$?w<Rpzw{25*U#L0`bDhTd!vf!J9qcoO?{>3
zTt0K>=@YSP?~DqjSMKh)oq9{}aM{eQr&q+Py*0|1Zn?YXX6h-u;=MdKQ;+E>m(AR8
zx*_)2Yooa7FL&`=Pu-@sxOC>4(+RQ9UKxc=UpdR?bxMT#=1ikmYLhdKW~dcs8m*qZ
z(PXCA<iNQ;uTlck>x-=<FQ1&^*H&V*c(UMJpBE_(>Ypto&z~&uYb!RIqPE#m^6beJ
zKel3{>62%gNS;0!;^%fLMMK@#a;C@RiF16Oq$sF=wvhCgTxlYC{G`P!pT#E)X8Al$
z5%X;;H0n~DoMF^GIdBfoqLVVSdKR7(nZ>j4B+sm#1t(c%`8-Nt@MWtnG^$rCwve=+
zY&gf~VahL`wgRIXwaw{9Rce#djhZJPG?qMg^3KejxhGlt*b0p5Cx4vnb3f&W+Gcaf
zy(gdevgI3<Pu@7&=WfaiHDhzhohOg@vgH}&PcEG8b35gR+GaD!ttXfGvgI13Pd1$G
zb2DY#WX0KMZloMh6E>6FaI(htSberp?Bs{D&Rk2`qBhx7a?QyY-(y)uq5YY)Jg<|@
zY~3y=8`-j5PS&$c`)W8%F8HP4s(#N}o>$3!^2xtuTsl6%F71oqqJGX=o)^h>@{fPc
zICs3juI*B?nf&6PGtL}OuzU8&aI#$T&l#tVdsOi}O;(c^erY(Vzq5wtNwS<g^Gn0}
ze!0g#B;5Nmzeu?CXV#oqblkws?P9W!ZQ4h}u71ZaGo1SkzszvzSNt-=v0w1Z42OQt
z8lDBm73`j!Pv(<<{M@juU$e$%{&4}jw)4r~Y~0Q#f3ivYU|1oi{9}f7|HjWV4jgB&
zd-mS2uK#5<&;8_Ya*Myu*mL}W?X!1=W&JCwdFt;bKa*4bK4Zu61GdlJ8s_z9R`c9W
zz9zT$+l(#87uY^~W0=;jS$*cKVM@Pbb<d6DLvqaDW~@J6VY}?LVNCzcs-A1fo8+3m
z&RBgs!gg7f;o9!R*fYzHW<>ccJDL!6X6ey2ddDs$Y3aI^8m{bij6L%*$wxeRm&B!`
zJ$h~>h6}qH>toM6PqGoeyi?-b(SWEk&yq~UC-0Ovb2LUT?NXACc<@dM&+dgWXPzV}
z>ADpePV8=sIrBJ4LHF6kBpLC?8HT-LhcgU&yCb(q96PEJ<+JFhj-FegVTV|8hGCmn
zaE4)vm~n<-lbCRZVP`kv7KtNA|3sd7nDj^ISb<?{_sh)^hw6`hh&(gz=!;07c}IEl
z*v==hh!>|DmWc`PkT`JkkM6O2!`kkL(Pu#0`6h3d*mLxb?y)?>((Z-PXYM3D5fk1n
zvE%3--DA0ix!sA;XKp235u3bCV$0Dvy2o-1Q@eNSwdEKlcMC@Q+(<eg_Iazs`lBVf
zZP|v=-4~;Lt|e^{E8bc!vHEC;?6R*0Yuay?Ncgs&ERpbO-&r!_>fs10pQVRa$f<ob
zSkZ22+w(Hfi{H6w#>K-Oa%x`;7PSAg>3N=L#ecX`!mItJP0zDLBmU;f8K)2XSoJ(j
z)Z$luX)vXIrj5^%!ya;KpA06nSK9C_Ic#Iq^EgpPcG<;53E5>I4Z8Ub*H=imwtJS$
zIC@w^j_qP1pX{*<iCnU67ZTZI-7X|D$*O%cXy9wEm|@>;Y11?Ru!P*Q4+gb-&J_}N
z?Kg`hY}-#3&p3Gafo0Ew#CI~s&LuMOGgr*mfB1*&viAlx?KiD^?j?TWYc8L$`|u6f
zW$z41+Gkq#+(~@I$6P*R`{5n3%ih)-<g`ax_uNXn#MfLlWAot|vdi8WTt7TPHtmf;
zQah(L&yB==e2+_KtUFvFoA%lus{JHrm-ITm<kA_d4sPLVD>azSwm8#ZCR=l+!F0CG
zRubOLD@`P>9$do5R%)=k*-+N!Wr7F0v6aNdgDre)B?j}GKg#$#Pq1Kj&NP_ad{L&}
z=UIXQd$HvV&*qIXK2H-=c-x8$CO3DQNSr+A!pBx*(BIr>GQ+*OP^M?`K@%yS#RpCJ
z(k>=QurJOq=w#b$A#wDeg4CIX2PLF@79JFkI<w#)hm_BPgDQM%7ZL>6Kc^eCH-9vi
zIDAlqZ`t_-4)(+82Gwkf(+w)wj4dQ=ngwO*&&)k|L(=C#0t5SJbBX;2zwox@8&o%6
zl=iuo@PVz^Tw?dZE4*!a2F1-2rG4%sJYf55Cb9kC7T&g8gY4!&X`fpO7ubr;BsL$M
z!u!lhV$;DMUbh^B#AZh6GuIRLuw6ElSa&do*Dc#1viYFYnX3tF*n+=KzqWtFztqd|
zCVy2g$JZPDeR?TA?0?cr{i**J{hEGd|AK!{zvwTk*Zj-<GT!aK(y!?k_BZ@{`dNQo
z{mVbx&*L@zrhe9+Re$mi_p^At|3)wMr`50g)4gPWz`xW_`V;>t{hWSczr(+$AN42v
z`}9J;ufFihbhrA%FVm0h*ZIZ$I9}wh>cx1m|BHTzyVP5L5qGYy*Zd;xR4@5O+_9eX
z%k(4r8Gdm;ivRcL=?DF~e@Q>4AKL%rC-=knAAeHM$A9^wdOn`*f75gQruv<q#jWc(
z|8PHu|M&0F_v!ogfB2jFUcajT<ZteK@$dd6eV@K-|AoJ)@AQl6JAZTEiNE*n(YNW_
z_HX!``c^-y-t#y2t@v~QlD<u^-?TsGztgwr8~1noRehtMQ2+B+_x1Q){|<efzIK1c
zU)9(85%oKNbzhBN_3hFw@oRf?Zgnr)n{tbL+1{92r(ebCyj^-JF68^9UE){v&UtsV
zM1N_u;@#6P;#|H9?GnGRx8~i^V*R<*5ASd<-JA2ywOD^<^}#!*pT?=ZJzAtcwR++f
z@%mGHecq{Fic|S6v{U@VUYmEUm*V8!9xc@G`*tZqzvtVf9n)Q_6Yq2{+N*Nw^rJYD
z?@bx{?cb6z^jp6<W#~75Q_9e9{5ENaxI?w$ozwI8%Dg*zK91*m(2nVL)rxnx=kI-U
zvwPm&CpS+&i2LxywLri8TT;4yV>RQQ)A!^4e7m$=ynf%_H*a0@^((6n-adUd?#;KL
z?c%%ko_Xt<r(amzc>DD2xI5o2Z4=+Ncg<VZT>Z>y$J?iG#+~^Vv~Bw9xD(%uwux`t
z+wzt*M?b#$<1O#&aXY?k+A6+wZ^~QNZ2j=+jkmn7#zwtAR5jhV{9?&;pYnqx)4j_h
z_jWJc9kQ$YRcz4vruwSsmv_&&xAcqNl5)wt-7jLD-ZNEAKfk-;-qO!{bIKQ%Oh3Cj
z<DTkgy&2^@_ncm`JL2BbPkNKyIaN+Sx!Yw|_mfzq_e_=3kMDNab$an`i+e{e#!B67
zy%;O?e$xxRp7Oww=`Q7wd%7RR3fz5qA(rRv(hIR1cT+FKvfNd@5G(Ya=|#O>NBPg<
z>4$g!*xCIsmh1hc=Xx#W4~wVUmR~HMZc{F~hkM>`j(bnf#lE?t`a!Ru{O9iO`?0^?
z9V(x`clV3Cs_*qG%6IPWz8m}Mom2Vrox4xmReh&dP+qya`*!TDcZbTRZ{5A(uIgL8
zjB?A}-8W-Ty(`+weKYphJEgMe8+JF`efqjyFRuLMF7E5G+ukiIoxWyw!riB@^uo$l
z&hma86Y+dgrrxY)lQQ*YJS)o7TV1@-WV%;z;9T!lF#*quti&(xoN}(UL~n7i;9Tz)
zF%Hi^S&E<ES#qwmSZ~U+O_t(kccz?UE!LY}Jkvz{^v;lTu9spoo*P+C_b8q?$NNc)
z!t+lS;vV(Il_uiHcUsKyUcA#_miOZrv9qm(dR@;ZW$1Mm2hQPMv{PnQ_rjebv$z-T
z<eAmIU?<Bg??*8VXITsN>Yo)^h}#z%&hdU2^Xp7&fnLqCP3d}7&nBhoH5VT=7C*T2
z&dlz)J6X=L7U<O%f1K@oKjz1?P3GczcRo4Gny*)0ym7Yo-TIgp&y38)ckVoLmNic=
zzqoL=_wAS)&o-HfZ{4}%ENiY_da>bb@0&5}iWO&{z7ccenUI<IhMhHMk7nz|7C)SI
z`dZAEXOm3D*X)crdo)WgG(WSJ`*pP0Uf0XfMtfN=N9*lP{i-|dUeHV3Rr#K^+^?ej
z?kD}4c4_;BeW_n`7v*!-)^opzw!8o6=d^R%3-+~MiZ;8y=;yRE+Y|OZ{UmE&B%%3X
zNozpI1XULn8^Ie!FLkHoudL}_vOQp5>L=Za_mnh~yq`oX-2e1L%p<?@i`a2p-6=D4
zw^>wqFWzoY<^4EXY;WsF-L89+Ug&n`2i9;e+AdSoy>Poo75BpJJXPHbwzE{#dq0Y1
z*vtA+xBgzy4>9|E!y500(ZBYze$cJCx9PcV)xAm2b(`}Keil2p{Z3`~-0du&+b!$!
zKURC+kN$CQ(|57G+n?-ZeXm=dzp>i;ZuE<LM&HGDZa=b@^_^~heqpuu?dTi#HhmM@
zx_!xB*0;Lp`G(crH>20(D^{Pr5q;#I(6@TA4clw>9(}DFoBy!t^tI?M_a+@(P{e&L
zdfmOGuhUjZPC0j=gl$Gt)b&HVruk-H+%nB4`{0&o-r13{-AlKIM0LN43cB93Yue?l
zGuACF(Or@)8Qc9L%IP}Ou4(7DR;*iEtUD)r;g)G<w`QzUEoPe%WpKS{=QPjkjWOO&
zc|5jyL~++YjZ)j{64m`AO6fY&&S}TDIz*jbywzge(Th=1Yg;cyNnPKRq1%%kxMiA4
zc4SQVqbPy3PcKCAtX+B`ieqi+g(#M_su!Y!t}|umc4YtDJnit-ACcV;qqweLO4n`4
zez<v>ZT7{@(`>ROW4PyS<yiOhT-2L2ss*|Y**~MZ???T*cBp>)w7pwjtX0j|t;pUP
z-F-Le)itN>({^q>u~s!tw;;PRy8Cw2t!sz2P20M4#ah)|-HdF@=<b_Qr>+&na^H+P
zc1>y9v<+Ju);`VFjmv%+#eF?$+qFeor>)tVu=Z(|Zdmq8EAQ8l5$89()R}c|(o3Bg
z=ZaqHtWMupGR-SJ(AN7^WI+A-qAIb=o2Sfc{i3rtUC`G1MWn;|PnBZlH<!$7{j4+P
z+@?ygvzt@qv3}N>o<6fg?DXc4d9IftHO?DVPV-2gXyg4PQsMlk3NerL$`Y~Tn=P!o
z7jHJO@_rmCHn;VoPS?3fFLb)o18uk$ZI-d>UbtDrihJQ^9;@yJn^~;9A4M|Et!MqH
zQ-7|gLd-th(8l{=<gYocA9QNYZF;U#b#Bsgo#ym|#bO6H-?8kTyP0Jk>j$0s^pDow
z_alFt+f**Lck`3EtnYQo(>Gds-;I26&Zt~$=jJ1GS>Ng8rx#j#-;TU-Zc~}q*3C=i
zvcA<xPdBvoz8SeLUD5jVjmRVCgv!J=Y_6GGfAqCZZ2Cj1)7K)moSRfCwq|q8+@r5_
zLX$IPxnD<^^}1e;FzRK!9HG~nTB<YcSWu?Us$@@D?pG0h$CIq4UD`OIFSSHxQ8K42
z_lpR-<Bu$-o!eN@*Lo?!?D!(fX=gSj^gS)onS3nCa@whl9#Y&-Bh-!yW$H{y?v&wv
z5+Qe-DYIUu|JWl7G56$56EU~sOqtV*HX8J~UW^dxO)b>vN_I4v=A3M3GR-Mj(PWxq
zvY^Q{hh$F~?gbka`ktPT;5+^(U8gNsQ^tG#MuEQ8^AX>AT+c^*>PaoosW_%&G0i%8
zqw%x@8yWhZ=IhiYzm(>_AMx$jBJ*i`Ha_Tm`cBw&${&ULpcId$<?3#V{{De63nO-|
z+cIm~q&Ul?kG_gtUB6-D>YL#j+N_FBHecG0`mM{&)p?txb}Y5^(`&;^cNVYSY+KY_
z&>R|c_{f?Gy`dM6M0#FSa_f_`b#v2l`4F<;OIOy5aEJ9vvNr8GbLYt)ujk7(R;pZC
zw_c`hsQ~|?g*HtBKY!Mrn(ygp*HTm7P*)$n^Yhf5>o43~yRg>v?HldP`0xYkDk4vA
znPtK5c_Dd;lJ@fOHCnMvR$^9Lu6;{e(WRCC?76_jd_%$cc3tHk3L<w#eY_>L*{Mu4
z)OU8&+K9wZN87Deo_j5LsF<`!!KqC^@R`G;l=eyL7E@#@v<kYG_T=a!)h9DbdtVJV
zs%^b`DV$~hCHMZsN8wVkn(CQlbx%KQFJ2z+`PXad`i8#LY@Nt(mc*66xfZV1ko(oO
zXg$NDx3y3CxbH?hJEmklZO8fpy{vgU`N@US-nS!e9NT0jwsqr@Ue;Wl^yrBxGk2b>
zJo>8Z^l7gJm#wRGHonW;JL%|&iwmyS`-E*-RnXO%nzXKK(yUc}Y@dWzzQ{Z0tLvW-
zfAjKW1}B}gWKHSQr8+6elG5EbA`Ts6GMl!3V@2=MY@L|on^N7^A~qdsGM%=1V?^)L
zSK4di6aSuCwm##R*Ru5qzfLV(zozc!rEslU*RR?u;~oE=dKvDsKj@d}rS&~^u3xkl
z#xvIcJ@q`?X8)z1qUY8J{5th4++_cxpQ2~h$JC`>3fI{m^i$L`e&L@}Pr{XIT|a40
zjBosN>T$S2?bD0lGW#FB(C*!L=!JG~eB>9=W9v13c`aJ6Q|J0oyJKI`3+=XjK`*ph
z_8Gm<ZrUgGLc24b@r&q@^?!bzdKmtv=I96Q*7%p7MGw`l|M2tFy!9`Bdd*wUQ^$Hf
zoMnH}bM3NyLO(<gtp8Jc^u2a%{KMa;?uCEZH|e|Rp7nQXkG|6`jbHft)Sd7r`-HxW
z?pVL4_UK#f-1x-br*4H`**EE%=$7?!YLC9rPL1DL*ZM{~IbQI$*NyN4`#yaYUBAAh
zw)M4kbo|9%Uf04m>?`_OFS>etNbb^7?KROiw}|>ipWGtq6TNfG)T?VFZh0+TyCP4u
zRC`6V<=w8AVP4yvc1^vwwgYsj)q?1sce<X3S#3YGQ`9T^=AEu*VMg1Vc1}IL*5_8&
z(=e^=N}1YIqG#UmTC&z7Pqj#ULUiRFt|e=2Zgo8llgV9rF-#(NX`y!awnOzhL|vmj
zw@f{{RwIw~Vi;fU(F<W*xvdw%*m7MjgfZo+7HT(aYuYi@KHBn5*Zj2-c}oklYqvS=
z5VecGxmnaU`sC)R2iHEh+4UgoUCz;SVNBbZc1+#B_DAm0eC?X(o432}g?-xAw0-LC
zwKsB?=4qEi&%E7rC+yKSrtMR=uicTmG`C(mCpz+W*R8Ni+nTmb-Mn^2?$R9X>uV?E
zrsilTMRVTfx)HW-+oP>h*R3tcP0iMhiavRZ>sr{lZAn|Fu3Eh%uJx<d>~)J?YRz2N
z^ipg3x=mH0-r*}tM6a%1636;gYk9cgUayy-9_x*&L@%yxiDUhuH9!309<S%27VDi}
zYRwM6xToIhS*XGKqROeB;T!jOJq=ZfZT+M*IlQw(^yF%nIMz>E{o#!zQ{BT0_jD~@
zZL*7N@oJN})Qh1K>leMy>Rh*}LiFfrg<Yo>u9n#4wQ#k-u2TzEbL{e3uv#UK^+Kq?
z`cKcb+QUB<iymGr61VhxD98Fk&$X)8Eqbn1xz4CU)FxbTPyMO6t8eV|dJxL6{!_W=
z{?%V%Ti<I{hhN<7buaY8x}tK?-K(#}w!YIU4xhN&>rUu{b)U*ax3At3+xk{3J3Mf=
z*R9YC>x#-mH?N+;DfuDA;S9G=H`g-X&~;wE)dD{!F5$W|xmWA@>It!_Z?uxaId^m2
z2;H~tQR&oms|#XNUu#8$*Pq<QbuDz=x}?&nt5$CDYc189y=+mY*34y1nOf7AZL$*e
z4qj;@dUfRzKh{#M<-vw?y<UcREH|<ey%@aYK;V;h0Ve+}fz={E7e3%*xHIMQ@*Y3c
z60HTnKj(Bk53yQ)$g}lQu+{QSmZE1@rueZIYfYcv-#O>h5;y<CBR{6NcKZj`tGu|7
zxL`TMre^gGA2i!)>--;np0a=2@+)_b+8%wYS(KlZnU<XD&nW!+)2yziAzI6oGPR}z
z&z$46WTl7R(;}^j!HsiHJq}UueR?rOX8EHGt=?saGPHVwBTYn)t<;$1wP>Y|pKGC3
z$C3?tPm49DdOwWmTC&uKDYonB(xnMeUd!s2dg!SNO?3`7G@0t;&1fR(6vF1~dLe|#
zSG7>9VOf*KRQq7dIbHKtO86};(5hYLWFczjof+e`U@1q`sRc__{8G<{eDP5|AHuf0
zDP60{TXD-2C-0xeQ?0!Vw}>2HDx>%GVvxY{q;##uV8%J8?uYz&wd|6)=)RS2d|mUk
zDuWN!cPmL{fsVg0+8o+-HPB?SO;GdZEu7xn-aR^})RG=4y1E@d<`#5qQN^ytX-<>o
zEGaS<-M#XPZ)=`baqz_1UUxzsEc;|8x_#vq-_~5M?BKxJUbjLnEGse--Mn&&?^7$$
zO)GnRUEgR_b8!C+;52p)Ud&`Rb^Xc;-=*1FF~K)y)puPB*|e<5bn5Dr5xz@bX|DB8
zoV)3z=B#;>UTV&mSM*YIwg1L1Q@s2GYrS51EL&jr^o!;)f6ZF1m%(oHm3~dRu)M+U
z>1WM({x55|o(F5#rhe9(<$tn<>shege503|)BIP~bS+sPV3+zybK*RupHogOcd&c<
zQFFq)PcJn4{0qNKsdw{F{4(X(a-Ax!$H5}DsuzRB<}dmo;^J@lMa0=(^NWa+zvLGY
zM}N*QQ;sZWsN#AQ{Lkj;2hF;9Nk66>TK=Vy>tXN@o7D5cUu;y*2eZv@dal{zzw@(*
zwLfPK*Ms1H^B#SlvTykZ+tl}(RsJWdx$XtOo0s%`%C6-XY*XK97WsG9S99G7zBljD
zw<+6}Z?H{$tC{8RS<Q7T_}sjtZ&NlckD2fEZOX>w9k!}(G!y)PR&`wu-Zk&g*C}h4
zXV|K~){OAqS=Dtlc-6E^yF{)n&57z-wlpP*YuVD6s8g?kbaa<q3JRG%X_v^ArE~O-
zmS`^ZR*XIMBFJUB&@Pb+OKbFw7HiI}_kI||wRCBYo@=q@Oz(p+r=A9>=^ic8oa#Ms
zi^!>^K6<K`f>fpp?G!n&)JBi>QjnbP(L&9>X_qoId!}95F~!w8F{W$LQkAGvkAg&|
zH)UwHPfN<sY@Ozmq1il5DMPby+N2#K4&IJ2r{*t}(K~uRh-Z4xjwyEDiZNXCm%fSY
znpeN{N#v;qK_7Hn3pC58C8cXNdNanHx*zms+NJFx`<A}Zb<NkT^gbAU>Tb}RX+hgX
zb}c=l>zb!o=-n87>UPkbX_vN%Y+JfU*ELr&)7vrn)XktX(}K25c^!0On$b3qjZ0f}
zS#vbwy+1~IT@TtZZPQkfwM$cUS+h06y*EaAT@8%tuRl~Z#n=5}$rK;=gC$eE-6L(g
zmM#vl>UtFz)ZbJ!<?`Yga!bExEOD2#?RpXD)X!8k<^19bxuu^q=D05`nR0e<hMekW
zjT!DcZB8v&93i*#lg6Yzr^+cO7rR(>Jqc9mXR4fXe6fSosl|&e<c?kpl#*?|7%0`h
z>4ip*dtk{F7x&0|o32NJ0<upp1oFr(y%5MDn|dLTMOO7fpin>43ylu<pT$!SFaBZK
z^)QgD|I%}f7Waq6Q*7NY7EiHpm*mM$m}g$_f%nK~Hm-e*<@X${^AjFO|M<@KYj0z1
zzQTR!9pBkr?QN{gSGXr#@ty6~-p0~=g}c%g-`P&>Z7j@JxFh}JTRq#Zy^Xo~3b&<q
zd}CX+w=pwc;g)p8H?~=O8&mTieC1WCW<Gw;VWYXh8(x-b=Kc2^)|+p5&HJQ^dHX$w
zwdMw|d5=^vufON8+I+(+)|^_#$oK=k`U{qc8(ik@c*(lw3)8xN4b$Qdc<C>A#XaK}
z)1rM1%k>w$<c|2oG-+SMV*Ld#>bWI;F*WULn6JO!Iroj9Ohx+|ro<gMD<1Hf^-K+;
zVf=y9;suwuGk!9K?Q576=Wt5A;1g@l7bdTL4HNYho^b#8!Q@oMD8IL%Pk+H;@eLQb
zPrP8wsbLh~+t8!GV3D}NMeZFxn6&mabi^HS)?ctte8UB9j~A>mUzoV67@7Arbn4eD
zED#s?$ol6qlia?Bx;Tf!;u}7&zWK}~w6CEi?trcSf_dTw=eaF@Fg>bdWQ{*yt-oNd
zxWNZjmKw&taR>H^Pk7IIr<(C=+<`sf0`FP(R5QMfJFrW9!aLSE)r?Q$4(t#Yc*j~(
z&3HHNz&7y-Z&_oi8L!42*di|Qmer=3@oe0IP4(g*elbnj+mNik;0CwEH>Re&4e|O5
zu5;h`%2c$sAzFXIHSQT-nUeN4gzGQ3${q3b-`0KgYu?{q_TB&T@98i99{%z#w(5V_
zeS7cs@k_t^fBoxS`#<3R{>$IbfBCCj``_dK{)^xJzx?H{{qJyp|M~CdKmUDQ^WWmW
zz1REu&wele`7gD8U;T{t_n-bYfBAQF&3~=?_MY$MpZ+%g`OmfLzsmjnC%*H4`deM|
zUtw>3|2_NT-_KwCef`DX<S+kB_tkgbvp@FT|KjiIFaAc?{1>@z@ACfs!tduV{0@Kd
zSNY37-m3pBd+QtT?LYFJ|HAL~AOHSV{^z@IZ~uP&!{6aQ{(Y_d&vD=0uKxY~dEfod
z|DOK*uXN4-4}0pH-rt}5{rtJ#;y?Z|?yIkVAAkS%@$diM?yawSAAj$6`}co$_tuxa
zkH7od{rkVOd+Uqd$KUzQ{{7$Hz4dwT<8S{y{_Wq|z4ck|<8S?L|MqY0-ukrn@i%{$
z*Zx0oZ~w;c{%`(rSN}h7Z~yx5=U@MQUG;xQ{k{Ebzx%)bd%EiXhI{*0e?R}~@9L_*
zQStWIuIFEVz5M0Z(_eliR{ho6Teo`s{H52|UwXa#*RQ4f>Q=13|MGSEuU}L5)h$_n
z|HW(dU%y)S)h$>*|M~0dKYtbOtD6$H|Lk@D&tFg1{56Q*fBJg<rPt{{e}(R=n-ph%
z>U#dCuiamMdG4#LpSWKB$?NYwemPeCmD^j_w|@TO>+3JRKK|lscFkY0y>&h7=P$ah
zfARJ9AHOvB)pf+}cV0h#;q~<wUc0~eD*fdbXVqV(#?HMd$>C?qb0&Y5ef_8AxP$Bc
zjTbMKpAq>g>%I0%U*xVYar*Z++BY!qRvqV%tUAu8xi7hUhRNRK*-`PE>Q}9v&huLJ
z^sTCsJNC%g?hE%gdGfngq~%LpW$o(IXD(d1w`uFP?Pqx3>2}XPeWzyq6f67t+AB0(
zR_ogO)~;VQ?cVD}i@u+_apT3_ZF~2o6cm+|>EDdKC%5Fu5|z`Z&z#*i{S5zwz6aiI
z>gVMS9h!dTgTO_ex2oRJ)h9*vCinEdm#9~Ee?LQcN%<SYPqLHe)Ew8)zHfN?wC#I|
z`F3sXbLK5r)Ttl2z_FE2Q!Obc=Lv`B<e=y2FEU<ct^##vb+tZu*ZgJJTi3Dv{`~9j
zKYacC`IqFry4Lma^RM@R`1<(sueX(dzwD{2jN5<EYxN8XY3aR*efJEGo4>gz&GwSF
zy5_J#{rwFm%zZxbc7JK}-Iq8ef5sB?HJ^Al*Bn;cn>amR;+gccpG~e+>!aUKeZ9AA
zZ}|G}zrMyDyqA5WTC{q7^82Z8_Qu`MzFBQry*~ZD=-a)^_J(i$zUy1u&3oCmt4pib
z=j-2m;qCTo($sw^%k*!)^ltk#Y3aU{Rr--jxA(l$U0r?pP5r%1ySMYa*F9Z*`pdo7
zud4Tci8I`L-RFJi<?6d%;v(;7zm5+3IxTW<YIwfnwdiSIr)BO<jn0?69)0cWw937y
z@%fTBqQ$;V>)e}~{66&cUcRdJE%&k)e$RTbSFUP($Gz2$s#~kq7rqaDxA)xM@Lk`d
zzQ?`2_xf)2(dzY;??d13eOJFXeBbw5-{bz?dwsu}wPt<e`>W@w_kNCJy{|p*yVZ}j
zkCi8N_NJ`Xzqxce&t>mvFI6vpnUq^~G9rG{weL}1<JR7LeYN^%)%wWyrsu1#evbRT
zC*1D+)DL^VRjzM+fAz!OUzO|I-+w({?OL<G;a>KmYThq#tb6M!;_MGze}C?E`tz^#
z+%<n0;`i^re*XQ}*VTW2#O>dE-T(d9)75`J#O>dG{rtPHtE>OMh}*yOy8pYc-PL~|
z#O>dH{ruan+0}n<#O>dD-T&=Z@9Mu7;`VR8e*Vo@?drel*2~{~ZT{_7=ia)+_3}4f
zfB*Wca&KMidim?Gw}1VWxwkHIz5KP;<zIhA?yU>WkFS4y`}nVKxmCYS_SVk4XXlfD
zf9dx8uWvVh`4(FBJLLYpE8F?MzO}CX?Q?(MrS1J+-b&a0cDcXr!gl^IZ-3YPwz<FW
z-1h#@Zx?_0mb<TZR(|}m+wDKU#qO(}e9!Lm_Vu6MPX6*Oa9{1@{P-o?{Xf0!uKBHS
zf8X)#{FiQjfAO}s=C{n=`r6)mc5eCck8f{(@iw{Ux5(bw&U^cgZukHA*7?gf*{a_>
zduv<o**WFkU$EW(!fo*vZ@p`NGwiMH$iF{-`}+@XKY#uvxv#c0KYsr9{ts^-fByEi
z^7ofLwUzhw9o)`;{<iv$Z+9zyzu8mUm@og}_V@4Ke(tTU&6mG_d;9lqFZb3~=GV*L
zyIubM+s(bTrTOxAZ<~Mrc5-iRVZQvG+uy%^+qt(kH(&nt?d{*bt=wCinJ<6qcKNq&
zGxye}=HLJNR=N83v3qtKx9h)o%Uu0?-#xqa+t<H-`?%`&wtIGKx9h)td${WNx_fr3
zx37QoG`sd^MEpKq{rStL>t8<I{_^SWFQ3-#tC<#8zt2m5{;Si|e|=iGuV%Ua{FkT0
ze|?&`uV%6S{1>Oie|>7)S2JIK{`1q<e|{?5S2HDU-`VN@pP!zt`DqZp@AUNiOQ+L+
zehS@JGbzsQ)b#vMPrJW-^4wQ5QD6Sa>F+;2Iad9Y+gsD8KY#J`^%qYcfAKWC=BL=+
znjZc6i>B*eJiYzLC(ZhOH63yLob~4~oWB0TY4;aTrN4aQtoq5cx298He!+D9k57Mp
z{v^AvrY_Fz@bvW`p1%J4NpN3HP24_P{rU5z>z_Yu{^Qfb%AYLp`>gfn&z-LS;VE;?
z&wp`t`=_se|MYS7&u?*dd#CHae|ot3=esz&-P6~<d%C##=d(DwozwN-J#DV8|9LOY
zZu|80Z=WVt|GXAww{^Py+o#UeKhMS4ZJxgV&C}icYEty?-#D%Q?NjUCngsp(*H1tH
z`l)npO^p8iYp0ig{gk@5CPM%I)zj%;KZWkCUi)6|_3rI2?_U1$F16~r!QSfW_x4^b
zKYwX=_{+P&wcms8+g>Su|K+Z6?RT&HwwKEDztrCquKn(G-}XZJ`_Fej*L=6SZ+ou1
z|I+U5Ki_5UtDgB@?%D40pYMFDzU$oId#c?3(r)*k?|k=FPrPS)vRwbu-R7F_a`$aL
z-rrkXe*WX#;xF$^tG-L@t?qq)Z&7*w$GgE_-l<l77rSqJw4DFq?(!FRjlaATt@_Tf
zx4QYBt>gQ+1?BbnAMY}Md8fFqy8hnY!{z7C?@s^mPIO;&?LFH=<^AV(Z-0LG^5=I?
zE5Ebdx3zgc@4@c!=XW=Me#g46y5ar3`@5fifA@87b<O*G_jWJ;{_g4C>WcUG?(R<i
z{_g7D>XP^O?(A0o{_g1B>Vo(8Zts5n?cLVB)j99)-P*nU+q<QEt25r;yIH?G{oA{#
zd#kUP_rJL-UH$#Yy}cXC`QP0AUG;s>y}j$o`(NL^UG;s-y}fJ7`Cs4NUG;s<y}hf#
z*MGg5T>I5*Z`G{0y*}&ZmW98+w0irmSCLg;wf0u6S|9gnb^EVZbN5v(TOapwwfnDE
zz5A*bt&e-Ln*G<S+I>~?*2g_xef;OEz^boi_3^f6!}UL3JzVouFMhA*`gu=RhyQ%#
zS@l&Te(%X}{Y$IWf4*|vS2bb%y~nHBUtX>L^2%~wRbSj*xAk(1!`FYj8vW&!;l8S_
zxV<jx?>$<*{KqTBs;_)|tJ>oBI<1#m7@mJ&wfc*z++SX)?yG8uvpo|2{`~6lAFqB^
ze&vbZdnkPU`TEuFKVE&T{Q7NARaM+xoAvh|tZsjPRk-HsyFFE9aeEJh^MAPdyZY;&
zxV`(r``=%^UH$b-+}=Im{O_;suKxNaZtt$}{&!brSATsHw|7T4|GTTz)nD(#?cEmM
z|MqHh_17zLd$)x1zrAW*{q;=T-c8}}f4!Qxw<>x4yc?^<zrAYQTNPiwe%|%f*T23h
z+*=jBe%`g!)4#q-+*=jCe%{s9;a{I^-B-CL|K2il|I4$dzdU>R%d^<3&tdm%yz}Fh
zn)`n}>s|Xf;QpS==I6hh)vo>QaevQ6bN?@AxobZ=+~0HF{QT#$uWLSA+_&+{zxQnR
z@}JLA_f^iwzxQ;u`OCALYd&k;xADxEtA9G%{O2>*s?RF-_na{2|8%yx=Ci`y%Km#c
z$IZ`QoPGVp+2k+JO!rlG-?KSp?tgLi^cQENYd(wIw{gk8x6u6jh1uaR&MJR-##{B7
zWp8EUy*)?F`7g|F|MBc^<!8S8Hum}R9?lN`@$75mXO8<ecKP?_nfsrgJ^lGv>6*_U
z_Ea|I->aW%e*WBS@gL6^_f^*C&$~bS`uAra_f}Tt&$~B!`uArK_g0qY&$~N2{QI+u
zdn=3c=iQkt{{7j(y_Na-^KQ?+{_WYuy_MPd^KQ+a{_WYqy_M<t^KQ-#|Mo2QzRf1{
z{5NNXt3MyQXS2cl{p+)zt3L0#XS2>c|Ml6+Ri8KAvsq*Q{?*yd^;Mr&#qGH!-v9OJ
z?Jtiqt3Dd-t(XzF$6Np2tM2rdM^}G&v~pj?N`1Lk-Q~X?&D>Y9RA268xB0I}o%<>l
z>dU?8{{Hh(<-Ur!`f|^^-CrKPUGvc-e$N?k{?A8u*L+mlTQN;P?rFFB%cF~HKB~p<
z@z9_5q+9&wBgcIe{rYlC#NS`+u7CdHk!96Ksl63FaeI!5=U?nT{^OBm)kmSd72W#t
z9(A|BIBNank!00JuDum4aeEx~=PeM|ztBDX$0Nq7j{@;~?Dg+G?0)|IsBz6luJ}E6
z`f?Auk3T<p`}3ohl^;Ltsc6=hn<wu7;ppzqk8W0eWQw;rApZXS(a+T%f5qAC6VHEt
zwElAS$4_xKd&J+rJG#00<EuEEUE=xgj!v%r_$bb1hxq%qM~kaJ-iou?CZ7NHXmIt%
zOK~<^#NWR;YFz#CRNS7|-R|EW_3o`m(vQ2*&Hn9C?cRzw{kZGh$G<+x-CGf*A9t<0
z{p+LHy%k~laaXIa|GKwvU-|5N*1qp!mwo5ETwVThZ+&#_d!4=IQ}0<{{jT?QuXF8t
zzx&pgzpwkUSGo4R+kNYc-}S!iWv+d1ci;N__jRB5KCXGMvA2Bo`?=4mr~kZ{xUYQr
z`@2iNpZm0Tb<KN~z2#Hh-+fXo{&Mf+n)gcgtxtS^_i=CYmwUEV?`7^=yT6ZJ{JrmD
z_4Ob3bgSM=+_!dpAG@gjd)~*r!8Pv%_LjHbvvz(TyYPG8g=+H`dxgK;W375Gbl=+H
zz3ijv@6Y#I*S!C;r@ZZb?8EBo&-W_Vy#KMMy!xKC?fcky-}64~J^c9|=f3jtd)C(P
zV;@wr|G4*eZ+YGO*!$JTzu$Yix4i0o?7iyt@AvNREiZc?d$-#C`@OS!%ZuLE$KI)C
z|9)@p-txTnvA3&_f4jGKZ+X`H*jv@@-|o%bTb}kl_GWc??fVn=c5nRd_hv75_4@<&
zcCY__?)Bc+RquD)+r9R?-|M}ntKM(8w|n*XbFcQUu6i34Z+-20-sSA&FSnllax1aw
zt=`_U)$8Xjy}s^J_VQo1mhLNCvHtGM?DSu^rtYgRTeAM{i){5@w_5j=Em(i|dG_<4
zw@UYw%~>zI^m^Xst(R-w8pT_mx&H3c*4bZfMeZw`x_<7G>wTZL&aQc@w6|>1`q(Gg
z>_2bW?kk%Rx7&UF+{fyZR1B3KWO9GKcJ5;K^%q-{zuYq2SJoY8eeAm5#q8-Xwno>y
z6^XZYS$}uo^>Y`p>%(7cRsM2|x9Tm+-m=EH-AAtTUC3_#aqDm8TfTT}`}K1lW{3Z{
z^|kUXN4&M&`n&V4`<>68{(P%+&D#%q%9_^SoqPS<xoq(tw;1=8)vup>Kl}RkTOaq9
zRj;3WFMIm;TMze^m9L+BH#_|Mt&4lhir3G*lP&)J*1^4H`RnK2&c6Qb*2en1W!dZJ
z-pZc-?bgD*W$EkZ-pmgFb}KgCdeim1H(Q0P-yVvy-f;ch>#d)w-tLOCUUxn3_14Q(
zZ#TtRuetv2)z-~bZ&%&hb!~g!*R{95T+6I_ZM3&^#=TwM`FCGMr@vgg`pdPI`$|{l
z%f5;(|8;HVzS5=nvM-~}e_iX`SGq7?_C@sf`k&V-_m$4gmwg`X{&MZ@n%5@xcb(bJ
z_j&E^n%8Q3OQ+?>K8;p?xpr~QYqk5kJo4v0i5CBP&2e98f4=OJ?e8u|KmT#fvg)<e
z-qN0XyN+$oyBK}^$2HBW*Ft+syYuHhif(_g*80me$*R{}drMpH?Q+bYyI{NCh3M%&
zt}#}<7P!C5zCQo%!|3PF*BaNn=DNSjE?@Rx^zr9wZ-2h_vhww(J*Cb0vh%k4eOSBu
z^R=6mubJ*!9oYWv{o2pfuYcXM+P6LL{o2dbuRq<h+Oz%LyS1CEU%$F%wQGCcyS0<6
zUq8BMwPX9cw`+^5U*EcCwQYOe+qJ>fuP@!R+OqxKo3+N(uTS0E^*Y+U{@b<Qy`@R{
zu{WaGzg?@{TN;-idp-L2*K4_ZOQZ5*uSK_iy%xK-G%P>%s`vF@mp1MznH^{4s~@{;
zI^SjQ@|R1aYhUW@Etwi;b#=Pl*QL(2Fa6@JE>B<gWvO!QOSgEdi_`VKEM=~JX%}yG
ze)_u4OCQ&~)Yw}xTYv5|@994;CGIP!pRRv*$@FudmaeXOsj|0ZivHav-r_HpPOf>W
z6mNB6`n!)yo4;JLt$HaFZ{@BZyLfuvMeplBF6mail!&)-)sI~?J@4bv;F^~LdrR8m
zteo{@7f$cH;BEe5sqmLetW__C;;kI?WgmHef4<bZ=H;I~C2jh#552EHU#eX5^2eT%
z>Nu-<Tm9I1)AK$oJ^c9+=f0BiI4f)Y*azP1KQ8^<TT-VVd*A!`_e*d0mQ?A--t%t%
ze(CPsk~00+yWZ~KFP+_6QluYy$D958rM-Jg^7LbGdmsOHY3<&UEdAJ9-tFHm&D~p)
zrXPFLyS(=0iMU-Gr~AEG%3b~PK-{kN)6czL`nu}nj<{X*Yp46YUV6If<%YOjtEZoP
zwRCmW^Qill*UIxQTQ7e(_w<)@iB-?__7<;xKWAzAx=Yr}f1O*puXx4#J1?!%f1R7U
zuXxG(J1?x&f1PXHSG?f;o#)oif1WGdS3Kvv%+m6_&vP%=JU6;;d8Yi`r@6DgoQvF7
zJoWvYCFOme=FYBpuC%v!();?DC)Vsg&)M!Po^Wrc`};YMt)IV`oBidSVbyb~`#W9V
z%RIJT{$j58mvg%Nio4#+EGmC@!8-lLT<tIC1goC2?JaJ)x6|Q$%p+^|7jvC!p8wlZ
z-2Q&f{PJ@j=4#hG|Fx&M<-N@O^1Sob%b(9Z{rMd4zT%2|mIuq<owH7VK9{@ZIm7+>
zo%_qry`THK`uUH0JNK6Ry`Ou!`uT@@J9n3#dpCD=_460^cJ3_qdpEbc`uT%<JGYmg
zdpkF~`uUA}JGYkmy`Ae_{rtkcotw+gy_u_B{e0bfnVZ(;-_CXJElzwdbHn=k*K?J7
zi(}u*T({o-^<3uO;>h<h*R0FGo{QXD9J)T{wf6B}r|NU7o|^0}ni*&5v;NM~@Vu{6
zH-9-5TJ<y}e&>~NzOPfQYoGeW@4OV=_hqVd?NgWdofpFSzD)gH^VBAO=eh8{&r=tF
zIhDJwXx93eXWH#QPsQ#lnjB|&I(*%ysgu8)3fxyTd40^1aKBGeyK9~*#P2*F&UZ=s
z`-`c?HBV*s7WKwiy4A0bd91zt#nj}Qry_fcI^%X84fp#v)%nXQ*{Y{Jdy87*ES=Wh
zSrG1bL0kOARPUOn410?@*58>Q{_exn&!108?kj3tA2UC^@59u`pHIE5eEMZiQDxlD
zgW-JVwbg%|x?B15&7Pvh^)e5%zkfgVb8k`YdYSv$+rOWBxwoitz05uB^6&MhZtg8A
zT`zN2+x+{flY5H_*UQ||{{HRM&b>vs>t$|hZ~t~`<=&#q^)k1#%fFqPxwj~F{hhB<
zm8+i~i?iGquJ>jtbM@1GahB`D*S((lxa#S)ILo!+datJ*u6nvI&T@75x>vo~wT~n2
z@9@o^v&>xYvUK~)-rZl0t=(5R?cNTr{5h}crKkTows2qJ^87h3rNe(6o4BuVasHea
z(&E34HSQ~%pFiih^!1;|3ilOGxwqr2x!>pB(>0F`?(aBlo_9$){pYdJeT9?mS)4M@
z`_$Y0<(TKb!io7ZPo%&9IObUOSZ;4&U;doM=Ibs>AAixCUGrFMZ(&dVoJHn(7p1rV
zIHtL;u;bp2dguH(3(ePEkamC3EB)mdXVqh-y@j3mG7HT4KKB0pd`xy<Vck88!{+Ng
z^uGRlOmJUe&AlDA`E%x(>z$W2|8eYL<ztrnJFN5P%r)2h(92x&_}@K?{pRc5_dc$E
z{Oz8_UUR+oy@#tGzq@C#+kD---o@3ApWU<AX|DIKx4HW9y?Ykh>&@4_?M<$JeC?ja
zR&%|#z0TE-&)u`wY`*SI@9uqtDfxG9NUMK4*1ESaA^*;G>E~aMmF_K!$-i?=dimF5
zse20}^6y-gPXBr=bZ^00eVNzX+h4X`{&Fa_>Y>5jg6VNPu8N<##2x;!HMsU+P`t$z
z@poTZjcXrz#amnw&->CUT>H?eKHlPj_`A=opKBgk#ao;c@4Ljk{pX>~eFZc1Wu9@D
z|2*Vd^-w2%$0>2YOWf{15BcsZm>6eqQe5v-Yje#*xp)f?{X2`r&wXqy{&L8)>Y>Em
zf?oYQi^Tgrwg!JWq+0b*EZ*X%INwF?@)xbfUk-^@J>=M1&>Uyss2{UHT<>Em^OyQV
ziu(%c<8~YtKX;xx{l_8EeFe2~7Kg<9&U0^n-g^1-p{JD(+2Sp1^yfU_E`Q#-`ST&x
zeFY8rckXjP|9<G}-hvwaJNLMke?RneZ$X9rox9xW-w$2gTTr5Z=MJ~}_d`eb78K~;
zxy}9j+o7#{3v%@D+~QvT?a<P_1sVExZgQu8J2bU^Z^3o(zBjGX)en!v?bsmB_onrC
z)x$k;JJyN!y>7i-^>9nvjy2+ZuUmIlJzNvFW7YSyUu%<V@0;z-pLK7$&wJ@*-``&P
zz3tb&$g2BVd-GSlkAC&L?bp7!`|_8)kAC^v?bp8Eeff*tN5A;Z_G@45zWjObqo4mi
z_H$og)qS)3=4Zd_)_<-&TytOV{&vszv!DJB`?=4v>b}PP?I*wMUiz)}bD!(J{0Z-G
zKmN`3vbOrmKFfXiefPGzy_a76eeK8E=r8*W_vLrp+wSuI_M_j+e(Y1My3e;azwO?3
zr}xqezvo`~t@fgp`^!GnefbUd%#VD3d;WLXk9|KY@AKT>e(3w!^S|AG?E6?>dH>s<
z{HlA~ZQkF0@Vo7Kt#Hl#cYE^7?rlHto%ciS@9O)1?rq=qz4v|X?dtnq?rq=mo%en1
z?&|w*?rq=oz4u-1?CSeZ?rq=ko%daBb@lx__qK2Q-ut#Ty88Z=d)v2s=Y3miU48$|
zz3rR6zx}mu;@<q^_p@*O7W=lZac_S7``OojU#tJRuW)aE^!wS@eoy<lFL7^v`1{#c
ze}{eDwRK<Kn)SDrUH85GdfLm<!(VpAR^1JYH}_s2z4W^8*HZ7=y8-drFJC|VrBu82
zu1Ea#i`RX>lycYJb%@`7{`%R^rLSx5TEv@st-t;3^|GJ4QupP}SbzKJYqOW7n``cB
z#hZJsmwx)%?B}j}*Q&cJ@!L;a=lxV#U2|7qZ(e_#`SI&#FTTF^qBQx-F4KK^-Ero}
zuKQkmJ?%wlbj@9ncypKaw-;VNd*OB1i&Et;yLhYavh2-kjN5+XI`4(oZ9jJXt-Q+@
zZ*ISS_QTg<KX!esyvq@9ZnysSyz9Q_Ur&2pDqVB;!=AjR^|$9<KYQ+Vz1WXkjQjHH
z*U!HH`r7whANS@}ub+MI^|bH19`4O6UqAcq>#*;;F7C}MUO)TJYq9UU4(`p%UqAcy
z>ucY3ZQPrey?*ws*VDf3TDUhaef{j4ufx9Wij6nlbUpV?sc`k(LviLCuD^X<`nl@v
zt~m2`*K=Q&Uaq>kDb9S&^|!A|H&@+Vb#Gh!we7uMb8mmymRWV%Xm9R}d)vJ8Z@;>o
z_A+<%mu)Ne<*v+^es#O-*S4Aaa+l^yzr1bsYg^~O+=cnlFK&PPxvg?v?%aIo=eONn
z=H9NkZE}CxneDuvb9dL=R@<99EkF9{ZMB!Vi)(JH-QVVsKl{mTv7g%<_vQBIOE1~}
z_TuelKeky`)!&xdo7;15+p+Dr7jGZ?u}!n;w$R?(?)=%0ZnwS2wf?eAvg$V1-rSaZ
z+Z^*}FW9bo;r6s2+Zd~E3*6skpMU$|?Pt$(jcaam-QQ-HFa7ZLvFEwBKW}?kdHd6z
z+~$1gdE0$I<nI2w?Pld|ru$|Gw!eL!`?>n|uX|?uw&%Xjy<C0!)4h7LJ=@>D%iUak
z`_(<OUE6ct<xZ}?{pg<Aj_q&X<`!4qzID%R+xFbIxxv-9FWocSvi<FwT;uB7r|xZg
zecSEZw%)zDN%_$?ZnJ&cR=YPhE<gJE?PFiJ<?hXm%8$NwyY1_?*uA-7`O#NTU;DLb
z<G!5Pab~{y(aWauUOrv+GBvvPrq15_oT+hUSEuWKO?9rl=@)NydHUKfsmirC-QvwI
zPS^dC%3OQXF5c|?^tGQ;AJ^Q}*qbw3fA+J}(|&GB+?O+5|MrsUXFsK`uDPkQH)o3e
z?I)+jUZzg2xv3Oyc4GS5kEzXHHrZC)l!-TU*N<L2z4zkjYd<#WR^60{H*?jGUNk-T
zV`^}H%}s&5Iqh*~&ic^{r}thsZT2En_{%2Ns+&UbW)Aw&k4}Gko@!ll^Ut1~HvQ;_
zr>{LvRj#@DV^2<XoSChD^t|c0A5ss0-o&{tr##NgT0i>1X|^Am{_f4G(~rJ?`q=kP
zZ};X@=||r?-S&Oc-Mu+w`q6h!yM5nuc5hCRe)OHwY~SlQ?cJM`ryqU$^s#T7*6z*8
z(vQA%y6xMhxqEZc^rLT{E~~wHB5vEp>Ar7LxvOs;h}*V)`q|g1ud8nEh}*Vyy6@}M
z(^WS&#BEzW{p_pM)m7J{?wejK&%L~R*~{3|U)Cj7UDw;2z54yErR8fc?Oyh4-O_#8
zE8gFFxjXIGx~coJm%P9AqJFp9uXU~avKPF+^?diUpX*BZWzTspwX{6<bL{1s>qhrY
z&y>IY6g&INy2yRmQ{T^8Qr`P1c6QBmrM=mc-bX#z&GvJh?Y`^@_qMvfpY?e6vlp@1
zU)C8`U6;DQ)%Cs9<K4?%#Cm^Or@Jq^>%G*X^0yaur@e^P{<2Q6>N?xr?52BL9p2YR
zJ=)FoBG$R)`oBHd?eAyJFF*SsR=ei<uRYl<@1^FK=bqoa?0M|z&+B;iWmnuYJy`zs
z-0rmJvD`J+8SZc0Uw-y|>}$|~%GSN*zVBmCS6}~dZ|m;zv+rV8S6_c|Z|lx--*>Uy
z)z=@~+q%8{?AzGv>gzY|ZQWY#`!?3Q`uc@?TQ}F2pM4XnU44Dsd#Rhd&AzSc+?$>F
zUh2l~Z(r9{?#+&UFLizQwy*0l_hv`Fm%6sQ?CZM7z1gAbqh7B*_G?vc)m4+dSu^8I
zeb(Pv8lL+#bn};0p;cEy;<sK2=lvRLU3=9he(R<1-Y=ojwO3u@w_XV6{Sx}S=BiEn
z)^p*#pF<aaS(RJAFKgENsAsF&ey)n$mo+)g^mO>zPoa~)tP0$hHF<s1l5pQoq1`oC
z72>xZ59htK`rC`p;+m^6d$W4uOx@N;Jzl--MQC!(Rgt|}opD=_hWmaDb^fwSw(2U+
z-mKO*Q>XQ}7KHm=SS|J<)Vt;?!``fp^|$7Szx@#U`SU8teOayRqvqF#_kIX{{CU;e
z%Bx@YWL3s(Js8e=ezn?<Rd*||zS)!2xL)eP>Tlmy{oI>XyI$)4>TTawz1*8sxnAnt
z>ay>vZtl%0T`zTawb}PoC--I*u9v#A`rEfvJNIVgu9v#KdfT^EEB9t)u9v#Cy6oGk
znR~NR*WdaYs$6~bSe)s`aNRed%+*)-)yJ8x4`2H_^l{bIZE>b+!*ySW9<I8&F3xmy
z_}W*#*|nD=?r-tUpS8?f_wwwvm%h8dEL*!TbK1QvUiq_L&7Ssa*}{F9%kyWwoE`RS
z*~ERBi}Po_m@W2eS>wLU`T4V+&%X9^S>e9SDfhOVHTV7Od%EVb!Tl|#&2ul!PW!nm
zbYJGAdnWa#%yU2ac7Ivsxi52KzSNW1-+nA}thy|>H?uE))?)Lu7iS-P;hSA^S!{1+
zPyVb$=DHVWZ~L)Kb6;l1y)Dl9vlg1Ky)fJDg|GCNWt>%)nf7LO=1VOw=l$sW`|~o{
zeVKLlOb(l`{owoh^D@DGnKk#e*yhigXRdpGw%Lzm4=XRT+}~1foj+@?x$XyF=9<g@
z?wRa2U;EzoarNbI_e}Ph>%R9rTz&c7J(Jz$Yv1`UuD<;2p2<#g-FLpt)tB$xGudvw
z_N{Mn_2p~#OtzZqzV&skzI^VU$!7DlZ+v&}%S_3?bz`>Lw`Hw+GZXS}U7!8z>$1|l
znKAjduFYQdby@1(%!vG3S7)cye_a;3H)E~7)a&kTFI_KxS(I9J(O_@J^tdfo#m`>q
z4twbuTzfGn-sFn-+b^!hwHLkOO)iP&esLA9z33EgazXs<XV=d)7p>w=&WZP4>fZKq
zQRcpknfg-Cy32kp@~yh46Tjt@xbLNIx1WoA_hn3sGdU@)`^mMr=AvA@iHH8J#p3m6
zKe`rwS!7yuQDSdKul}t?;=LbTgTE|Nt-2@{Z*o+e_hNV13s>VWi$tp~a_r4$jx%x8
zk6IwE`_Yy8%Ob^n8TD~n4vU{X-<|ejk?6jR+BlO#;=Sj)w>@{g{CUyS%8P9ACN}!B
z9(0#IcisGX5$nE;2K`(2yPthu^mT7WjsC5B-Sx}9FM7H+qeB1I-R`vSi>~g?DAB)l
zr(5m&qN95=3iNN??tb=d(bl~gIr_J5buat2XzAXJ4E<X-yVJfcnz}dRx_Iv!SLy1D
zN8+|@5a)g4`n&4lp13XR#Cu=6-mbd1C2q?aao*RiyQ?m)iQBU3`<kz|$+hRr_NLFe
zx7p{t<g)K?E>+iW`!z4J>b%z8^i}U8UsbpLnm2b}`m*<tFRR^t&FkHlzUY1Ai)yxC
z^J@2{&wC&Fy!zPBd4W~u&F&kY{jT%b_HfO4z5AOz-_Lwn9rkmcXVrO)`<qXG*SS=!
z_H&-=zVr$2Z$7SOdudz!WuE1}^uBwW-QG(s{=Vj;ZS<FUhWpaH?rnCde}D5)^|BxH
z6sykj?M-jHx7q2v<ihVc7pm1>*m8fFr@Ak_;hyo4?{Cgmm;IRcv+_L8{mqBIuQ^}s
z_G8}1%Jbj$q*vYBZ1eu+gX*^Dw!$^%-|a~+ySMqkcb*TnzpKyxxwm=W_n!B*x2w;8
zxwm=Gcb@mQyQ|N?xwm=O_nvpQv#ZZPxmUk=$9JB0w$;_=@7&wG?R(E#+vw`^SMF`z
z@}1|ct#$SJGxs)c`u^tEyor0$li$z0Q7!guUgO^M`1dofS6}-&uW)aA^!u6Ds;7OO
zm$)}Q{Qb<U)nQ*}ZQYl)X8p}&*L^N$PkU*4_{*%=s<UD7#@_2AmtObzYU*8kHXwfU
z<?Cm@m}=M7pY@2}eDS)^7gO%qvkvi_&tE_D+4ObIS&MjMuk|;dWiR_VD|KJmjP*C4
zW}Ce<-CT24E8f_1z2wtuv!AnEtIn##Z$5FI=aXr5%~^%LY5j4=$FHBcn0@VqY4Vp@
zru)*m<BX48_qmuo?S*M{%~_FnW0&<e7hXSeAv^4asq&Xuyj5rGS@xzi#%(@wo##S!
z+mBg)E6?)98{4m+`7k@|$E>fFXF1}H?bhF%circF_O$1w(luv4>`7}{e{=5jGv~6!
ze#~OrmsY=i=Kbtz-)DW?n^wJk=DqA`-)BACn^wMl=H2YD@3SuMO)FkM^G>$d_gM$`
zrsc1nc{}^sw^<ware&|6c`JL`xB6KN_ok(<pLsJo?Axr^c;ijibKaN=SD!r;XT0J1
zo7bkFtIqC<GhTN+=e6nOs<WHojMrR$^U8E{)!9||HeK7^^HulumuZ<*r;YZe&bYV9
zJOAdZ=(Lx*tG`TJxi58PzT~UuvR~6??n_;oFZnXs?ANr;eW?rcC0|5;`#G(0U+Ua^
z$>;UaZZCCj*PJ%Fzv;|&p3l0wYfh`}O`Vn>`7~PXrS9UI(`xrOdF0Q05-s*~n&ZCI
z{(Q+L+uvM_e)eOUWz}h^y{SF-HXYlZb20kZk7=4!r-k;WcIVH06y5eh*ZRve$*R*_
zdsAEPZF0<?xnR4_h3IKNrZHBX7P!C3KL6&!=x5J$jce*pbKT!$moND+`q*>b+n=Ys
ztUUc`Pik|%<h<=ZA9Qzro_4eHG}C>f1KZ!c*Zo|5`qw?9ecN;1>t3!t{pp_3p6zem
z>29t*{pz04uI)MRbSGDzess@h$M!dGb&IP{-@0eCZF|mJ-Qeoem+l#D+5YB@u5tD0
zQ};H#j&}Ptt#@x~Qhwx(`e?Rq(`xso#^py|k3RNwTJGM|sQk!l(QRL+#qLcF%a6QT
zom;zp&HK{J)mOj78Sf4EdH?lNb!_eWY4@_1eqZ$~F8IFot7_ZY^~>IG{jzt`zUvpi
zYyFCIzOVhF`fttpdGEJ=-dnUU-0OYm=e=3`uAlkd^)pWY{_7>*vwp^D-(S7td%f4s
zIQ9G4OTKIUj8nd^{iM3KX8nYFuNQxR^&?Jp?{&BLQ!iHM)~xTor~SA(^h=!Z-s?xd
zulf-uxc9pA`>PkKb-%=M?!E5#KJ)@;X_4@K?FHYve#HIXbN%r5SI_rK?Yn;H`>p4D
zh4zKpy)OkXQ2M;*`oZsA&-ZfeyKW6y>Qv8Lv%cZ|*Y|sW?Y+M5`>F47-|wxySADj6
zeZ~8)@Alr>dwth;ukUfs@2$R5-CMoB;QiOPdw1=<zU}*|Z*kY}t-e+5TfIKx{ns~p
zXYIYd>3db}`jq#jZ}xWWy}sc)*SEO+_f}u8ep|IZ=6&hwy;*y&ule5fHE#XA)mN+6
zR-KHBH@Y@Gr~b0{vX`o-zf4N3I;jU*Xf<=`^fi~fmw^|GtpKeVO9L+-TLM~1ruJ)6
z>%No)`Zu3@Kl?eUbYIFGeaWTMb3UtHt~qHGZ**q*n@_5<zf6kUmoim<=91|>pHyep
zoK)JIGD$!3i8tHNNw)h^Cd6%W*Pr>=``HWC>@SlHtLjfm#cy)emwfEK?1ifLmr1(&
zQo8gd7fpY2!8`4Rs`i&jf>kHk_NFw&ZF10$eB{mcLe;tE<i9;B?fNt4Pe1cPRlDZo
zuRSR(`jYdf=bZOm_FVP!=SjT#QYzw%4o-h_&O7b7DtFCEhWJhUr=NMR`nvk$kGM^H
zr~ABDJzahBL)@m_)9cT?Q(aws@<rUHozs2ZsdiVNd=R&3`}8w!RkN#4-iX_@b-K@6
zRqyJP7veT;o_^+ys&@6sb^4Mwz0JN&>fD=>s4scL``gz^m3vcS^(C)+Z~Hnab8kwd
zzT`FUvagdO_ojrtk9ci;>{nlI)p3)($usX6`n<ofv^?jl?B*|hp;gC2?$>X;QqJ>L
z*1Gn%&;5;;%6q=ZO4lBDxxev3InNi_-!;c=?r%I--t$>@@t3~beaW-lM?AA```H(}
zFM0Ak!_(z!KFLo0(igZddGh;+CFMS!WV>sQE8O3ByqxEf^|u$Y#Wlxe_9pk<Gjw|&
z@z{FX3)$qF<05;LJMV2gTJG~v*7-}HY<<;np1sMf_Y9rh-&j!YbHQ5dg{*hYafZFg
z9q(_<FMsnv_VedH$$iPK?<3}y_k56j{JHOK<?%0jk}L0RJXp?i-dgQP-`&dNZ}ucN
zzL$7l{q1|-&%MdDpxNDR-}_$fO|E<|anHK!d*98y$))cl?pm9D?>o6Sx$wQj9qVu3
z`gZQEPtJWWaoc*^x4xBolQZ8-+_EnF);Du+a_ajVUuBi6j~}~dxUpR4jVyEZ@qPCU
z*O#w(E&I6Y__lk7Ys+<B%O0*ezV4pk>hd+OM6+v;M#OLQT|Z-4xXxwmwwI#2zjUqL
zmozPIqu2Twue7KA>RPxjY5DpYFSWydbxqutw0QlD7usUK>bn~ECCy(y<GJ>=pIwFf
zlBUFMJR9!wS@d+xQG@u6r^9nDX{Y_{3f-49DbDazc+Mx$?k`=Q`;sQEmw2N6?MIhm
z)ls><Nqy^QEDm3DQTx~n(d?R|VtbQ%*3VcJu5(d)+m9~IeMud08=cqBSQx(Mg0|ZW
zQRy#ToK;7e_9k_%msk*9&+}3A_vbFzeMxn3hKIw~d=P#8xl3?gQcc`O+x0W%h3lNx
zHv7@_u<|HN{6_2bGv<ctd=O=>Ir=ZoaDVuk_o9!hkA90Y+#9a*Ui5JF(RXo%yTjMK
z6J1<=^jVzY&TyS~qRrJu@5LEz4`1_EG`afdwK&7A;W}?covV+Yi!<CDzUEE6=<a<<
zDeG_C&{q4_)w(w+Vf~Hk+RwgrmF`W7S%2f2_Oh>Cse6+m*5A0Qo%XdWbZ_F?e2Lf6
z+g|cs{?e9Ob=Y8U;`DnPu9}~@BpvpWH@Nn2(0zj|=5N068rL57x^HmFJm(9qaP48I
z`vw=x-+bo%Tyxm!zQH;3o=ei(ezs-qOPpB`TH{*wv(2~au+IGrr_6mWNxS`Q^WB#?
z@t(m+bDdAT%{7PR?i+aI-&kyZ<|A+Mmp0R?!xDQFd-HEBGVl4w8~mkBwd%0geS@Rs
zJQt<QUho=!X%nqF%&|AI`JRDee#8QEosYcCU)mJ+CDz~DaM=9JdFiwtZKC@UYwsBx
zGVeKGFTL$K@8!>JPb&|z-8ZnwpYcGt>^bk|&uy&x5*zYw+?Rg#z3uDX#G3pY_oSD7
zZ+p5ou_FJ*UFo#%ZCCdumgL{KBdzwm?daabg8Un|rJsFk+qyR~C;!GR>1E&AmhMf=
z$iHz@I_+EA)V+z<&3oSPN>?8~a&N;1bDlT6zpD=Kxwm0my?M`T-rH4&x7^#X#+>Ih
z@9wI@Ywm5hCf@Uv_4b#h%&LP1dlRO|8F=eQEEV_p%IaNvFd%-zW$`m#ShZ^pdc<$I
zDDLxxmAm$!UA)10@im`WAJ-hz*qbn0f5tQJX+N71_a#i%zp+I8%qP~>H3wDpCQQ-4
z@q}CKCF|sxgG%uRC&b^>e`Ia`(qvn8P$u5MT|Z*6c+W-dZ7*1pYYqzSP3YF2@rb+a
z1*`R!CdsOUTzeB*;x;(W_Ly{}qd{G>P0Z&)_tsxctW^hv;td@1B_7S5`aSL8-pKkm
z9Z!9+r?X3cH2tjHyzZXPYV*~vSdD8Aa>Z}3)0cS2ee5~w<<Ct|D-W{8>(pNm=Q+=<
z_M_=;<-s?5A{+Cs-RBnj(Zskfy!)Q^vF~0Nxxamn+PE)lcAU2F`p{+KXWnm>s$J_6
zzxu*;t}X9bA6IXVxUaK1Jp27#qnh<U_Jmi*8SE9;;eWq3tLEUlID_5dYu>Rgu0HrI
z&S0mw&O6rT>Vx;<47Q7}dCQtyeehbGLH$;7owuyc)d$bT8Eh6`^M-ZzzJwJ08#lPs
zzBRS(O-Rtcah?0w*QV0F2{HONu5mB>+LXFCAwvJgRqnK}O`&_^*RB_P{d?=nzn8w$
zrB-cLxUb`R+C2NxYSSNCoqMB_uYZ4isk`;%->BOCI(y@%-qXMOUHj`_r`r8~_w_G-
zU;X8;Qhn`yxBKfaeCPi1_gBq+oBQj}eeeGKchQ%++<o!0-iJT?-TJdGc3=GDd-|up
zum1FR(wDlxeesjuhcEf={poL4&3=XZ>yLluzV!R+i@!xR`(^gV_ukWYdmsMz_tqDG
zlWO*h?2YfdxBlpN?~i|-zSPN9?dRDW-+E8q>HYNu-@PyVp8BJ{j<IUL!2R|1@2@}n
z{q*@?qniC(_t)FK7k~Ks=<~m~KG(gh-2Z7$eDizpdEdQ1{N44r?q=nFru+H_zQ2C|
z_fz%$U-$I)eb0XX_fqx#Pxtiqe1HA!@22YgukPvZ`kwvn@1*MekK)!G(ckg?_1nKi
z)%$PV)8F<z`|aPL>iw7Q>2LY|`c3^`qw4*q?yY}~bX$!0&EKZq>N@wvC%zZI@%!u7
zy2`!rvG2vN|K9qwE^}{u<a_aJze~T?MS?<K{PpXtFTY;;Qk7b@*I;kl^tkm`ub;m3
zI`rk&pxV7b@%mS;pZ@Yyt9GwP{Q8U6y}x|rnhUvR;#XDUzPS18r`JD!ef4Km;l8*j
zaqG`s_x}9#RLx$4`1Pl+XJ2}q`m-u@U+Cog&?VcwimYZW+ni~&=5pp<zpJGxd*i08
zzy9R4=*zE@YW6C{>z}y(`s3H8FIBcxdu8JF-PeaNzTSQD_0=C$x>b86;`LqEhc9{^
z6U+YEDl+<d;O=v`vQsU8L_Mus%T~WPtR-%>)B33kufMtwz4b?!YEsQ=vAv<?_p}af
z=Q<y4`XlUV<!ZnCT35DfZM_uD`ZLTmjvaKzhwuxlrgg>TvU^@XiFJQ(RSmjXrWAb1
zOeN^PnWJB$O817vC3MDVyRH{~oW1qM)>SndH^k{|5!ZfuG^%FfwK$!l*SRm&zb<|8
z)#yu=Xw_bhy>ZQP`i|?v7hKo=_?78PmEyj*`ndInub)2uI`v1D=)SnxIQ>J{yU)Mg
z`uyvq&s9$=_p-(7+pM4d;C1QqubVzsvF?j&SbzO~ck0)q(7kbW`eFB9AN^kSc5htO
z`tW<NOTSm$+#6TAUi|KB)9+O$_r?{j7r*nm{_D4@oqOYQ*NfkNz4TkvQr3>l6(Y|i
zd>0;;lyNcON)&jYutcDUOLG<*!({;m1_=%>7PiC3AFt_T7h%voXS3QvWuZ;SLXkE@
zH^IdnjtmkE3`zzJCd@py86I%x<uP~&@2Gj)&G^Rag}L<1{q3jpAAU5Q{9ArT?TU+4
zRhG7zE%p0qbL#R#?4k}ley>?)bTFNJ@AKZ<)2H1yA5lB=$=|axtJgJco2-|5{AZQ%
zq&Rt*yUi~yJG`j)bB5)MkGk7C<|l#e$0Ij>G;S!g(t6f@W&*=AtEjlVH8ISm{vUc0
zATiUe#Yf2|&pPGa!P6HPJzZR!(ezN9C(q@9V*mb^4`#FMt-r1K#&%!dqSw|rb6eKj
ze4ND>Iz8!?CG$I@TXn~8{dByw#6X(;=2^vWyBNy)*ZC*Cws??cb!QoGc6sBKaIa(5
zMjyK`e=vFcV2@A%$IWuxuW>>j*G>LduO;Vn<mH5!JO<kjKedhMGiu&<@wv*UGxMy9
zkL*;*oj3WJP->m?jL#)A>sxe!=P?R!GA(HQzxd1kDS!DV{pb3=Kj6Q|Gk^a-iTC0s
zeOn(<-}~kMgKwT`{-<8=T(htF@_EBw2J`<XeYRZXKmR4O%l_u){FnaBd3t~HABVp4
zt{*wu?)!c?e(CqTJMqE4Ba-}GUT4Pa?|+qV{CC!i`cHo%W}QFz$xvngp^wkISn3x@
za%fobHSi@i9137CVPxbw#BhO?NkfFGL5#tH_aFPidd*+)EdNE{{CC#+!ms?AB}CTg
zO14R@WyN|<)tVP|&!U-B?OR<7>NMXRXEJA7&~oLevGKis-A7N(Qn1OL%lq|3^_iaY
z@84Sg`QiV$b#uy#^}CfOH*7j;yE<bZb2fK<j%v}NY1#!BV}sA|1$X+bQ*?Fh{K8X_
zwrG}T`HUZGI<3>c?S5jG-E`<x>C?(Bf$i59Z0Lylyv;4fc)dlP_`?In8#^v0XB$`U
zT;%sQ>D+!Z|22tPtFKNy;CuST66sb~sZ(F(E?um5j?HP7i^I+}MmE>I%A)$d9>_Ec
zlG?jTQNDg-{EJDf&vJ_|U!C>u(FDQl{#o)&J~5xJRXnu*r`dZ%(2l?MESvw-OH3+0
z&rT)A-8!uq%|G*+#gR{3+zYE_hgO_Zkn>&^lD+Cq!nW8Dn;qALRA#GN+&R$lgY|Xv
z)b}P_>4N`epL{Y$d9(0i3I4~+^yZ|$d)9YtnP`gC_c<pe)ax^+>}lK-amsMLM)bR^
zaxr(-HFM^z{5<Vam~L*|`x_sESDtGPU)QikYj1^+%i1ryeqYTpH0;+5)06%&d7+lq
z$3<IqSlm)QIm=twJ$herZ`JnW#f=uZu7V4MImF(JIn4W)W4%h~@$Hv8*mqyvctWLo
z*FJaa*z=m!bB}u0uD!fXwSE@^>x`-eO;>{2mh*HgUl8^@c81^V&9}pL(eiRt+<UlA
zmol(@RB*9fVNt^?>R@cmBe9~d^V^0G33}Q0Z#2LDv0A31#yPHN$F9hm&IOTg896F5
ze;7t@<w(6CXXGo!(wUIw=63VPy=J+m2M#}e<Zy#+K{tazR*?I#kR|;g+o#vhpKN_P
z@Nw@4zrG@7l}gD68yYX|V6>F@&$juvrf2YSW7)@x<*%onOq-E)#9`vEnT?!JB3?>+
zOlRi39b>AN8Fc>3jK7l}N(TEaIFh{P^@iGm&Ad@LGpw1mo{Z6Xc!*6Z_v0<zla5sm
zMYT`12JRFye<UIqcIx<oBjV4y1GoK%%Bs&Ye7n=yyX>tlcO-+t=QE{uKW}0Tt$t;_
zbJe34-}cO$zpnIL(}twO)&kSAZ=R2r42np(^-l0wfwvTg)QyeC8X1Y-=WjL6+$|Zu
z)Yo3@ch&n(-6w<ArX3JozUbYf^Aj~Pxx^mF_-_f{_@jh>?iaD*^?j!{N~lh*HMgIU
z%vQc3x;{U^YL(NLnVVOdo~d4craR}tqh}(Yryh+sdtlGLL#t0qgmwESKWdxWd!<uT
ze{oK|Y+v~C@Y*j^_TG3TAeQpK^s4veqj~yK)f;DMXPUOoDt_8_Ld`whI&~&X%3@vV
zzTnyKPca8OrwW<<m}qQN7-=DOI3R0Y3#Z9k^Bb`f6Ms#wS6&i%>5#g)vdq3;HN|Zo
ztJt?#?BrY0Et_Y+|2$XhlEGSbgM*uS1kP<-`+&tJZL6TfvhQ;j9h$PVQ03gwL|>Vi
z&dP6UXUTK!vye}CTr^+j&{x~CKMGb`SPed(z7_wbA<=n*;NE#FbU(2eHkU2Y)U?)=
zJ=77@wMZpv)*CgYlP2}YI#ku<CoepCR_(&ebITS?e!Zm0Z&5+bDTbx#Uiwc?8?1Qp
z(&AO`k=Twa2kr$<;CS@HpCyDp$ZhvxVa<i^i<fg4YN=^<Gf&#x<KO&djnHo4<Nq)8
zh8|m(_+`U|14aRx%2OnNOKQtqZ@FCfI9}$lq2a&xGxQ(dlseAw(sbUVA5HbOIYv_|
z(;Ms;A1{5}6=r7FJ(uyh<R*{)cGbx6N7fdsHRgR1&kvv8FzbW(8ix4o@-Hp!E@0<=
za%nA#^t3&Te|0?e=$R0k%yVe>frYg_QUPtuOq1AI6WaeanKB(=)$lY``I2#R$Hecy
z1DH&e`+RaeZiI;Ht~Bu1H&vT{gKwo`{YtOf_MwRtp6$HPRjXEe#~yjvViB{{hS85-
z?$E6nmsBsTDk(j=&F|%_>M83s?NeHMe8q{+vb{?~+EmV0G|I6^U%LBu@$6-H_Q|W>
zwT)&7Jos&=@7l9D-c7mxzHMI1m0SAZT(7^G)P?D$L8oj!tK~j+RFJ4^yW1A{us9+r
zf-|u`j(<l-L!rgX=f$s*W(0rNig-28dFSqp9_x0$WAiz;=Y41Mf$Hf3rN@01?0V(a
z_&8RUOX*?k-leb0Cu?%-xyos*FP+*r-8}P+)ZZtH`7KS8cIQoDyJskK>e>zUiR^*@
zP0AFEM9y0>c{8>y+-EX*_gO3DW&0+y^sNY6vhQPc<o5c58*5*^IJwQEm?6@7%efT~
z3+&xrC;o7dzAN*uM=v+RPD(~glJ$0g`>NCq6M0h^FSpR6HaXG%EfcIKKX^A!eamj;
z$%(Nia~BjC-K?Fr;q4<;hrc2xyQg!0yUN^oTVUhX8Pf0LSp&6~w4Iy$<J(=K^$TBl
zO4&}I5?H<=nALt#Sp5T;yNhn|PTPAw<KCUOdaP1&ax!N<O<0jVC%wge_nv#(cNra<
zlpgk~^GyF?+kLn6Pfp&;`*B%||D>;+?m`WA4IERr{y$Fn;CuYWm$rtK|6OrMa=-K)
zwrlHIytb#kH}0P77oOV%45kKotl7H@+g;xaR@5^{HKr~-!dpG}2xEameZ@`TvURW8
z{yM3j+5IPe|BtZQ<^D6{duEg$o*vJl_ug$<yny!mF3tTX)`!pEWBp?B1Mz)*_2v3X
zpO}q%XT5rL*25(BH_Kgx^68(f?q;S`oJ{ua|9zq9`NH2pYj?hR7P(C?_*>pSw?EZh
z#Wt)r;&eL$!}n*NHT}(yWl?pC_3@^9kEc=5YMYY3OgZaZaMRg#szJcldCOhaZR<IA
z`@!8w?wboPE7Trdm}GV<^?GL66{S4$8z<-TK4e;H?&G!jxxROr%)@hak!PQ&oj0%A
zy2L?E=fFGpZ4+1Tk64~krMJcJ>&rzS-r0E?R14g`X%c^?PUv-uo4DHEn6D??zE|9f
zNuFDuxSVN~_n+PIrDo0#$}YLjSvR4+>fxe$|1TSw>FxKqE^z<iQn@F3=c<i29~Zln
zxU)2KOWv9n?ax)c?}k(xed{VcqP9HTquy!0*5#cVrP>ZIw)F>`r|uH?T2<G)FWWmd
zem#5nrS1i#2RciC#@zkeu>3_;TJ7tyi&cGM=YM$3)vFKu9{)<nulCZd=?x42&GbDQ
zRKET})Rlt^eu?}rT{=(v?2@f9w^W}WVhPMMOtItJcIigW|LMD?u6X|@CFyOc7mweq
z^_w<Luujq9)qJ&Y`mWP!-|zNcA8|!DcJH(0=1x~GZs`j0Und{1|M2V@i+q(k%dg6v
zzMb3neCL(Qr7JEk@19>@@^_&+XXU1swkysH*IFHXaLe+3!%DN0p?@?UXfWz2t*A+8
zGn>H2%zRk6S-3&}SDb794@2{&pDL5WCvs1EooAui`Q0Ffx%vA2JqgbiO}p-Sbn@n%
z>rJL>e>y$s`kx)Ad!A3KFtu9bKkJuVzS;lPPrIJnwYirtNA1PaD|apLx7*d*YQ5qL
zv<-f4x<__??9}_d5C3w$RSe?1z2fvog94ZR>krg$olG>#n^4jH@aTiSf~wDFD*ipU
zsK57g=V`6lnthS;UNqGlD8BOWuMh8(hyS+Tsd>88Y~IR8OKv<8JK5*uS^M%?hRNB<
zmVJxw1Wo+CYP$X2Z>H0#uI#r8oG!Mg>Z4e_!}1l`F@do)O<|GC{#W^}nOc?9U9~ST
z*6Vo4>MOUusBpM;t>FLu@{Xc{soqbyv|n|9Remr}dc8R9*Rkc|%h@CQjf!n|t^4-U
z`tZFam#Qyi2eQ}inmVORxAxKU=<h$H{QOP*6JGAJziaYqn~#3rb)%QI4g6Uau?@2K
z|AsD0FRU*Tx%l;jkYQxM(bMmj*_XP+Ua;$VzUu$!ZL9fuUp-@9^zrD`{-*zRj7^(f
zE%e&ox5Z9PR(98$pQ}?297x;~`kjB(Y>&pc_aEPtHcFPcetq=C%VWFEJcD`RvN!%H
zKjEACF6pnwm9-n|JYFBYR{H!)%LQSkxpwcS?Al`S{+#jOLtE;v{C;#fwqWKxThXQW
zx-=f1E_wUP?TY;db}QGFmtRj76)n~B{`>0}m&~HSUo@WTbpMiC@$Qx1u_YV}syKxD
z!>=5a{iC<VZvD5mIC0~bsW$5`yjbxx$?x6jdn(U2KFQPl`DNQH#WcU@S8NV(n`Pxs
ze=%8?C;3_Ub=Bi4j;~f<?@g<}_tz$Dnf0Mdi|<rjsd(^VRj;1o)L(UbwRih&RlojX
z=HoNRQngCAKCk>dN$pVbUS=jGUIUSYPUa(BdsSC)cj$g7cPyRj{Z}R+_D;9Cduj74
ztCxy*Em!@I<p^mHcy%c6u0c=r)oR=7IsHGMtx(<lBgc3<BV%dBmO20Uuf6#3;bnc<
z3$EC^0u1lXm#eGT%f2;^d&Rgr;w~fqZo!AYA{RD33VRVCC>#FWYtET_yO$cSsAPE-
zqt)NNr~Jpy#cQ*_aPGbP@XMb3Beg5s4^_l*_%g~p@o~I%A|>*O+nlJmCi&|EsvBo#
z2(Ar|GO(ZG(ZQ4!64gEXuSnqigUd8FJ2FQq*PlP`^!A~4joQrqck&aZFWi$iQN8@i
zGGmR=GTyl8w=(A}pO*g8T6{!(&+J#aliE7V(tYOM*7Mbz^25b(R#v!;?&673OzNMN
z=E@wJGkv9V*1H#+Do=0he^6;?BvXEW8{3oDcO>4|T+a%W%v$lfkpJC<6<scxa)LKH
zuJ-Srp}Lf>UM$<dPIr>wTqVZe`oC{U6kV~fagAM(^yEqS9EYS&b1t=IXI?m|v0R}1
zXIs<kleJN<4xP4(TSPL;UobduA7Tnn3(GZJb5iuu()Bh=Kh6GCEy(meN^a}7Rh}ui
zoDIQCgsvI?Zn^58vt^p}(HAF#rmO0g+?>T=-u-sYo1OZTd|d07ba>A3E>8T*;(kKt
zLYiHd^&-c1Z;7Q-6pSX$IAq`yq@wL}<iV~@`YcI(_pbcAvt;U|OPp$tUr%dpWL~==
zqGlz-+_)`{RiEZZPIS*>z0@ZAb=&6__F}#LKVIKBxXGnG>E?vVDoiz#l;3=0Fp^=`
zj6SV#G~;M(_pa%iE4gPB#nx}+e-im&kyosYz=!&7cZTc7#B9yGCrtEL2@!}n`p<Q}
zN@&OoQ7hNqa`*2`Cy0oae|jnw^rTOB)}NUAq&e3PvBXZTusAvEm|jh3?pk*ds|dbQ
z#wS%yE!Q^kZV+1N@mu)kne-VAwHFL#ZTz0;QF=v0?ZvZK%IysomavKKGBZ@EkKqW@
zVCpJ%WMdFp$CjrnvuoY<oWS_@q&gMNCsGT8&*eU2uPT-E_?)tPneN$VM>f8{clX$x
zFO#Yt{chu*`7pI9)uZy?i<>`U#1GsIex~+#qV$u6E7w;oeZGBlcsY9*%k9VmMM`oP
zr%usj543Wfc6r*4F5!L49J;oan)aN%-?X>>xyiX5my#P+erd3{_@ce&tL2fb>JwG%
z%VQ4DsgaYp<)#oltxqN{n^pT~NAK5^Z<;qYNVvp3+!9n7ma>}bNKlIJhJ@9#60YwG
zTpzlZ?Nw03`fF|B`pfKMUZ=eE+*<zT*xD?O-D$_3ANjvZ?oH;-nNgdg&39x!&9nX;
zcw_Q5v(@#t=BXV>zv4cd-S*zj^~)`<&o8|eA6IX`FZf>Ccf;$!*-yg4H$~s9yX>~P
zG$$r5+JEy7ztultUcNCcpLsvyo4ff%(W2ZtAu{~_hb|w`-1PhLwY<k$D+~B6@{W~W
z*2>rU#id&xHZSwsrL_4~{~ms3R(rwyd(XOUW^2B_J-IFSmPY-TAI6{N^vIpQ-u8O(
z)>AW{eJe4@eJ!;08sDr}pI=Sw{w1a(IxVR9i|4j02FslHKV7oFV&;QMr?a^E-)g)U
z{1ABPbLX$>w1VzE>qPj^P1R;UQ(t&y>blVV^14Nrcj&e+KOp|C?+M?w({EVbG&%EM
zJ^Qlp3ukRbotgcS<fY2@r|hh+*m?Y+SmBH($!a1gt52mJ+*GkfahW#9Tif5Kd``<5
z7r)8jQJJhQ^*5(T?ZWwQH#gr*;@lW6!MW_;qMg@Wr>!o2^QQl#W%$vcil=kxrX|I#
z-^wCjXtwuJo4`SyCoBu%H!Cz&cpr33h>}w7>^sO_xM}KxuK%Ak@3JpqT_V!Fy`Y|r
zYt`NbZ&)L5&pe~1+J5cGrIOhH$1TpBmz7W6UmDBsbHm9RsjzSU9+tJfZx1b9+?92o
zPld(qca?nIS?iW7Gu6!YF|Oouel@Le#X+f+{=bF0SH8%(`N1ty<HXF5FCy;k?k<~r
zrs$gZe}Q5J;mFr521$X%jT)8(9<k*sm=A2Us6Qa^)Nh%-=~Cs?iy~2mw|EkK4hoh3
zKcV)vAS!Osk}XPx3%S3AvWPCOeX(ebjH<h<+P_IE7Ylm?gO@CnIkeGH<m8L{Ny`_s
zTRn~U`jYqN$z^-tu97c8@@M6oYs8zLs$NdrDXaD6c#`In%&YxZJ9pO=P7?E#UviO?
zx4EXp`LS*No%^pflCxY(eGWM&xcrQe|0s9QQ0?(;gH)|PBlk1j%X$9ZQ7t<3=uz4y
zpP#?fclLNQ?-8B&xbo2xy%z!8w{8b0_AbtlQP#Z7^WfHshP9429;7`>(J<sS_;gkM
z!e`Azlli@BO^(P(2A*1?R+${3wAxi={Rd&;O}r1RR&88d<x{WV{y*!}lSO>9`?jC-
z-hA@!#)X@>O8aVEpZ!T#)O4@KfsuI;uR{JcKBH2XN9*)cG=t>SZfYF8?0v{Y<!Bs}
z5BtiAifhHa_AFmrA-8_E*X)wm!+wvQ9-m9AvR-&KFx~IcYUcI@Tci>;u(@T4{cKX@
zy2SEWewyXVL?NfC59ak))nBTZJM-tUBaMa*dzPGKQJvHDz@(#IENQROPR^5zi`3-*
zS{+)#veP}q%VmB9TbQPvYoEr!f}jIJDQV7TuUK8JmMW`?c5SZ=s*v%XDZ*<vIrLAg
zpuEiKY%$r$HA*#0Q&nehT@~3XsVt^>T~_t~k!MS!w=`T5oU-A4S4p8=p-%mGmW;)1
z75`Xg{a-t|M^RPmstNPE8|};@eiyVHijDsVe6yeOm;WlSRSK`brX?M9hqF#=zBOCZ
zQ}Kg+&TF@b^>-IATmq>`WeYWFzGK^Zx3S}PpjAYo>^+HTRT4|(vL0IWr9PV$_D;A|
z*h1J;YnxhT_JnQEGO~NNJ=<>T8eQ+a#dP0V$y-tj*K*#Pb?+KOE^mHzS@h!Dv+i7b
zu<hA4(`{>i-jtfZc4e;b`D+)pwWVjLMJwN)HFs@iE^khDT=e42rk2son@!iP&CES}
z_S%MR$I`OHq8Hzqb>-TX9Nx3n7HoUA#nd=jd9$fubn>lPm#!Vi@$FjcxY1O7+q2Z{
zm~HjTQnMqr9XoPu$_*)@Xyc@8mmJ@YwUIZarmkh&XsQ|AyvbBOI(d_+YP9nvQ~7Pj
z4qq#|A;lNHIWgNL$G2_m!40P3+m0Q&7IH(1E7~|QTP4T0Wo_dIQ~qto4qh|4A;lKG
zIU!pl$G2&z<t7uiY0FMr5{R6o=&hWR*`&ieVd>9}^(J=HmL0$JA!3%S_u}NtA|2ko
zr8_s8m`z)D?9zpZS(4t$$(czyygf@RH=5{8TXyu)hKO0B-iwnmCrx9^%<P+XEF-hd
zJ9vwUi}&N`Sqqm6M$KBV^rbHEg-ai%rENFawe+MeZ(e4ecXITs`Aa`W&boE!iw<vY
zX2rC$Z6=$R-i(}8f8)}DY0tKrSb0z0Y+~X4IBM3luC4NU-<-4UcYJehQJuI!MY!bK
z;cWW@-<*B6$*28pOt-%f^g3~?Tu$CK=WN>@CD#sT+a9>)oMZcD4g1Zi8D}H-jjlQO
zd}VMxQ6~|UVlQ!%-+h`2W3y`J1{J<(PYzCU*bymp?a~dMvssxT-jnO2q-HI3)IFP-
znKsQWEi-A_u`MP`mo`Su67g<M%JkDYd-~E29pBTJHt6(rF4f#<qB1S*$fb^mSpwdh
zH<@U8Gbd#RO=C;V^qY1pCDUtKTS}&#PH+3tmm5rErllRelo2tD$GbT(Q%|S2b?M3t
zCL+_)4qftyn8o4EoR}%6)7!kXK68T!&$P6Imoy?~v2<H)(opW!+N7b>EwxEQv72j?
zhC=tRjT-XZuQqDPb>G^kA=`awqlQfPu8kVf-K#cgNOjNJs3F<iwNXQ&yK19`cz4!D
z4YBU1jT)leUQr^K7WIjxZdq}EQJ0wO7LEIh+Qd}1tXSasRg86u#=S*l-IsK=w%2Ri
zS(MkkN%vKHpk4PW-Bsy<TU<ZtWNp*9xu{G`G+HDlaJ}nG9j)|0i|!)bRa;lgcHJnJ
zx_QObMIqf@x~tLxSGdj<Gu^B))Agv%sVyrmElLoZniQxZR+<#3-(3_La(YpOSZY$B
zs+en1pt6{1QlO&P)=e5yT^l1pPAv)$E8SGDG1+yhPFG5xyx7ykKv}V)iGk8$OA`Yn
z#aa^s#l=z+14YGL69a|CR1*UQ#aI&q`Nf_l1oDa<O$g)`TbdBa`K=_y-|bsSioeS@
zlN5iaZz3uF4&S~c``dlHlI(BuZA-Gh)we0h{ubX#lKsuTg(Ul%d^1V*H~J=$>~HYx
zOH#eR-nT1B{yN{bB>8K7o3cfHiLLD0lNtW|ZAIU9X87;3<$dd!;qO@e>Mdu6{~p`7
z-<I4C&hy`4d-q$)?aSxq)qD%N9ejRXM)j<>p4*nswLSWc<@V)lf2-<KH-*p5i>c0f
z`!dacjqS}hnOoJb&I_tubyN84ynt$}x1MSKhSf%ISJrP)pJBW7hH#hd+#8oq&x`qX
zWs~|e+nG0lJ8dW4xZGjec_X;PcI_L_RDXqQ%oDx}q}Xyq@;i5}VOM_r@I;-*26>S;
z?X15W57uRDke6||!7u2iS?zT5^2vEV-$FL2PqMAOF}dBg^oDS|ZSIZ9ZMLa5gxhRm
zZ%l5r4ZR`UYU_KWesYVg>kZ)+TiY9xn{7>R2shj6-k98Et9oOmvUPGwde<ACiE|}4
z89SA!ojAvEbEdrY<K*<JH$44wZ*DZUDpNat?!k?j($>kz=~-`hdgspEXlzubcI@1N
z8#BeNA19?py*bl0H*lk|r*-odV|VN1Eyk|a&RdN4&6T_*xo|G$t(o=r&M~~@$xkn{
zUVMAzopTS$o^3PUHuvXE$@z0vzV$hO?m}5xdU~3*^6i;(=XSp3$w`m1UcA}Z(z<!G
z@w&O0Z_k`Px1sD<T6&oE;#)JXoV)Ud=j^!!WzV)48(Rx+HeNPY^DWPrb4zZ_ys_*}
zPFGHF+U!G{HMiVa_9N%i`DHiEo^I2u-{E`mty$}KO+m4i7FNSV$AAa{QL&a*so6=%
z!7;O&Hfvh0_ifBQ)h9RmQF3ry4%Y-<&P|$5W~nEZ30Q7Y5Ypjb<xV=-l(0cTN{54;
z`_aLs8yhuj40JkpxSb9+-PoXDq0_;~eduu0kAO`IK4M2sHaSEJXmT?hX$puG(B^(r
ze{9)<8&hOvFH-h6Ic-i3r&q7)#*HfG(^%U3RBvunv6;5y_@o~Un^YX9xty596Dg$R
zX6AZiS<ej-q1lfTyybE@TXZ`E61{bDPPXbE+@LDC&E@d4oEt)X(U%?`o;Kmawk7G_
zS<#O+tJ+5=-JW!N+KQZ$r>3>s5E4|`w&cjP88?LLr|D)^-kc;H-IV0rm($s#8+jqY
zJ1Bb5tw~p>-MEwEne81D-LzTNGJ4Tw)%CiKxt=-RW!qe~scv>vjGD5@^`~yv#YHlz
zx?NqaksCF1#Fidiv?F4QxS^Qqu|;PhM5MZdk^^&ePW8%kFG>!q(&_4V{VA9d*rIc4
zf~(>t4L7l;^(U68+z?@%y(uABBFC%Qw{U|dzZq*&i|@=0nj&UT(}JUB2PFpU<eX~t
zJ-8q-*er*u-S_1NO*ylthnG#5k#p+gvIRL_r<SeAIn~wan|O1|G~YtYjhY%}sz;X{
z$nnYy_L(hYyjgR(uVXIP*=1L9yt0Br%w`|Dq}zU{=s;%2TDJNKb{-3}BfNrKVJ=O)
zg(=PwHw9eHSSIosrZ{uF+@NI9Ghu_W(i;vA>qm{J+88z}2Dw}bb2;C&U@gZyQNw6K
zBLN37mkF$jDULjm0xn`M6Il~e9AzS>vaDXzaB!7Lged#!PYIz4YrI-QCvMOZ4qCk^
zieLYlb8G0v4O-%1tcUAYr9_DGuHKXwYEcm}m4CI<CN0&~Nt?9PS2u0a($reLX_J;>
z*wf>yzO3=eJ+f*-#8jcxNlBp*VMkL#<HDXES+yWys>o`l&00%C4NtB)b!OF^FxIVF
zCa)JBtxDKvtX}pkH9e+m+0nGnh}A`#wbq6fuH`zn>dBfezMRmc)tfeJZK@BQxt8nv
zstZMCEa%z^dM~`~xYKvw?VFApeGP9ruJ>KIDQIb(#J)vsuPJAg@Iq6`DB<{vv;JH=
zlHuETjV04}(lwW(v(`jRzC3HewU#vBtZOP;H>()UQ(dU*d4AHBX-AH4Q`xS1P}eih
zv&4H-^yFK!e%!eBWSiPf(~EC8n{6{UsMqs-dvb7|!;Q%t)k=x}YHv7MxvPs3{SDrD
zw%K0Xpe}9ornB8Naif~<wIfGo?THYcZJN0D<k?wUt{s`WS<Nc@Q<U(HSx2s=q;FHR
z$##mKJkL~flbYMLCnx5q+z@81-jv{(G|eT=Gi}<FEh;OnOj<E5Wvhyr_aUuD!`#j@
z)6V3atk3igF!gpibY#*79naH~cIces?o##KsAAw9<P<e&iE7|R742y)t=+1X8&wRZ
zsT`YhV!=igbMH%0Lf0of(D6K%?U~>$6fGn)S=BO9Xo{+5q>zBNw@{L&i_Xao)rY#A
z7bbm~rjp^=QseEkMa9+oQ?!usBvZ{u;mLDF1)~Kw%&a#J+@QvNt>xe>lL%q<>`w{4
z3Tr%DIJ2D+eYMtfwwmtTpeA9m=46}c!wqV(*Nz<Sny^7hX$=R5_M?Mc1sjy4)^M<C
zCpmWZ=+4}zYO-y~v1vCBY*e+}=5l=6n;SxM(U+3FYjRHZ>oRUqb=>B1Vj9oQNlMX4
zDc*f+Jezb6uI22hH=Vdq&G=f&u~}Clgr&2Kl#kDP5iwad`%toP)tXLzg>0b|-<CBe
zCzvW0ZBo<7p0r6#;hIaTZ`d`JBeOapCJSae9Xc{=!y3=ivv#aGd3x3ykBw>u*+Egl
zmuDTx@yzosiQaTuC@$Z-X`4%iciXlj;U<SS8LO2oJ92KqjhTYh%1QO<PH)bfI=A8t
zPsd!(o03!JTHchLJXiCk<fOSjHyF#6EjxU!;Koc|>&1!bMsIl9=I-2JELOJc(7Avc
zGdZo56VsL6@U+aW++fUCw(Q_JgBvqhtrsVx3#~abajM}aBiFFD6Q_70B^9-WQ&Jn&
zoavwXaifuKSljVaZz3dRwI?U17Syjf(>rzJMkCX(wqvKxL`X_%3n!;0tU1#?wQ!@6
zZdlvVQ)?n5MYShyG4jwZ-eTmY{W*H(qN$2elJ`&XtnImQie;@&eriKl+jgVfQ!lRd
z$xAKJE{>MGed^Dep7W=!ge^-?&Cou)*=Y0BiEGcCJ9Q+CZJW{hsTU(>&Y5~JQu6w#
zE%jl~(o!R|lQ$c!o*KB;Co458tSv1yK)X0<=FF)RBPB1Nni9sAmKwB%r)#R^Mk9Ug
z$D53FwGVGHQVUyl<kW<SnS$ENNvTe2&YU{6VhvBnRL@AsDN`*YB_~hSjFg--_2&j7
zxv*u2PZdPW<keoBm}<0!r)}!a4Mt*N%MP6ih?vP)udSSzs<eiuWoqRHBfhX@2TvJ9
z%w*MGoRBJ%b7o?%;U+^@v$hk*cy3B4N(-kXH{_h@@BO&Z(AKQ&_^~%PBxI#0Cnp!=
zoayb|xY5wmtnJvbGdCn8rG=A|6LQXU_ZDt6)HQ26dTh-N2~p|ETMRv<i?<lMNq@dQ
zV^Oc-Es6Wbc<OU|E*xXY^~q0eFl*axxV!gau1{Wafpqb0iQC8i<n)|BcExO2dUA&J
z;mwAddne|eId|-c8QV6)^}QEw&Y07C@TSD|V_VFgr6os5CvP@f-5Z$ela-uh)|QqW
zAYFWG#?0P{H)qV~)y(BNb8N{C2@&byq~tiWW2wneW^Jj-J~=-1oxO@14VBH@jvQ;b
zF=J|P;7thu>B*Z6HKdC-8LCMKZ!%Po{+yU>ljGCg`*4Gyw3*xCV<|Tzc%+LHlXY@@
zT6-66Fcda(J9NzDh6IQ7=Y(XL9G~Xi#0`erW^M<MsYG0!Xet>QJkeAz^6~^z&dA^i
zri_u7`%QmF1oxYMjJVuaZ~8JKxX<)q#N}Son-RgirWYeF_n4lH2<|aG7;(AVbZ10x
zx9P@+%Uz}`BZ4o@nsBXTi`rt-zt=*xs4X)6dCg>t+Co#-wJW!)EinCfZA<jzJl~zB
z53iL(PtNtV&;Aq{oa<|wy=ZM`y6<Mwlh?LvUUp+vLUz?!&vakQ>_d^0vwiE=n%-U0
zxm9hp>Dp^cqJp!0O|ut83TOE)H$A(?GtJj9+h{Fkny==ymLy;GYhO00O*h@TrZd%7
z?OI5ZugW!(BwwX#B1yj5*+G%Q9i~gyaHjgIXB$NZpPc1!?MtGs+_fu-zB1RgB>GBS
zo08}&ajhiLSL|9yqOZs`lSE&kYa)sDz5>_2B>3`OyOQ9`b8Sn4FW0px3EuA8Qc}EK
zx4EQvJ8x4-@pjzClHzT@?Mbq??Y1My-qzceBzs$KYf1Jt-<Fc>ZMw}R+1q%VO0u`%
zHkM>>{cTT@ymhx7N%Gd-wj{~hGur9arHj*gwtcxBba7h8wkNkQU6|IgO=Qc`d(#@W
z9jU*4DbIVC?$d28w=d;-Z`1vob28m~tM1pF&TXpmbkA;6xqa!}w4~^!+?QKb=juMq
z;Y{~lr@M9Al_dX|H=I4Tog3ASGTwOh+HTyaZvKts_`D}Kgk^2MEjd2#$BoJI)ke34
z=h-fN>v?|Om2XFmZ&TlHd+@Dio_~q_x0V!tuWv_KQtSPLzOfvcx8{v!hpnJvlE2rR
z&Q9CJo0F&67Fupp*Z8J#bl!nCCoj%Zc<Xs--ijNOMXQ;TgOhT)dVFVY)HLxct3LGl
zt>=Y#9B)rvnD^sb%Zu&mdwn@?i7fP$yd@HUaoHcUqZz?{W~`aPlgwOMyL~e^YU-Ii
zJvvX~=49pWMGXfR)tf|!uy=n-2vpGVYH^+DkQk_?)79#_bAyJ2*wd{V8(ceeyK(~4
zwz$5GoN{Z?51muz7u^t3J>2H{aD#@d*wMp_Y9d4?xoTQQicE3!j1&>*UbIO=yIUyf
z$f5}mQ-r#ck^&>dmZk>Ad}BE=k418my34mECl+lGdzuy))%_?+WKR91c{5VJrKI`$
zRX5!do@Ki-@a@Sg|D10vTh-00FWnLjKR55io0Hl83DrWkC(m`=`BcnxyT)$Uhq_!B
z7JU&@&BzF>Q5R!9x@bv+$P8Ca-7e3xz%;R^TQpX<E{vQq%hhwO*wJH0)=jw~Dx|eW
z_hwG!R@JN1f}&U56goRCAlfQ7vp&t+i2Kmdri_gW5jq{B+)hWEJXYy;%wu(o7QMIX
zO_=L;t(~C<*PhA^%~}0v^QI)$<LmC+5S88?lpLP%=2TDY#Esg9Wvs{6?YSW;zWY*A
zc*Gm8tnjR|*0k_|-9@*i&WxRSbLxy(!?#|U;R$7`N7pU5F;#f?r%l>=yDx3h*4e$N
zJ}G=s8Ea;EU)j-&@VebWTeMwvKe|12VXWY-sS9FXzU8{G?n7DXcI{oUC*N}Ah3D-~
zx;<54H&aS@-J7od*q0l%ZOT%QuX}N0s!Vj(%}JTwOLY(CXdaywkn{4$w76|gHZ7f|
zyD{hFk!fBzokym*<#-;M=9H6}>a7*cv~lSa-Gw>zFH^kLqP1=cot$QubMo*stDMfm
z)68-_4^K17;XFJ|FX!Z;X<9j*ho-6JcpjRjl*4&wnq1DwgVUsPIuA}0%kexoO-Sd=
z#8$&i2CialCl2vMN+@y*rzAG$oat}<xY58?tnK)rHxUxD+>?_N3v|x(wr<>LU@F#j
z?9iDA2}y3@<od(}oip97g&PfY#oCS@S`#55$~}3Dfd_Z-76Ui#&(Sj$wJJtQ+&{#l
z+jHR%i>^<8VuM)Qc7xrm7j=E|5(~JCqa|)1`lHix{?HY%W$B3-+=n+CY;K*Xd*<As
zBVugZ4A!?^jGQs2^<bpL^+Q|4o~0#5a3^mzSlt?^>ywq3CDvA-mKeaeNl;sO<0b*^
z=*XF;PC2a6Nln$zwvL>6@|0JY+onzY+MhRU;?sV-VH2<R<qey7v=49C#I3z~!zM26
z#TzzpYERy<i9@@2!zOm^;tiYFw39b%V$}}bu!&`NaY}j58^4Kn6*pNsm${#K$8u9v
zVfW|c@|rh({dXU3w6-pDKVJXt$qiYV-Nnh}IdA-W?=IYEZCvJl?A?(YvJ$&LCzZ#%
z@$0^uxY1g>%>C#)mA8DC-pRb}yZG);+479?j<Vz1t(|u(Z?SgVefhTRg1Zmjp35sQ
z***ET?45Ua%8qZdw%`4Dv-Q@yFK^1;diUYYxt#Kp-J3UCZ@k<1_FQ&(Ntt?jc|m>I
z@vYWt@9w-Qd+ptgH|Mg-Lv~NTHFwtCg*Rodyqi;&o>rbxrk+;rvpe{f?2Nmf8?80U
zo*#L)=S|<~cU#`@oqjjt#$2J@&PnBNZ~8j!a&ENN-o1E}wL+PCYI#7}^OSO*vgIk|
z9%auDzpJ=0mv8ss#B#GYeQkG7Zm^apdw%F$#Ets7T)UkU%hlfWwcPF8U@cJg{NOu_
z8*|xqA5JJ2d(+o+*K@;0WohG08<nJ$H*Hju7T&Z`L7I8fMtSMa8#l^HKi;@eR{HYB
zjWW`QH*S=c-n?<6l=R|_8zrSDZ`>#$-Mn$5xODNxjbhTt8#jtd2XEZCq*pQbO-8bt
z^zYm?8ObivpX+mTGLoI7xo<_}Cp$<#&du3wc=uS5^x@n$+YE0Xt22AHdE>2PRc3Ct
zCC(p9lb)QLlb*b>_n_IgTQklbD>7@_Y<T@xl=SYK64}XS($Tp-TQ^=gmSyI)+3?CS
zKk3;wXPh~fWR`X-A~V@Q+Iyp+h_v;_jnjK~=B!Cg){!>exKT*DUU}n2L22QQ8wI4J
zZ_YS%%ppf7HCaR2`sR$2$GptkHf`jW{=8u$pY-Dm8+oNKZ`jBqeR#u0Zt2Y%HgZWX
z-msBVdh&*i9Ma7jHnK|>Z`jBtoxEWqt90;&jV#>On+%k>wKo|kaZ7J9P~_&`WT3$P
zd!vCo_v?)Ya@@B!8pv{=-e^!S!@YZ>fi(B(jRsQOvo{(@a(8bukl?P~Xdup=z0p97
zJ9?vmD7SZ%#HB-hVrg48+&|PM=C;M){-HK8wJjSKw0;$1+hTC<P#O1SU7hU)cMj!o
zZ`OU2o@mFtT6ax);+EErIyu`6ZXPNV6N{F}NnGFhQb#8}(So~Jcg@xfvs*Wc)u(OV
zaP?3Kx3}(^v_unbXWchjHq2~2siTvYxU6-m7~AFzBHYd!HwbfAN6t8XC`#<uCIew^
z?TrRP+|nBj1i864ZkXD-Qitcrp&+rgO&cb+&eU0xlBmM{dxHT#_v;M?eB8G;81QnR
z-eADPy?cWJH}~od23*{;HyChocW*G@;I6LUV8G6uy}^KuJ9>iwE4TNC^~%4EHmz6s
zt+Z*q;%}i%>lJ=8ZCWq?`_snta=#yKTrd0k(#G{NzYlF(Fa3Md#`RLa7j0ZG`FqmF
z^%B3EHm(={U9@q%*zcr`>qUPDZCt;kR`KoYjCi--zuvCSh<Ew@>1}pKywh*4TjBZf
z4!<A0&EBqGe|KNe??Z22Z_~fMukPE^&FgRNtNP}8Tm1aKwBM87W~aw*tUdVc>#gbM
z_7#0=-K>9oU)1kiH^sB#&3;F{_1?Pv%D${`uAB9*?DP9Q>*n+``;xw;-U`o*H~8(f
zQD5Y@)yDPHYj?g`of@z6+i2r@q2Edy*9-m@+PGfecht@4r}jC#(XLO8*Z6I9bNb1B
zUf*0dt>^#!X~TNH-;Xw|=ly+Y!+M_Ihc>L|{=I3#damD#Hmv9TJ!!*wj^9li*0cXE
z+OVGOchZLStiOXctY=wWoKo7e#&6<P#Z6YuVeThhu|&!$tp1!_TC>Kl|LVhyR@Pze
z$6q~(kd;|ooLri-#;^D4!i`qOVea+EULA>$m00~bsWfJdU-#9-jaJ%W?nhs#tmV7(
zN@i`}#aDmAmS>c9gdN{*<-A&Xi<RT*%h9q6u0C9QF0Zs?_2g*TJFo799p7eUzxwfJ
ztF2dGM#|oL^<mAqoYIuln>Sl+yxO?-Ty|+mn0k6?LD=!FR%@^BjFi3h>c*OLS*0PX
zCr8!Kopp6#r0kVfbHdWoN>jqr(@K3-2S>@yxazslN+ay~kym@x^qqdSWewlyS2H5!
z3axfdDs@}a*Kw6|qm}mR#ha`Y!qihs1HzuCl=_4%Pbu{Xdw%#;MZ{db)rS*H&DQj_
zT|K$MN+Rs}p;r+RbGcSKCzh(M>1(;#xxq>x?D@f07WENx*;XG;C>2}N*L2l$gQdIK
z@e|KPZptXlHclyS$?=<TmT{A%z1i{O&%WG{k(<3axws_9ukY-^jh5zS$B#X`azjRH
zwsCTCN{(O8*~X2Q`ew(EKHG9bMr`)xq~eeqze~>)a?f3SCXstCzqre+J)^j0w(%BA
zr`f_=Ecc#eyd`t5{@EKd_wAND&mPP@ms^}O`}1Z?yV=3F=gd31FqiNAvomJy+bpeT
z3*VNx@obNodwOw^8GCwh%<Rjz=FC2unA>;u*%~wVt(Gg#uDmHT>#Sq$xy<4;GxxOO
zB(vjNESH{byg5f?c5_m(U(UJH&vxYaoqo0<r?2y@=0-~uv-Bg+I&RFV7nr?ylcm;d
z=A_~vGxpSCKeOX0#a?FZDaCd<eeGvoZm^UwOF#T9<Hj7G+0BW?dO3ZqXIE~p6fsLb
z^vvVN9FEz{iN$g`ea&YxH(2tRr5}8zabpfkcX3K#kB;BOql%j>oW<NvJYtEIQRx1h
zTv(&y*MIckMhj~(_v4SAM99c=*B2)j=IHqK9$mQ6!dT4x*rOv6G7{aNlL}*W{JM`O
zZnV%Ab3gh>MVIf=BN^Ski;w<@Ezc<I5Ierz!ns>{i-lwN<!G4&M<42*%PTDDo*XT6
z=g}Rp<J&CkyB}}1*n0G3q|B{HA9T*;6sB}<-fXe)Xru1A?7|W;_4L95vEy4U)*h|j
z87Xt^(G8t*S%o3plcVO$I=V1Y=E|cvV(DpxDPrnrg+ATEQ8F`*dTzAP5PN>)(H@<?
z(~q|3@ST1%BVvwFw{udVn@(THQO=DP+TDvcSty99rxpf?Jx?k05nG;8=ppv}@S}=|
zIeguR6AR6B`r3}3++ZOg_WaPJh=@5{-Oh=H^=dkOEk`>ySO|zcKlsQZVh&sP;e<jl
zoxY}{o*ULFzc$*mPU*GMrge(1g*L5Ic+IqFo&4)h8`sIbezb9&?CVP#*U7v-v~ivE
z>rETiNxfdQah>GrNgLNmyl&dKPW*Mz#&u$^lQymseI2xM-I7wpwXZW`-CqA%yE-G*
z<@Kkv*%`4;uj{#@!t-MtUO!r!y<P9_uA<k6*1q1RcY9aewWpic-P%=k%{5y5{I0au
zlh$UZ$8Ib=c<pP{^mDt4uC;E~yS^*x^{z<q>{zqcQER=ouDh};>zeCky(_!?UeAi0
zer8wFwbZEa%vgihUK{m9UR!NkH@$S{n$@YXI<Jj3t`mB#v~iu_YoYp$>jYj$MNU7p
z%VCXnYOKa<tH|jmcX?fN-L#JX^`{N%_+CHSu#Wfjr48$NULV@9j{Ehd4ePjGFWRt<
z^Yx?+>o{IFZCJ<tx@f~Xw%17;*0H`0+OUr0w$&zG<=a}Dbd_#PZPHb|&9zBa;r6eM
zy7ISQZPb;!eQTqx?Cnz<b!Bev+NdjCe|yzNU8&o%HtI^=?%Jp;al2}xuK4Y&jk;pD
zqc-Y_-uAjBc4=GRw$v?a?{Dkc=DJ1q{<gMls$14B$o;yFb&Kx3ZDqGF<!W!&y|XRv
z_NLs|>Ctw#SLLowkKU5|F(-SQ?#*pw+eB}R<wUQ~eVL=39&K^ED0lVNwX<_KZcE*~
z_Ug8f`rBT)tJ9)QZad|^-m-RP?#UeOwCH8IQ@62hUMq6jY2#Yq+f_HGo!%C;?dT?5
z;oDjpb%kzAZPXRK&9!mu)ZCRh+()(rZEM}Mc5?2_oYg7ODz|@a(B;4VYJ)D{?OPjk
zd2gTEpv!Z6*9Kkg+p9L{a^0S_L6`G(*9KjV+f^HM*>7iUsMlq?9koH1^|sdrbN6q@
zPTUi@DXmm(oRZ)2#&^PA#!cq--;N!>_vMDPT=nMU{E|1meR~gXG&lct?AX04H>9Ps
z(_+e&rRJx->FwFuxzXI<+q0whcHEdPR(&`rKkQ9!*Iv(C(o6PQ-jZIt_vc&Ri}wWH
z_U7leeRIpmZ~C@uySYPk{o&iQ@7{ayO>MjRj=ekI_U7i-eLI$(pH+Q%v-y_24{y%C
zdGExxwDkO>YUbOsuity|#`oO42XA__^P{SZH=D27yYc4itM^uXQ`>58TJ5~qe8pbN
zw`b4XJMqT%%)J@kmTfUNsGgjZAMoaE=ibVj($n{5-jtrU*Knh`>bJHd_j+zf3)WW)
zC*_BIb4$&4c;kEO-hwx0Pu`pH#`omC32)A}@BO&JT=rYr;d?nZq<O0+C*~WxIorB-
z;|6olZ*7O}`P`7^tQJnpS9o)_d2itcbKY-l2k+_JkY=r(oDk_gEj1<5b((8Rr1Lb@
zlt{;EtSOQ9)1D?r+D<!~9BDmmX>z3HwASRvdh=<i$&sehT$3Y>r>Q1K8ct(Pj?|y_
zG$~Sd+R>y)?P*JsB0Wz#MP0kNsb|{P=&*~MI;K61zII_#%QVp~Ywm4on07S!T3+O?
z)Th&0qp#&gZcF{Eb2>e8YwA~>?rl2rQqN9PjlOnnQ_|@s-Pc=n=B7T?;ZBcSm%4RY
z>1Lg4n{uYrZ;hIk6}d9?s!n%W<cid}(@Zz(%uGG1b9&2~OPdm=O-+i_m{yt;seigC
zGVJuGh-s-wk*d>NlOmO;sU}4#PTRUkXKHF=MA)fK0n<u1=}b<Ys?(hkDL?ILVx;V}
zqluBy)0QSiN=|D{j1-@iniwfM%{4Jnc$#Wrq~J8x#7O>WPwNvRd8Zvsh~%EOG$E4n
z+Ore4BqC=kWjm+jwyo)%u$6O@nZvbb$8Y_Jm@SumI61d$O>f`UlN-$}u01<;>qf+E
zsch%u+_W{lJzG0Bni*Vs#@fbd=P@Ir@+OaZ(3GUKl0EY#<ZBE3m2<hlBV=~C<*Y-Z
zama-O2boWvKHjNzv?(LJURW#U#D+xG<YR|edt#4nDBNhKd#&y0tu+zSqS=#8lo&7F
zl3Ck(@z$Sf%QA91t{vNM=A5m(#mq7La<ue<tq<3pJ?FOFZ0FX4YtQE9=45}~Y-X1o
z96fv9)`e?%&)+(8&25{Rb+&M{^o?74uDPY>7F}aY&yC5x95s9P*2J~FXK$^kzvi~p
zY~|LKk<zoaI<7sNnVWXaEiE_c+OaKWOSd*g&KAjTPRjLLbN2MD9cz3~-`cRIw{xrJ
zMl+RbX-95#M9db*-n_|7E1NkfH|QE$YOde4V=1{_*V<BY?bh_RZ+*GJOy*kJ;aeFI
zvw5<c6La;}^tNtYxxq~2TH2vo9uc!SvYG1>bLH0bHgC<`V8(MT?cgnqh}kT=tu|>Z
z@7CI+t+ZQeleXe+u1(qsyMJxemf!tqqqf}cTN|}ycc0p*Ewg*qMs4Zct2Sy&?Vh#K
z$}^DDaM6)<VkQ*=iQz&r8yb0292nR?wDN}=m5C;Y8<c%b3fC*UniQ^6wlyhSt8D5P
z?Ip3Y^>0sQgzt|PecP20zAu*dtyf04<L*~)xiZ4{#J(+CdOI{Pd`IlvveesG&#$W~
z3%wnBeqF}yM>ntLgl~#{`$lV<_KkIMyD!}gJ-4o)?CGu0>~QnlNpDZ3g|CiXSvK`n
z=-G7{Wv#caW`-N@o^&(x%sQXlT5q}1!ZpiUlfu=@zHZX4pB}sQO;>8TT3KjPxJsF6
zQn*r?Xi~WL?x35Z9kEN_aHWQ;?>4#_dUBmd+1JEyxw5N?;WA}g6T_v-rY43<l$9oi
zi<N~YhKrP$CWZ@@i6({%lzmMI=PSFK5YAJ!H6fg<Y-&QNdsu2psB4&ON~m*~YD%bM
z7;8$Xec03FP}};jqsgJxVM~)kEyG%qL(RidlS56zT$4kM!&H+)4Z~QIL-oU+CWY#T
z9Zd?=4qKWO>bcq}>gvT+Jz-y?LocrC2zwfR^}?!_Fwrfm?yYJFI~sj8FLYPv)3Da)
ztGS`uLjSHgl^(h^^y`|gZCdj}&xWZ+Up==fX?4@uS6j8_hCW?W&y^m!E_7>H>1M5K
zt8&7&MorBMT^V|HO;=jziqN@Xrkk~9h8|sWYRjris}jPdCWUH*l_rJiuP%xVJ-sR-
zEHx=qHOw_BR5?sFDO53R>n5$Kp^Xutr&a}om2T3S96EJPS4yaS*we&N*|4LDq0(VX
z6GJ7#S`$OX!%`DNMZ;VZ>qCXZR1-r5!&nnT`NN(jgz|<RO$g-<TbdBcX;zvN>}D34
z66|7TniA|}CYloLVD>dR*v{-~a<Glr*5qI-v#H6!7G|Z%!DeQm$-yROrpduZW}?Z#
z24-KAg7wU<CI#!5ZA}W+GMl<ZbBV8P?x~F6{l22PT^Ygqe0g)dGJ@+JXTQqj$_U=$
z`_^pf?U20S9lm$XQg2^5zpTbA^mfSkWf`*{-Mo?$yvg@%j@CBK8_VKmU%DA`ZdrlZ
z(_10g!RE7*a!;iNul8MOHuYA>*<~4Kt+%dZ1{=?wbTj14GN0L6xm;<%nr5v@!RlsT
zH)&4y-I~*t8mwj(niQ;JW||bNRBtAl6s$cv=%z@A@6sHu)L`}5MmIxFF7q(^niwo+
zb~Q0r#%yb1u$0-<#9#@t(!^jfv(Us~5i`@oU?DTn#9#rluL;3?W>*t}dCayZ1ap~9
zO~~%c>7BS%bCapdwzL!1IBw2Th-Oa7uFL7|U;A>Ssm->u<JVr?m?ab4oSdDPQ{UUW
zcI8G>lWl3ouAR6sOCp*%IXf<=w|i~oMpK<_X-C-{oW(p&FtJ7|C~$vCZmiJ>=x2Sj
z(ZO2G<2cjP2n8ALlH|r5oq%4}MH?NA#XOEN9gR?s;Qo@-7^4%=&6>2)L0in@D3hwL
zz$GSG-3b?&{)(;0XzUO>vE9L$TV;!bV?FnkXoUr=k905OHI{HsiB`D7bXV-eHV1p|
zCz~C%vc8H`xW)8Q=R!_n3ip=H4jWmUbT4E#mWXMjHx`JU*y^yBbyuXqHKv<77qS{d
zxTi!dn8ms%QsD~IT(OL_#uPD)v_>E9kSK*2tX>-(G{jyUVcM%R;WX1$9f8wKGb0uV
zal6zfHM;3c=wRjA=%CHLWRrt}m_}-2fY^(aMjx>iDUBXtFAg(RMl9gtK9bmIrZb_9
z_0$Fj39%Q4m?9$<aB;gNHmd1NXkqQz;2<FO;vkb{!~!<%BMFUSIun{$y*BJoK5e{d
zkJ4%7O?wni3vb$^aGH739{JOsH|~);{dnUZ+0&Od*6)!yeR$&@>C>Ax?vXmZc;g<)
z(~~#ukvQGFagX@v;*ER6PA6~NBYHY`<DMl?6?NZdRJxu1t-C&>(&hAL-TaJ7r_<a~
zaru=FryuL)Z@0Posp#}!-S^vUZhxwq_I&f6Tc4_?xkt;L|CDxmvTlBQ<;JH6r+tr_
zckWZswD!$5*FQy_-d!IlmtARgI$GC%>z*s0vZlFjwz=}j@AT}*d1pQ)O-ql8%d9jw
z?Y+@P<h1q1J=34=)LEZesdL(R;~t^Y${Y6xo)+G?N8ogH<h)a#9CY+kD>Y7AN6tI>
z$!nVXrak<pKX2H>clz;$J-nwcZ`i|g`tXK5+^08h*u!;t@rFH|rzdaN!*RO4dBYy|
z)5RP1u$@lcu!r?@@P<7syRA1_EAQ6cWUaJYdXu%{ZthLi3cG)Aw3grfdZV@6?%Nx!
zWp|(6Xf3mQ_eN{!-K#fROYNS$(OPnM_eN`p-PIed#dl|Kv=-YPz0q29xA!gCOYi#1
z(zoos|E{adeT((|cWq_rTXrwF`?ZXHi}k(wcV)XTzt!7redk@??#*xCrI*|7Uj24m
zdij>SAK&C{v%dMRtW5m2Y)<+5yD#79rI%amE`Gai>+aciH<qPu-hK65$Zqeq>(a_i
zc00d)w`KRtyC>i1rIjzcJGG2`^KOyd&Kq|N@2<W%_w>7{vg4bqg?DRjv=-Vez0q25
zH}}TfQ}3>PQ_pwgT~Jy3rrne8&U~{jrCeqA?+w=cyI*gx=G%RHgEjB&(;KXLcJJO`
z&Aof|25YX}vo~0C?(W`T&9S?BgEjl^><!jzyQ4Q)v+nlZuuFNh@upo$tCctHQd}*(
zX_vxk=1sfgSAX8POK$b!jk{!5U*5P&X7%BXyQEid-ndI@_2P}YBv;o@-ndI*b@Rqu
z;;V}{?h;#_ym6Q4>fnvLmRwa_`!1u@ZT0W9>oQ7RR)1cbmr?4pnmZ~sztmy%<F$F)
zt?s@mT77u!yKPptU)6;@-@NPAtEw>fXxa0x(pFDio0nd?@#?{_?@@Ely($W8-)wdL
zRn+R;k+RvPW~-yu`fc5H<yBUg`(~^9E3f=k&yJjX=2cQydQ@y?sljURjaDM7tvBwP
zes$-Xb*ZH~tBp7A5?ZaiahKp~;f=clR!2w9J@v|Ajb3W0#%k-xxhG$Fg}HCq#lQOV
zhFyHCA8**jyZZ8mT|BD~Z`j4Xdh>=|T&ovv*u}Ye@`ha;tD86MVqaanVHex#<PE!6
zR|jv{RnIcpdXuH{Z0${!O0%UmSt`!v-ejpT`}amm`Pr{GTFTA7z0p#3_UVn5GP8GY
zw3ME`dZVS(?AaSFC1-bUw3L`#z0p#9cJ@X~vDwiZEk$R0-;%lXtj{cc%g+1Hy3E|S
zSl)luW~RPn=Yq3e&DghC-g{Oy`*N<{cFQ}@@@8+&eV1NrS3i4o?z;5iEoVRG<ZZLO
z`K-)L{I*O^@%pnbbM(@SEoK+zuG_kE_SubQ>6>?6eHJp?J9k}LvB_-b+;>}c&OCcE
zM=z~-+1aUP?3;Ir%y!<mQ+Rgu%{ix^MVTGnWGOsbd!wb$Z0U`bg0s0d?wopdWe(qw
zXF+D|n|4k<J2PipO0ml9-}M_T`Def0V97W8_6AGd*{3&H^32}7!IFFS>J64$vuAIx
z<ec5T!IEQk^#)7!+1VQ`*=9#?uw<R>y<vxPxACSOO5Mtvb|`iWZ`z^I&Ae%ceD~*#
zJLI|_Z`>i<eR<;!neM|IcSv_{-nc`md-28{lHHRx?vUti-nc`&yLjUcvF_xJJL*Nd
zgE#J2a#T_GT}Gi>_ix>G8HFz0pLO#x3Z1&Sqhj(49l9Uu=54pQ`>3e<u<pBU7PlYO
zi9O%E<JO}pG52Vh^N-THC+p^=7j8UyQ0#luoO6$g#M(DoTz?eRy*pASyU?sVTGwyu
zjw_F{#N0PqTzTZzJv(yFnMX-t=}|G6g$CXA-Wx4Mx~(_vn0|Dp&brh>oo?feJA}HG
zH|`MZ7T&l+pgTHp&Z$QZI(n&v8r{~Bb51_;5_8|QgTMRph8=v}k2mb#?Y_KW2T%9m
z4Li8IH*eU%)xCJb4$kh$8+LGXH*eU%-d((52U~aYh8?Wk!5en4R9kN{SFYCHWUf>#
zy~$j$n!A3JxkB~tjpp*zuQ!^@Ro~udE?a$iqq$7=?v3Ws)vGs}OI6R_Xf9dZz0q8v
zx_YCzcy;zhbFu2^jpm}&-nXPL-Rt|7wq^VMdtKk$wwT|)*Y-_q%k~9(zkXxeVt(&l
zS@q?&y4%g~+{>%p{Pt~nzFqa|w`<e$x9t7+CU=|p&3k3v#OiNL=j5;7`|^!$dcH+<
z@!Pdqx6j_Y@mt#F?N{%GRC~W&o0e}<?fmxbmhCh5o_wR5mcMN8)NgE?w~JIeZ`>|i
zU43)*>3dP%j%_j*uGZdYE>tbO(Oj^ad*k-0dsn{UJ#sJTTid4XllRVivo<APrTX^<
zbN=er8_fBtZ*MT?tv<cMoTt8e_XczB>eU;}xvFPxFz2l9-eAsAUA@7ay*hh?Ia_t~
z26NVG?+x3OvyC@xQ_5D}v`sNvc+)n8Z01ed<g-6-+$NX(c;hzN?8_Us$z&hixJ^2H
z^Tusb*^4)BlgysHahpVT^TuuB*~J^TiDf5m+$NeGym8x-t%_^kX5_kM|6aQ`qdwOq
z`}5k|j9jN|?x^VeT!-w(Yjd}o-Mv+meR%ELZDzM`)m?kGdE2d9RoC32rO)3=%bvV8
zH$8Xb)`Qo+Ma@2UtLR$WX0z+JqOx~KN@wSqWk;{|-Ma0{t*mQqo6WA=^2?qbIs445
zq-$wW(V4jh+1?w?M6#_nZkxV!=bE*txjNa#^&7VdWh-yoCYUX}ahpJPbmZ()w;a~!
zrsis7TSv}5dCTjX+oo;&*`GIT<I8@$VH<Du<qg|-vJY?A#+|)+!#1w$#T&M9W>4O*
zjU&5x!#4Kp;tku_vXeJ#W6ciUu#M$+aY}v98~=%a6*t*Ce{(<akL9Mk!tc+?^)+w&
z`~N=NXm9<k-u?K$CpY9}eitX#=e+Un{kw3Zz415qWB-oakeB%VIjKJ8jeqyw#Etga
z-`tP>Q+dmO>7UHo{)_+qd|RGT-|_AEc6;aF%3JIme_y^Wzu@n~x99WfOMXwjEq~|V
zoo~mt+1vkqyxD&1-<LP#Z~go5=6p_l%J0pa?Kl2ye0x5-zT}&FdVPJtx8qyw*Z$pk
zQ~uh&8*k2M)rb6^d~5!!zYA~5U->uZTY6f3$~X12dY|9Hx8!I1_1tK$@$LDMe|z5a
zpZ>Sy4gcwXGj7Zm`t6)l@Ajs@<1gn%d+pzgH`yzEQ%|iA`1U-d-sju$lzNYE&kz5r
zxG|sa_u<5Pvp4;1e@||(m-zPl(7*bK8}qq-J15qwz3FfH+quDB;M?<q|156IXZw9P
zp<e7wf74&j4R-F=j-U7?5-G3r+Bl`QWsU!YuZ)}Q?5`a^{_9JGyxi-}$+abG{QJHh
z+-PTh?f9`@S0d!4UK=OZrmXSr`P#VAPXF5RqrbL9$cw$+oKzdK#{beUg|+7|{*qXG
zKEJ-U>sot8ZOv=rEp|??g}2!4{mK|6fA80uYwp|ac78p$_Iz$_&g;*c?d)C$N6(-4
zb>Uk6^S{nqbKhoX{aQF${>HC8*WA-<i>|S!*T%fQ95sLT*Tl8`XMe4^=DyW#<=2&w
z^0U4=u05Ywn|94Ttv2b}@hx^szcxnB7kS;BRO`3q{OS5%JJ$H0{<UFEf9F@tjdm*6
z(vSS=h?p<%dh;ebt=G&+wL#a|Q)~UM9Z#wCy4IdjYqzGq{p-sOb~4w}5C6)Dn9uXN
zIk8r6O@Hgxl^g6tuB9LP<q<KT<27?)t=yXa=C7F>?0BxFAN-{eF`p&cdXuSgwDu-b
zrD*9*ri#(rn@km=>wj-Fm5+YC(Nr$__C{0L=+hfbWukX)G?k8Cz0p)EdiF+B$>{El
zrV`QB8%@Qdvp1TGMMrNm6^-`3C3Wdq-?p?ZTkl`%+UB;!^!~NBZE9P#E?E0@8`~Ds
zd)LaMFX!rRH@$N$FM4zC+w^R^=+(Jv)3dj%{g{)x&GhEAvTb6wrE;?C*ROq<qnn;>
z5nY_ScI(#JYd3C7+r0JawUB7<+_h=hCehBhZ?|lnx%Om^Zd&%TwNtmTZQd#p?YwcT
zaCG&}S*Nc>Z9BHfR5)6Dqp47|^hQ&`Xzq<$r><R@!+Ydf(6+WsTPLrbnX@(}TP6DU
z22=j%*BeavqHk|7<&8eQ!IUR@_Xg8??&#GUOu3?GZ!qPI?%rU^5na8(ls!6ogDG2d
z^afMbXzvZS?%R%^_$G2wPU*IBN_9()|Ae=Un{4g39Y6l<%MCfX+nbZCOLF}C-X7d&
zYrgIHv2Ryy$VuHcPOeVL@$Y%txY1UB+wr5{w%m{tyS+K7IwZ&c(l>?N^B2EK<ety3
z?yBF`o>5(M+jxtu({14`wtL?)-jcib?aem#?Y2AL9?U(TTb*<J^JZJS+rhWz&3n5r
zm;d~?Guzy^*;?NgzAbm-+n#Oi>D5Ks*wd?HZePANZ}!{7-2SuQ)@*a%YP<67%A0bt
z-a6)<&#X?{=AKrawC(s7+of+CZ_X3B-JDeImvjE~w;lC4{-?if$m#EVtGUruWn21@
zZyh)03EbYi$yVz&b5eECHultNzir1;s=c<gr&QbJ^tZo#xxrRuTl(Q|88_zf+-^>+
z*30Q{eY<jlt;n|YL*G1Z%;UJtoLDWF)8G6ybAv6<w)BJFG;Yje@wVP%qU^1`$wbLp
zdXtHwH}@tJ1@GS*>rLdnUvD&#^S-^&MArNCMiUwD-5X7$y;pBEk@B9s(L~a_d!vbj
zclAaSaqsMnCSu;v8%;#Ly`!WqUFw^bwq?uxOI_34wwT<%)HY3R%a#R8zfNP@Vsh_N
znfGN~-R&lKF6DV|)_t3vY3IFKcWrv+mZcwca<`e>yi_(#ELtijbN$l#mpZ!XnHJu~
zx@)&?nZ0!5w6x7zu3if9_SRjSmTBVctowG$mYGXW>gc9rE?YWv8r$YABHqp$w+MSz
zN6tEZDQeoWO(w$L+8a%Tyrnmq2zqmG+%k3PN*&%Kmx89XZQ3$<=}euqDVZwXzc-lh
zd%xab!smT^g9)$q=?x}4-n%!LaC_IU-eAJzJ$r)*r+4=T6Atg{4JPc~*&9sQyrVam
zuzGuMuyLPu{KO}bNI9j`#wnF8I{p)$GH$Z5pLYECr!Nt5a;G;ZSC;7b_dPwh(Z+n*
z@nfH^M94{<HcqZg(edwj+PKk1f7<b*pSDEEiJjh@R2ib<f9aEg?)i(KBy`W`S9VQn
zug|EgIc>bf#_6>17Ms0K8KdOxeR?y^eY?%hrw4V<=T_#N{=C`7?sRbUym?O->hhoe
zbY`0SHXG~H!qIX!KJA(2o?clrjXk|G=Je&Ld9$A;>h_=gv}T(7R-2ViS4PUsdg`cq
zKC?1yntNJh(zN4SY?eN4jGQNOx;d%RPv`vUPdjw{>ra2$pwr*^RCA+^%Cz(&pE@Gu
z37p=%$wuomb5do{H1^a=ziG!)D!rz)r&QYM^tV5Kxxq$eTKeHn84>e%PB$l3>gn{i
zK3%!NMr2z0p-&zW^Egg3CsxYo^fy1v++f2qE&bppjfi<H*4CShm94cm87o;!Z!%W2
z=H6tiVEucev3$Mt>y5^8*0(nr%UYk_Xe?vBd!w<m_3DkrQr5FK8cSMtZ#0&$uHI-Y
zZk@f+Sj;+lqp_&9_btgw=laUhwrswCuB*&#i}C$)ZDnd(HZPd_wTx|x@x60p)|cPv
zY&X7hF3)=N+c)XycGj!ku1QbdGWX+~oNdN8&y|&l-ImNrUqAQdn|ht}bPMa^w`;a;
zo;`PCS=#2!SI>o5d%s<imTqG0{PxY3%`@koe4~?=zHIK)GPcc|MXa4SZWgw#zB%*s
zxu~*Zn~a66wKp0ISxav;7PRKxxOwW_m2Y^CoC_*z+q8M|+?j9Iq@=4@|K4ECZ~c0M
zF`xDA4aU6Ir#Be$Snu9o%x%4TL%lJV_3RDCoYvhNj5(~UHyE>9XKyfOvyR?i%xdku
zVUx19@up2m+RB?YDQXLE+N7Y(ylIoX_UDb8<g_1e+$5`gdE+J-?ZX>4No#N3xJgQT
z@y1P(+LJeKlF)A6xJg{Qc;hB9?c|M{M74uAZdx)`aqXLoR5$J4Yu99?x@do1o0E}R
z@1)Hg6`7yvp#6Al&UT}_r;4->uYI%4==Q0)uxFb$-8xkj<`yk^{#2Uw<h42JsT-#r
z4Eq)}^W3STu(r)c*H1-h?~atrPBqhxUhA`U)0I<MVQ!m^uAK7Io*g;!%&DZXw5Z6;
zR0D1AjYcBc)*ClXpSp9+n$%PsZR3rbgtV16GIG_wm}phBgn{M9O$`P{hJXeJjRo#q
zU$q$+ISLw>3KlSnesEyqD_~%hX<(4iIKarap@De~<Gd-JiX9A0SI&5{omu+0jaNj?
z$v}zqWIL~vUV{T;Gyjf00Y=AW{slK`5}rS1wzmstaXHLxR^i~@&!cK{VRr+Y)`3PA
z7Y0Wjj)r;<21XxIg%cZ{J$j}0EtER%`7FsXQETc8&y5bstZB_%o?B=4^XbgK#{Ha8
zPVU0RgUr@;7Z{$h3iAJPU|8J1tkS^55zw4)Y?)~BO@{}<OoECY3NBxpo7%*qI;RLM
zXi<2|u5wI%!oo+)D)K)TC^^3rJjCoFSMgE#BUACG1MQRRMVVz97`UqxA|5cxsmi@r
z$jByhfWe5nY775`5V;2nnOO{^A`UR}G<dD7S-^glSwBSL;sHiJg@y$J4mUnF%7w;6
zh>C@|wuU+;hWbQ^3gy@pD6#O%>c?dyFt8gO&}9)faETBV2s_#w`Y<8XBtn!Y>}XTy
z!h}$j8%vZ|OwFnn;JK-yI9nuTa@`+;lgHJ*J}~5{*y|`@!qF08o%e(z=*bDShc}i;
z&rV66oYm5BOzkWOBQyI}dC6vlV+sZ{S&}EmO^{&GQBMeQsQ7Wf&vl}Rpvpf7PO<4?
zI%b)!Cy%rDIed7)Y`-T?kXPE`p97yjz=RKt;%ch7m+~ifn4R2S@98{SWs9fd>?^lb
z7Wh8Oy_7e(WcHNXDtFZGnw{L{X+QhPX3wp@i*kj|tDQCT+~#RLTjaLN4Yj>yp6Qc|
z%sA60$IQNRYsqZiq})qclS5`txwT}L@1mP3SJdX3Wu{F|G1E+&>@z#$7qgWNhg8jj
zj*0SGDryI3Oi7v?Fry@iU*&6k!o^3-JpT@ymOHVLy<o<ZO`f{-4XKlT-Z09|4oRBq
zmJ`(B8+B7<sxMPw&?&WrZyJ?mmuzD1$(eLgZEB7XXOFEw@-evuiI>`aKW*@o{dS<+
z_t6J-vz$q6lZ|qO+I)9y@D%GZTX{$=@CLK}WVM`0ExuhFJOzBJHhA*+WNq-|srQN6
z;K^mCc~D6<QboBtBxPcU&ZP;iLYq7s#a<p)`WdlAuKP&x#4?>peXge(lPBigSh3N=
zL@d*-$F*srhrU%sLNgmnK?BPTl?w@uP3$%fEOH3~ip)%W28{eZD;^|GjAD^YYH8<_
zw`kD0)TMlZfh*wvla#^%MmL5=(Y4<;*K5!2pK*Crfaz+lwGry8ogza|t#X(XA<8u)
zbn1i<1yx1Gwe6zaC0pb|bS7Qo=U@KSU~y37)vk`v-Wv}VFK!dKbFs@HN^rku;1;Eh
z33Vz<g~XySC3(l>oaB~OQ!&vNaSodE;){b;l*%I4Pr8>bC~@ir3Gh!}I7?V2C(a{=
zQ>uPSN21d)Cncv=K}(MtUJFhnEIQgD%XyhO%=FkAzwHY5yTtTMWNaqPSkQ2w^I%28
zONPS>pQyO1vUss@3d@Ta6fh_rYT*($%6ai2(UpZ$SXW;o!A8VWVDf^6hgx~|DN9`L
zS8(pq$oQae=$OZX1jc4IC1n|_iWZ5$rl!-KBC7Rrc1bqo3l>hAY`U-^VlvmHE-{0Y
zD<?KCc3*jP(<WWTZLUYQ_1q8>x*e1h?UTcOdfS$q?$g`$<Zz$aHf7t<EjqhXAL?>n
z*z{$ZYDQ$swA75qn$u2ObX-q=iWXa(YFV{GS74j!p=};Frg7d5N{rUYIo&G8b9>T4
z)wJl8ZK-L|e)YGTZi&sxU3qibm2E4wr5?RCZFX*EZg*3zW~BJ!Qp?C`OHu<j>S#}E
zJ-TU2gqZm0PfEu&orstwdD<yCGE1kMSDahon(E<Q84=TYUmr@0wOZ5NUix!`p33P@
zQDQeX9hsJz9+`65C|Yb@>Ox)b^P8?rJ9>PZ&i2%Uy54z_C8vv$>mv(vy!%owZq%`!
z*4o~m`g5a>!!*?sn>Zq;DV}ypiR{wpo|tNRDJkA0hf_TI(a~uQ8`Yw&wVa++5h<*G
zjit+U;v$y|7p8s4<y@p2xJ9)t`cQ_qOfKgV-HBUNLvlJr^<1yDp4i0`DX#chC?&Sx
z4fol73%)(wqHp|LX|ulJ@1*)$!g|$@j?8n|s2=o&yR~-a27RG#s)uGZL<%o8O*Go1
z@AzA3i@vkV@1QOE`)dtvO<!E=c}raM_ok%yxDz*~Oa3le?0R@x&J8iX+m{lfZE{YZ
z+_oUc`_#6E8)8#y8E;L$H|@twp>4W_w}p17CMJ7cxiOvp_oKvkt2f;3wKq4Y7S%^P
zZC5ReZrZNeu+1euzUWPNU+v9}`m1Xb-=03ZZ_78=^!T{nOt+`s*!Sj5cTRj-^rCd{
zE8C9r<{sRrYq`z!__jAU#N>2sqiS+a_lqg$8gFYow(Uj+=O$gJZK)@=?bybe9$mD}
zH9b1+Hq-5C5vTpS*F0VE^m>xWVplirOWRa(>$|&hBQGXJ$K;&u&TZVNr!Mq5C@I!w
z4fp9?J9g|@5HVfkHPdFjrKN^zz0d4AvF7xdT^143*<Ujy#wxApZYiC)K~Ln`Ql_lf
zjB88NVuM~Uikg0Po50O!O1G0zqWji(Gl{dl-jon4vBtYuY<}*~n_{=O-Py*vU3X{h
z#oW_*(G|DrnM@Yvdi#5ybxn;8yQX?%S4YHjL0v~(Ctc^;k8X=C%GHb#pHk`>DK22J
z*Oe(s<(|@8G0*KDJ6#XyUdo-A)BR<$hh29_^pbh5i*$v~E1ebd+~#53EfTGALus#=
zXZpk<G0yafG2K_9mdtid(w%fxX|0&&R*#jgt0GlqxjN}ys?VI5CgzzoF-h#?7LTQ_
zO_57Px?7Sa`srLct+Z1o=(N&Cok^XpS{pr7#4?X4bw(@^=-#r)L#vx5X=0EVXX->h
zv6Cqiy~H|GCfeytYIl9L!9zwY^RQB8#1fwFmc)sAI+I#mS8ebR5z9QJ<QcJqqnjmh
zqMXj8X4k9@9z0^12kVtIBbKmKm!$Ofya}9WtF+18`J2ZHIo6xX3e{hd`)l3=_S-(%
z=x+VZ<G9?z8;hl@Q<D3$-U#;E&f4g1^iAWK+`$`*#jBqr^+&xC?6!^C=&tomBeTEn
z+lh?+y6TWE?k?3&ZZBSFD|Bn|0^3(_1uw{b{FbrZeV6U2w}N^7dDSWPw-?X1{d9Bj
zExE661atc<zGZB4-(-91=HeT22fw{2U9#ETvbtrn`#RgKw-?XKZTxm3tv{@K$*sj#
z<gUIEJS&*h?^kWI+1;pGWV8D+TdlW(XXKXNP!_2!N$QXLb|SSu>RU%@zt5Y%PFtmo
z?#kahj>xs%SUlA>=%%tj^^{HS8rAhBo7~l^LpHgqRDVhAw|NuTZu@A1yYx4Y!*Z!N
zlzFO468m-D1h(2P+Tbqy&Et@q>kVa&>MsfXGH(K#ZId>*bAR(VD5n~+SUEc-rMGL1
z;6ziYO>R!tG)_n{MlP1mev;f<wMMYt^wvf<t7{s^r5;8smd;K|?#)^w*lRj#qnlCv
zHH~9Z2O}1XXFo~mjannvZ5p-FP3xLQX77Y+FEV<2uD#gq=9=xY#ciLdRFv{UQ?97R
z_oNut3g-8gWiN?dd`IfxwHMpmwweBlRGx3TYHi?osf*V-(tFdgRiYQqHSJm}nA01V
zy=1eSWp>MEw{@mjYcHOa+Ia0mT5nkPlBmU3r0TD(5j-ok@Y;(lZpPUvo81hvQ=%4M
zk~+91u*=kGqnrA*7pc85*H)zVMqE2_L~3e;vQV~3Qm@OJzz)->Nad-fOdH)avs*T~
zsb{Bba#PKA+2kgF?ZjcJ(g<a~>@A7CCTjxQOb=~v6Tf!ikW^@dGFP@qVz0`Yz!uY{
z4Q~9`P8_V4GL2AX%ifaEE3ziA>96d~`4j(&-jtvCm-puU34d8{%1`+F_s0DGzh7_2
z_y2u+V}9S?r#IyL{@%SYzxVIe8}hw>&)%5d^Y`ct`JTUfZ_MxhyY+^A_usWQ=6C&7
zeaoL&@BZ8P*8Ti?*Wb#w=I7Tt{}#R#f8k%%Z{}O_`SrX1zWg@5{<eHx{r0~%zkT0q
zKmYI5Z`U{5-}+ba&HVQKoO<iu-EaA~?Z5u7<lFSk_H+L3{C54;{j>ifes8{cKdXM#
z-=*KeH`~wpyYO52t@~&GrF;|LY=8Nm*YD<=@m+rx-nifScki3?sr9<QlW)%N{5$oA
zeCOZZ8}mE<w%)jZ>R-q=_N02v-_G?n@1OkV^DTUn{iMIOH|DqhExjS%{x|o={I<WT
zH{{#?#@?9U`Zx53eCuD|8}nQKy55j)`D=S)e)C_`8}iM6b#KgX`m1_lk#clON_SU|
z;6z=iO|DMcG){;y-drRf{Uo`&Do3zi_tr*N%WWLT#qQovl8z2Z?#{@$*rPjXqpRUI
zj{0L_dv7R-M_)<mj>x&#r5m)-)ib(fi>rHd$`;rCx>~oC7U_P<y?8;4GxuWiq$yLT
zFS;kjm@AmyT^7CM_M$st54XM8=DJPy*G;AQx~p;n&x>8$){)+wwpw863|_^}ifLv?
zGMyKgv7BvNaZ_NW!0M~*0%p=pTNU%nTu!$KsoY*vKUcRaS1_kLPOhtDn?`zf!L}1y
zUDxXFx~X(c>}JlztnQHLDYq8Q(p_{@>5ACgZ5e6ZDcdyCx_zQUZYj;s_1fsFvF*hX
zvAsDHPm68M5j-t6^Tr~fXqTjJx15O`x?CGwwWF78a#h%-k=h-w?L|tr&$bmQ-5%Rs
z92Tp*v4}7FNMe1rS<b{Z-BTM}CAPgdBo=vN5m&TJVz*k(#1`GI4Xy&)UK|v&ys?Na
z`ba{zSkA;IU9Sx;?$b`35E6}4Qt~!Q>1@#noS@3I$;E!!iQ__FBb4O4w<LF#=mhqu
z9@^+)KJCOYp{o%}Qr;%XohdqjJ*rI`UG%4&I4ZO?LP^YfOHyZjh)&=oAw}Jb7lkBs
zFXnf4P3y?$tnoJ4;^O2jvc+YuDpQowJ)yVLJhr>+R6V47F}E|v`^#n*JMWO_Me|e_
z=?b0~Iy=o{n~Sx#NVL)op}o^Q(mRW$ain*~cwdQHG+Q-Ecj8&0wbMMdx~x=P6{$2!
z)k*haW@p+okF?IDX(zV0)Gt+Sid-b(-ICPlr*rYN&`zDe(?T0{CU&Z7ZFEtYmT^R=
zGh&f|_m)jATHY*4ok7z$Qak;ook;2Qn%0rhX{R%>UG>!l7nx}phlMgD7V&tuBzEfQ
zOl(zMwZTPXTE-zE&xl1F-Ykioayk>6RkJp@@J!1%D5M#&h~>5QCOhTV+Vz|4lwM13
zvQvD`y~$4D_3w>#@~>ZSw3B;%d!wD~>(d+UWM1#yXea%8^+r3X*Rwa;NxtshXeaTy
zdZV5A>+FqoVy~k&+KImQj*`FhtM6L+mVNhsbzO7cVt4;n+cotq`xbotdX0UH-MwFB
zuP?9F-)?v3SKjN*Yu~5W+Pz-Ac71y7map|6*W_=ryZNi^ns~H)PVM@yFW2a&*IK+T
zUb}wlzS&<lUQ6G+@9M9R*WPQ_r`4Lgc3%5_%f6XkPp;8Vt6lbW>NWPw`$S$lZ`>#R
zx;k?H>0eRTj&HIPeyzRHPUyAtMmxdR+#B~z{kn1u|B+uo*V;GjoBVa=n)NBQDzATU
zu;YLIdV?Kb{p;Hs?08?F-eAY`diMr9?$@g~*m1p{y}^$2b@v84j@Q*2?ATvtZ?I!~
z9lgPh^|ki~XZNxbC-_8fDk@o<q_nrZ378<uw8`1N?8I@tuQwFsthXe$m%It+lRdQ2
z*}Uw;F}|xe6s4?9lG{_>1oX%@ZFJTzJ8_h6>kUOQ>n%y`A#dsfF7YY8y>O9F^6iEE
z_O7yyjP@F9lP%6p)*@S+_sTNeQoP6aw#;L@^G?}AZ!hGw=U9K)>}+Qpa(m%C*+p*!
z&hwou^VsHWZ7p(J@dn@CGLQ83qB4&3_899cw-(NpO?o@wEZ^EPkFCxtWmnx)oF(h@
z_CjWRTA4>$ds5knEzV12>zi&a6tQkeYWI6{;WXdQHvy;lHolqADXX>7S*0xF2w&%o
zg#y-FHaTlqvm~_#m2srD`<0zYY4<AYNNKlwGofAf)dpvovW&xgnKu^lShpm$>%E!K
zD!Xcfvq)LSAwJI=3puP=65Hk8OlX$P+ThGnmT{0z^Tt9J?UIz%o;3jzMeCI|IXQ=U
zoZw=OR8-LZlH6LeCZJ#R(MBihFpuM0Pa_m%v`dm(bJhg(iZ0sdWE|#kjO%EGqJ;LB
zq}G@<0o|fW8=bVnJdSdyt`)e%CA)UQMXtYLD>7O;!cJ^=a@JPa;^e4(C0cQT=%ck4
z@>)x@r$j5>;kp}kVw;n__LI#{TSe<%MJnFn`ncvoPHT$xmd#EZMVr=M$ZjnO(@1YE
z2s^RWX|3q4NX2VhH`iRqY7Nnz618xa=%Pr)D_nEKGSXU8!ZgxaeY8WO6laKfZFJHI
zdvSzo@0tmxxwftmIL$RPVxf?>OH!-bnh71ETpOLVwU=yiQV7#XZ4C%}k<#iDwj!mq
z-XrYAVXn%Eg?!pa5?jsIOlT85wZTau?8PCj$cTkp+AfK$YHKF6h<0so5(s;7kjpY+
zA)EG*gjTUN6PiT5HaNPQojAcJdQ(A3+9aj9B`074FViMRd$SYA*}mRTkdxk$++30q
z(8qgdqocXmiDPV6ZzxDfn<O`<<OKBaHf?m&uQxk!lx^z`1u^L@NzEZS0hibmb1z(E
zlgz!4-`r)^k<nZuZL-DDNm^u!<6d5-TMGBs-kN!AcihQ)DEC5cbB^?v&5m}`A-5OI
z<6V?1aGvd~na4IqYiW_&3OCsHnt7x*7nyOSH^)d{xwT+6Z&L1rvutb4JhnQn<Xv@B
zVHU4b?uGiy<}@>pwB{tU6I&dY@;2REAR^t8)a;jY;WXRMoPg788*?Uf@@j2#R58mq
z!q$0Xfq?XuO^#a9EJ@8lW*n)_er6|9n!U_AQkv~@CbaXu+TbW-mT{OZ^Tq-m>6XN1
zy_^ZHysI`iikM{_V)MMQfJ2%ku~{x>LNjmH21g#VjDz)Tnl~1(+_v6it9)B~ldaNi
z=}oqZx4AdjD%}3P(N_NU>y5T@w{LH>mA!p>qpi&C-5YJCZ?E2HD|LJJMqA0--5YHs
zZdY%#6~CRm(N^qs^hR6J+upb2E`96UmcC{0{cl~{+_%`?|JJrmeaqejZ@+G1-(q|3
zTiNZ)x%%5}?|jR<y*ao3eR{Rs?bW&K)2p|<{g{)#&GzQEvTfqG<#MXmzkQjbpI&Wo
zyEu3K*1faeZrql>dGFP4A-BDA*QZsR+;+}=zh&>tw<mM-)2f%fow|*E^InnL&Kvg%
z->$wn@AS8*ZO1p+3g6b=Xe)GEdZVr2ZSIYGr@md8!++#k(6;tXdndo0nX^8nzFOt>
z?+v#6w_k6t<-2`*gDvmv(;IAgZtvb;%YA$G23xM%vp3js-tOLD%W=DUgDv~*><zYT
zx1%@MvflRI;NUKH;slduq=J%k`==GnFN;_VojMd-B%Hb?T$rHZDaC9o=%66L!P3m=
z^!bH}*Zq89hme%OBnhTM4(3FT<^YbSgnAZ^LjnRx9F9i>S|?1p;1$JuOVz=+r?uAk
zdf}-#2KO(B{<VoZw>(dY^ZXaK*h`rSxj`azQ+@w$cK6*Eb?{eQVZq*GNy`Elr83|6
z)+Q(YSF4@H?!V?k>*ZI1)9P1U7C9-ew|B`MF5y3^sf>(M?stBZ=Y7}N*`U_2`9`hQ
z+4}kQm#=%i_<!AHmfzg}_qhHQPnmr)Kl<hCdM$^gGIli&Dwt9OZ~1>HW}cN%aGRmE
z{ii5HtlG}Tcas<wNMEhZTl+hD|NHJoyCn_wFTLU6!#H)R!nw!n{qG-rUhTyq8o5WO
zA@$UmNw=5ZpW<4vzJJcsC!184O1=8ASBbl!>iW&k>n6{tKR)O8;y?P~vrpXY=WGa-
z*|(ZI`*r=ke<rh89)4@rf7@-xu)yO#)5-NNci5IseJgAAcVTA!=ZF8=UNPO?oV;cB
z<Yg{VR=Xxf^h6$=oFK*hy=hO`(^Gn>ij8;e7()ur8ND|$e%|rheJbN=ZH4^mC0SFl
zc`h%TrM_Kh;sOO_=Ksg*SN@;7+g?BS!&cWF=b{)Y8a^z3H+e#r)z%m9UwAHb3}|xr
zpZ0w6?7(R*S#=)uOv@DhO!@orY<AECM>{p?ck?nX<V?;Ch`tl|@2Bh;rO8XGCv-7z
zEOxEW+2pLgcH%SJ|BoYfEm`}1ukkEnOZzjctKJ#}|MW24nUXf`@`o3Xw|r!(4>)si
z`wu(eg_Ud@<EA9660Q9w9afa}D&5-o+M;~ff?3rU^2OC(Yv)z)*UCLx^J|lw;=(i)
zd%^N(i!(QOFUm{3m75XJ$jKg1ym9{bx;4y`7d31<6yxMv;t=w2k($KYpFBIhNcOW!
zGaB-T{#pLtZ@&3nsZB=Hx6EGr$M*j7$^-QWgwF`g{GP`8zUIOX!xe|Cq!lkt)^Lys
z{q6Nri+|JnAQ`WiYRR4_q0aM9{M9KJ{%b$a)?>#xj!zALpWZM0*#BSdWceJ%vyXo~
zPf=Xf#JJjuv7tq0CbOSF$)#djhKCJnYOPo_nBFkVx^wU7J7EVdA-Ra`XE$aVXJ*a#
zeqK5LYrWe_=2vg{Ci1WTEXs9a{cAsdEA`d8>rXB}GWpbU;n!{H$2~MWrk!g)!M^Qj
zr;6>txKma4EVtQQKk$d&-lO-YNJ2mV+-DCK6@8IAfAz7&%ygO7OF7H_t?2t>=4NN8
zynkWA?|oBvmHrD$oZPv%x_8CJEw`j@zWXD1w4Up@D&Iv5>-wUS-^=Z9Ozsw*!L9Xi
zc2Z4J`~1nuN5351pKV_Ie$U?S_*Cu3+y8d?^RMn%{_${D@A|_W|JQtS`pHyzDoo|y
z{+jNsFXP4MKF(%d%5wSG@~D4YDW)Op^Iu20)C9}w^<N5(+3I$+eWJ*Lzyqtz%S8{y
zMI|5X@0ivUdE`4|N~{@gebS|<*WYCyY(F|ha-LAwlfzb?8|~z(O^$86@ycN3p?Bto
z{!53m9qADH=5l23cIO}Owi+%zzVFS0>*lTg&TBs_)>PzkZjzoPT=VOO&HAmbJ0^sG
zP&_F%_u7SfIY+-4ir<o-`z2#-_o;Nlv_l&mt2e4jbKMdSesnSFaHiw;nP+Bgtltoq
zVrakH<$Thj*$tk1HZc@mTN1CtYIn!Y=6LtK6Oz_jME*|OEdIRNXils48HV+947$ZX
zoQ+fMpOvB|q-bH?ow4_+@|u#9XY_umTxV<4dARaSoL6Nx+l?!iYWA2Nb^Bdc*|N@B
z_E+(f%N}Ry*lTUS%sQR*>Gb2ji#F9>J{>1szs&CN{I$OFu2ORCOK!LFTmD{LalAV7
zr)BRaNuNdMj`jb3aCW_jVrsvb|2G@Hs?Fx*-%sA+o2L`XvtyEcN>D^rynE~2T;J1O
zvDfY}2Ul{r%6<#E6?pwpy611%wc1w9@dcqN`mHSPZ(Y8LeD!-1VsLzOi^XD#jmwvt
zC+IRA{#~!S_l|P!XJuQ<tnFH%mzRFtC@=gZr$PT+$&M4D*5B9*Cvg1`p7G1FjNeE!
zIIKyTC;V&fRokCEtc!0pWxe?{L32}&(OsE@t$&u^C{kGwc~V)b+OsB7{LOyjZ;Sg4
zJ^nnE3=K;Z<cY33bM}a4KK~W9)S!>w{I=flIKM5S`kC<V`hBHO&)11qZ@hhweXc(H
zX^R*2nlC4uDy^Ta?PYtCz2)#ir-z3hv@C4-AlGt(*CEeBu7~fc*~7SEJE?7<N%7Nu
zE<RxSL1|B=k;QKAhcygW>pWIe?b#EuHe>C!$AYT&#9L!$mcQdne;DQe{NHUZ_x<Ji
za~JwIa*7|H)MD>>*CwOhFvdqoq~^s{vAl*WhhFOm-&?o&Xs+ma>yN7H8=Heyy)$j7
zo>UvXDtg0#>91=qFP|rQPF9HP9UI@o?VGoqoNerO>%B<e{;QvF)ZMsu%xI<Ar{H6G
zi<gJQS?A_|VAe1Fe*5l@f3xG`@;9Gk@$<+PK3a1-=a<>R@45GS4``aO?7Ld85F=ju
z;r_;nkC!ak&3s|O^b==&AN@1){5pq^&;8P>XLtO2o~FKODfhB{znNV<`{#o<j%Ec+
z-*0dJ*pO{=?_X-UbiUNxJigl%Q(xw961q00_FrMu+_!gQw`~>K_TOc}nLtsg^&H_$
zZ@)ckxU`DJV8Z->@*O9hyuQ$Qy*c)e+>VO+l~*3QOg#FtRrLAt?|c6TM;|=;z4c(@
z)`^`{-%F|Qylc4Kf<L2hUd~y`9cJ-o-fuj1cANH=4=3g)KDlYEW_07)iKE&5#+t=<
zKm4q<R~0E`ee?U{o(;WiE@!-Nz1_{b<e%E38T(gSsLl#@Rn{w<cHXCMr#$yOu_M!^
zg>A}n^zVDtpZU%rJ#W9uzI3kY|3}Zop80a*__8be+-KLV{_H%ZA)vX^b>r#zcM5mM
zXq=v>9UqXy`Os_A`mKdO1$WD&`bGWnx~i?<_4D$UPp^cZnpm8g`DXDPJ+t*cy?%OU
zFWS@F|EWV*_OkUU%Y&-vE2qtoomQl@`-$_(N!*5-_F96cChADk|61~*FvH~TB?hxc
z+?`pMrFQyO74gJQRNWeof3f?<G_Kl2gG-M!H~F{x^18OgQr1v)!_0^!&MKi!qD-|f
z+JYkT_9=3RUg0clbFMV`GUML8)`$!X;aQ$7N$VA~%$Z(oy2Q!5npaDmc~hPKngbVS
z`wL$QRNKP(dwHCyh+Wp>>U!ygIU??I6EAM;3=r8-B+(Mob~8Zp_G}K{MD0ZdLi(>;
z0~VwmW3`xCcrVF5`r|A4HicDMEqWIgvu7-3_`1;IShbo%zec9w#EDPbRtRtK__Cw-
z)rr3=8{|JL2u4|6o%r49#Wn*j<HW_w(r$9rHp!kBciXKi;<d8&*HXU~#s*RKwz^L;
z&tBTEziL(KOD{E%UvdjCa3$`NzREdOOk8(+`xl?RU)FA0p|sZM%SzR`j~D5e&*#|c
zm$qTrybEGmUn?&3pFWdguj;a$T+ewnCuX$FdhYr-kdrl=Q8KMf^10Z=lgk%pIxB~i
zGYemgbL_mxcXR3aXMQbdAtG^ca~5rLb!@EHpYG<Wd3t46*iMa*sR4U6r9vhKaK~MK
zA$2~`w<}I?#T#{37pLR}eIZjXcwJTuRV!Jl`N}oq?)mN&Evr_<sBc-dXvgHPrQaKB
zuC?1o8ZW#!t;Kuh+zSelTwhmdM0Y(sA2v5&|Ko#A%QU4ntgKpU@pQ+M6}kIP)@e-%
z^9`KKd%eEHR{N%ktKhTHZ<lq1vYaPzF5s<9Q*mYXy}2{U!_+DHF4xrJ-;*!TzkSs|
zbBj~u6?;?Pz$xxd`O()BROdyes!nkax^nH&#J?$_Dqj|BopM{FG40&z)hjes=W*Ao
zeKlUFm^OL2-;24bCf8yQ7PL5h40XM`CFiH`<+&G9LIaz9>tl6}Y94oW&HbGFBUr<D
zVR&R<cDd8rzdlQDwmZo#|M5wEwO@wqX0<5xt(jlLR^0u?82)Z`BU|-)));N|SdEPr
z4oc2<tKm<oX33J47GAx%fmc3yA>+d8@K-A@hzV%N%XYa+@2I?cP5t|<mftaRn;1Kq
zl0%*DeR$G$^|D3odZzlDm96V9OTLXw{QSvWZXw^I#^uwT1n<t{(&gP_9We1jB<m|a
z_6yUb@BO{cQ7YWJi<fHwuR={t>f6t;2ci}>>9k(*vzuLa>{{0R+%?Ocq-D3x4NdtX
zW}eT!`mgcM*J96{Udr0p9NWGri(%nhu9Xuf7~Hu3B$Q*_4y$E~3m$IVB~YLB>(Rzr
z36p<q73zE!b)Z46fOE&O|A%f|)lu8$CanLBi{Vioui`8L3$yhpI}iV#CK%nu{VqH=
zzQ{-<Yu$(QM-rI+2`SDHX^4L$vh?8(@4j%S9g`MJ6^MAb(TgSYk<wJ2<t*)*wi6~L
zPGMM-!lBBdp<5$#aB@J&BvCWYKA&4V>QxR-5}3Ng(X+v`N=3bRPRFAsl70;jOzI|I
znB8!9$q8SNyvvDZiO+<@^F2>TF+J9?mGm~+C-h-*&pekKp3Z)gc6v^jx#Wn-DetPN
zNfDksQ&cQG7pTZa2}k&LTv;+lZGlOx!K@?cPF9msj1$?n<h)?iy~vQ>dDp-@>6B2F
z*v<OWM#sN&q?$;~)b;DJbbINpW9U?(;CH8=^XuWZpO#8>7JK+(oa^VzIMT3nccaZA
z57VSh_fwmcMJq}cNVS$GFjk*Qunh<}ueI%5PiqU~Yn!{b_KMnQ^2{l5n#|!`W%FEm
z)1&~upt%!MPP>2FJke{XhlMcbLp!#&$qDn1{NlJ$&+hb#W5GnZe;WOElal%$oKV(%
z=h0`i>Zpulp-Y2uySY)p;zhj@IY&0Sv6&Xh1vxky{b5n!@N8G;<XDvOljCH2Va=RJ
zk9p=hd|=$)exdrvyNgfe9eK;RwSA`Tyc@}T=CQ49_q09p^5Yb_<S!-*+g0BPTr3s2
zXce@^PiQ5x41az5M~g1^<_f99${hU8A3PZP+wOb5kY#+&uv?k`uHgf}2W0}=nggua
z-e#QfYk$MB@o<Bzqgj9V>B&BFik}2*<ouP54skpcbPA{uaAud>;ok9<^Mr!P%+d`C
z?;ITecPJRkadfjC+UT%JL;0|TsY6c=i-O2CWzJU{U(EMAzA>O)f$xjE$dBX%d)qAc
z=YKvJ)Y;U?D{u2Z^0iURrPD=h#sntjQ(u%CV_EJfdFvJ#cWbTh*rIesOK7D>VV97G
ztCEP~e2>eWQ+%hW2}@4xFzgIbny40_AwNT4ii9E?!$eNIB@Y&Am32&TmN}rvZ>Hkt
zEIUI{p^d>{Nr$-5g4U?|jZR9f3IQIET8^j+mU0OibvP(Y>bGA~Uy<_v=dEW=za_68
zh&THE?_AZZYu_E`98laV$06YJ%!F@Eap4b}3!kO-$1~}j$e-&x!^)9Y<a{^dkAsU0
z?0(CuDAu=5n?0{lkV&MHL(d}c@tq<z-<DTmJ3efiEVe1N-|<b*zC}3=&+3`)1pnvy
zu{5Y@Uy1cMAvJlg1(vCucfaTCedwveVziRmkhAij7hCU>WQl-WCkIUd^^jAOdmR=(
zFrIhG;?c=zA6gR2CcoXoWwMLYx9D;{XNTI!V+RUP`acT3DY9d!9-miJdvC^X{#P7N
zQ_Vyjd+U<UY8WMLH+;0?EO%3fC6i$Ne3r{SG5xYh?4=ox1+7>_ayj<sJpIte*0)qV
z+spq`gh<W3SuZ#nKfTnuASoTkG&8$($Fx0PkL}a`k~<+^=EuE)_bq!(uT-;q$vbh|
zb&un|>PvSU-(EZM?)fh3Rksc9ax9vo%2LL3MagdO!<T)2(dVR;*O<r8y?C{0t=y(v
zPgm9RhVssQ)wzPtV(Y%cSNLDPbJ%`(r{Wpg)|UlkO_|vq)ylU-FKja~=bKWUct`M&
znBY6!0`q;j8*f|e+`HqgPcHYFZ$h_u*XM3AJCfypp#H#L(>MPE{<HnEmSH%+(7<HC
z<jC-B`x}>w|5I!3FJw?=l<{%+z!*}}(D(5?XT4MG{`!t5+hzVwcr;m0IZ@Hq=alsX
z%MA8ehvhZ@9C+~9y-=gbS#!JNMV5~TBnqtzB2+Uz%;PO6U}w0^VQ{R9y?C$YzHo(_
zbcOq;npmReG##tk`0V15{9_EO?YBhMPAcSP4xGoab~;<S;Kh7{w3yi?!A;_~d*(!T
z&x%YtyFh*4Hqp=ZqQ>3JTrZ@QKRngN*ZnNcMDoX^#LcItvieB*+Ra<j+4Z1FX~T>Y
zzD`PgEgYKiO0)ScZdTkV-fX>z=ltZIGQG<t)H^PZ-*9uf%}=M@ce>5L99pp<aSPup
zwhLMw`plE&Fc?0y-}I9IKxCo%QXVmbr>mt5nyv{s%Zj&JTeCS_dsfeoQFNeL_c)_c
z(uZ@08x5P5U6=V6cC>Li)8$Y}o5+Xy3K#2g8M65=PJVTD{%64wGpW<ApL}FWgt}VV
zpPoH7)l=}w3bnavLLO$ddcvP(xMnhF2%0G?GHSNIxhrq0exD<1S>?02O`aDYKH0se
zR=MzgQ(jY({iUDv8w4M@$;esP+vc^%NCdHZx@-_&-B5h>z`Ko1Uup_Bs4dg^_K?L!
z>dK1mc?<QzzQx*3NKwl_5p%_t=cVQGBQIka)q~XT8p&*StXX)T^<0Kwe8Uf~3Vp}w
z&<$}-KXdoIx4tIM86U(g_ro#5?c+~QzXd$mGA)a14oI6wp5)48<2Ap-==|<$YyFX&
z?+@4CJ5_vO(T!DGc3yw};FY(}soQ}CqMi>{-4=~Ld*Ja`*^gVA<D)tbBz<4X*VfA?
z(tcHRMMRWXV34mz>pGo`;B^*H7hIdB;FQZ}!5$y;_e{f?WIpNZvm*X-1?*$9x~E!M
zK1cOjx~j8WOh7>+Q=h+TS1i+xTm~+_6Aa(#MWW6{GxR7puxls1=w7B)Zdmf(t=plE
zlU<~bM~`O_zx|1Toi<MAH;NVPXp3@qk<!^=@@U~nCG)RAPk7f9HS<eG&*-@3#+u8v
z;&7M#;j{l^U4L4L&6pQt!sxQOM~y4zjhNq_+08xXn|fF}b3|^+T5Ox?p7BF3IHzS!
z%Yi)0`X5ts67=W2W$G#wjK7pLbDz*h-AE0Euo#yuExJ0{{L|C_bQE}`WKZN?pj{}q
zcXRF*Kg;FCcX<1ox1Tfq&!6AC^TjMdr^_mLKBWj>GLBM;bKCaOu=rWxtxFZ#X5}27
zR&n>xg3C>lbB)fd%9XyiM#N@LszL4wp#ten2L9<60<!8C`RuSYKN;?1P%+o}VueP3
z&h10bTt9v)tx|bh>iuAK*VBhSC$~JDaO+m|Azf3S{Z<_vdrvui_`rE-a%fN=d+N)n
zmn^N;Iae6p(djUW>}Z}bQB^YkYl)?0Ug7(>B63YUMahgQ+@JE>&feIidQ5(U!Y?zM
z)vCO+_#fU;Imf%4y<XJ$lZ!S(|DDL}t%{wYEJD0q*$hSpCofwR&X}_`$+%mmqfvmh
zO7M~4vUT#|9P<y{ZV*1!v{|4_he7lt$3q((m!K4_EuWWY@bxUY{dKF#W7YV{cXn)^
ze7oi9hSnz@o0ht2C@X1B?B96QW|r=)hjU-@AMxq%iCHmW0(Z%ZXsM+7{nH}Um$2q?
z{5!}#Q6r!|v?b-xhMY-v+&uLe>m8(A95!=h#A}I2TXZZqFg?O!!yX1jFR2qQH<~^^
z7qQyF$yd8+xnP0`16$Vu<^YAl7af1)11{RL2OXQcC1VX+)Gw|lO_7hLolz2I%v<_!
ziw}2Ba=KEK{X41C4^q#{vox{Jtq)w)+_3!9r}NF-Qj@j^IF+z$`qo*eWt^eD<^N$>
z!Nnn46n!7a98z&=)|q&xB2Bj;<i@Ng9R?z5hvv6jC{W+lt99+XNZ@9*z5@Y06K~8=
zDr!ERHJ`_awRVaB#D&(4*WX35v|SF}5Tn=D5Wd1n=83z2Z00{B^B>M1UBm;tnOQ_c
z7(ifVPG00uzW#HH%nS^Q%##}f#OsSo5<^2+8JHixGfmgns{c2^nQgBG!?(0a-WMk2
z@G)FHe4^&1$Cm7x+0|!O{c@2%d20UTw%)`AjRz+QCmqgsl$^k^cEj27rnxL8y(?~{
zB>sDL*X8!A*{42tU#!k^X4*TkY1XwRJZ%is3{?zfwq=_y#BBWgiZwr_GX98fl>Uk3
z^=74RCzs`jdB>aFc*E#Zsa(wRlW~dIr@}|hY%|XD@d+75HJN1>JYUWE;MCSNAKHWu
z83o_yUb*OE&MKeJ+fU6nG;4}WbwtMv!;2b{N4b9#ZIdojTXIJ0n$}UTRN=Ggmh%_<
zx}th%Z@5a6rGZCkgy~Fg-L>04*6&Sgkh`>ZN?B<A;!_I+g9J)+m-{`FeVqC_eooHb
z?sf;&U%KMw_rA+n%e(#Aw>;KKsV@V~=k8|mPW&7dvqI<ZOQjiW4ldWRJ>{&auFblN
zMWg8oW3Gbm2f?pP5*O}Fv);Zf{+|9v!LsLfZ*Mfq_S1Pk{ZFRyj1#^Gug9Cn@U_bo
zP2QZlciY?kJrC=ZEj7M;jJlI?*xP#Uhhy*VBv<PCIq;glY}vl{@m7oFhKJqf9yhA7
zk$?T;$02u9*Vg^JydJJ!74T#A3eI}d&_nT8mH%AjITUZ3nRKVw{#@mqgU9z=|7M<e
zG}1Zsbs^tdCZ6N<+nLM*beO;2n|&!wZ<@|0kBa7wvqq<rG%n4&lvd9d6Q?1<b#&e$
z7NKhjRZbP}SOr9W<?Y(T-l3ZGhWSvx?3P2xM?0=RvQyHu+qZnGv{==f3lA3^<@oI(
z6)W#L>9w3-%lo5shYSqneE6__{<`XQr)<}sG=2ZluI$tGqR<bOz8gCIe=w)7m>APM
z!Nn=Dsi|p_nAHa+Nu?rYA%^72dX;AaizazY`()^UI8Rpjl9TF{UHX1?cP5B$SanGx
z?@yesPR!){O)Txro`#7reJ3;R%sEa5NgTZP<X&aK>njZoJ+_?oA;EhK%nm(JHPCTa
zY}8nn^vB|Ki10sQ(W+Igy4A<_y?CJUB4_EG%?Go)gZVxwwp?RYFcM!Yvdo%YoX@M3
zN6+q}?AnAQ-!{yClx_3;oZIJDKg#o+r0#rhR&Ut-_4evV`(O5Si%w;T(=7VD<w}C>
z6>x?EWhaK2IeC#T;vbqmGB7ZFoO~clVtSq#BUe2<FYz$gvNN#oT60`&{Bw<4NZ&cX
zpt2}4J)=ay$iT=@AtEy;Gp|CyGcQ?B!7(RCAqXT@tPqr1oLW?tnxZGcsKCI@#h#a1
zl9O3nBEZhV#UQ{a$i?gK<L~6?6Yt~i?&)kGz+@=EEX2hZ8tm%g=Nclw!cot~l2nox
zD!|Um#ToDE6zV3xCd9=TpP5%&BEZVUG4I;1tK0%y5-cnni~<ZS9LxfYEF4S%Oe`EM
z0?Zs7=Z@Z+#3;bT!NDNFAi<!(z`?;PpeDi1%r2lL!NVqCBf+h}z`>xPVeqE-&1M#f
z%>mO6IE2NQUgm)X3x@zWT)sS7+&^7{iGhoQd-}&6Ok&gHZ!)phLra>Tz2y^Bj!%7N
zslq6r!BNNS$e?oN%)PhsZmylXw7cWPpUtaxGhExadv#!}Z$eJ`^0#^XW!}d3?%jHG
z<JQ~ywOg-6Wy6SF=WRC!RXq7Dp6a&f^#1$ea_4Oi+0JuMb2It7A#icza(lo3f3ENU
z`*r`H`unDT>!0rb|JDBQr`XB=AKU+Z9{=xex$nRG|9{#4d%FJr_xgX=-=}!JcrW$;
zZT|h#?*DIh*Z+LF|L-UL|9_|de_j9k*Y*1E<@Mj|j^?la_wDrm&!_8uhS#)o@y`mY
z|6f}=`<2+*=up$6)$>FCtlPEe>v!MP(WdKi!md7R7xVq-=aU_Jm8V|5Cg5XUDYx>r
zm06APwP6=m>~f6_&Ha4oY+dxSTfFu)>mR*+^=92Y)B39^|Eu;ddNg;|wbEr~e|~Kj
z-Jf}LhvvOsD;6F4lYM2)<HhCL_p)y8iZtGHcf}*;=+xP>_J>@(7G}QoP`;0;WpL}w
zW&09!|Nn~r@b0$gey@kJ!WsKoe@v}kX)8U;@oL5Qa?$tGw{Y(_E45~PY<?`?>A>xu
zD|}Md{`<9l|CPV}|9^4UrA7w@uN0|E)jD-rx9(?nP1LUQ_iLgWFX`naYuD_L%bA?p
zeRJj8W7{_c)(UjLdaJK(*DX8ItUfE|wn=MzecVUSH#<_a|6h=~@@L~ouJ=<--^{fS
ztErDGJMwDv->G*K|LjP8{d4Zh=jTI1bysJ7R=nM}=HPDmuszdlCq-U&e`fHcFKt^y
zB46G+52j<+H)Yn{?$2FWy6;Qp%9VWcrF5$eHqSOQioN(|{r~jI@&CSUkLjPi`GA?l
zZ}+?L|G#nnTes-Z+WG%}>F-}~{bzh_+{ePy+{e#yrOfMdqq3!v{%1}*BpW2Y<M(QP
zEj!KY!4+=Ijn7RcR5&uXPB*zw;mW33*ndUz-Q-=zn1lE~Ot|7~qx6-d-_y2NeaXCo
z!Cv`uoz}+(?{YXFFD!fUR!JGV6vvk3jV{(w8e5h&9+NhT=#)#@z;)L%cCqwI_7`5S
z6n9OiYB{%Lo|}45{rLrp7l;1~$^LJc{w;fXY-Mc1{Nih(Uqt#YJ3L_FRq?yz@KA);
z#BZ{r=Nt9XR^}z^3MX7)mQngD(C%q_NHEC%vBt{uf}TrfXV$h|VLcZln|S_Bwu!(F
zKjuzplYkwb%)QYm0Yc9`Vh_$${=DlLYY>0I#4FA*N?$pqd)oGDFR7n*@M`?RM}ODE
z{p{BbmF}D=KZRqVU|Q{m@1pUkt!ll|riS8MXYI<7J*t^r*5sUUj{SeYN7LsXiRqJE
z6Wk}cCRI;zUHG`2Q>>Ry{u8fJyZOSCT<O9xQt3i6jDG4CPH%h*Ty9K$;E`P3q}&`U
z=U$kz?faLA!YSK6msFpusC`p!S)r=@J#doiqr^$B4;?4DKCW|`ta?wyLL^Sz!bfP*
z1C9?y2L&IwHYp$a%;GL}UPwmLrql9OR+N>xC)al&8MXV}rPVW)TeUsK8YMl&I$wH<
zwPt#X^{(XPowQ@}gMb}#9~g*FU~zAl&DkelE+iwkPTk_tj%g1JrgQZ#w&3=u_blM>
z`BqYWQpdpPq>_QoNhL$2lZy<rPA=N0yU<ZcSXhQjSV%_dv9Ju|F;xqv9^V2N36OV6
znv|O#%GX4HY;QWehJS9bN~_-cf|v!bt?!5axp+SK#c^qtU!U1J8TU9QD&${yamLJP
zk@V@ak6NEf*+$4MP(SVbC8@IIo{oS0V*b;{FT6iJ(s+NQsIf1FeR|Ia@%+tfjp}<G
zA8F<XR2-8wnYaI}sna6n+a9gobgpL{|HP0RDfft1I=<z)j{jrP)5aA)%k0kPUwU(X
zrJ3d$%_n~&9TT={Ypf|^?VY-`$)I#i0c+#abqh9}5jB}scVWe&<~afBVON$-QZ;l<
zD6IDhj1UPn5wq&zO>^`SoFN}9^6aQc@6J|+{rPvCW<2$u&+pxGfMd4Zk6n+t=LGz%
z?e&=6H%}yQ|Lf&U<@f$Bd*m(e`%tr;bzWh_Bg=NtID?6vcT!gzvTd6cGjk!MoPo&^
z=Qg*BBNt5MjIX4uNh!Y)8vb|XuXg*TA0{1UTCWjPA9>@KX*=t^fQeW6_lexv_k4NU
z!Y^{6rMA9_<~yHP>1=2}EL3f|W<!5x^dei~?N01%StThM4Sqbl7iTUM++|?WyN<_{
z`*!*MRX^@5JUi?9mQ|~>?rnM}zw!6>`ZYglE&trBW83t6d;N+ZYo^S6d1g-Kv;7u7
z@+^(4x4gKp`{!(f`mZZ8OCK-0swtyZ+Nk{g*?TL?Dz5ZQg@Qgdq34+k3L4p@#4`mR
z2uZFz5*QS2;r2@ExToy_&LIB6NmrETD19~Yn_R_~e(I}NwTRy2T?eLq>f2Do_4&Sf
z<ADOH8KIoKB5s!)l0|r#dg|tPg+0=`$<>;@WL@FhE6h47ulYANT&Y(+qx{w6*@P;#
z;`{$MJqixb&*bwzEpn`)<3L1HNZNME=ZZ{^Pk)QQyd%?>tGeF%CDZRZ>GvPj%sW&f
z#c^gq;}IVzjWdfHkL5_Q%y4$o-Z=k?DBpx#$6SK=4LYwl`>1{82==h;UAbi5!7W95
zFUhs;T%u>Nevk5+d54OmIMys^tUq$0++%@351Z8COo4<W)*)MjKA#NJ&`~Izyk=5W
zgX<ExB*T^N5l*i%byUCdO#5qQ=au#5_@T5vJvHrWOp7^!X5LNP($3LS`TV<Rub1b$
zCM&ah>qB+Vm~LLhY8F0)vG}M-Lh#XaQ4Q9c-S2n&=sVlGeOYPuE|Fu^9S2&<lfvtt
z+IT+9`x7<KT`j)9Ji>O;j2Aa2A5!7JIOB~X<FX2m4&iwmXHw5QU)u3rQS#33+&2ei
zyj#Ea=-d0pE-kpVN`6*|>A8R6!S}l7`b6#&3VvL<>gwjm0JG~ezs0?o@vJ~~+4S7&
ztIkc4dj9WV&!)GVs#Md0ZioJzaChA+_A`sNt^T#JUTE|E?zztPp{9O`d<(hGsD^Y_
zwyZL+zo%~>8u~9J_1fGedK)HOQ5BoG>rhhA^$4d|jMFAnb)+tlOZ-{Aar2QUD|QB!
zXxII_BHTFp<HAYmnlT>~8$ZwcrIJ_Caj2C4;*MvEjO(gAI<)149&T!1Qh3tYXorNn
zYuY-a#V_vUd;8Z1h^9@K`g;0en&zA0bN400EPllOYvXMVwm4eUcv)w<#o?mX%{tdD
zj(=i!J$GtqkGIUDsPzRi4okUP$UM+!cb0iv(DGQu?=efevBk*;*EDkyb}ij{;8^+J
zy;=EF`x18^$e8Bcle9Ckd_zn!i}=1HYJA!`&o&$r<72;Z>Y>1Gi+Y(v>-Nhx4nE{5
z3)=B-TchOZ!<IS*J+H1ZnXML`Hrtf@w5r;Mm_()1rfM5)4y3HBR9pOP!yy5_Y@TNY
z4URH=h7%48vK3hL{*yVEpws;J_-q-m8K=Iil0CgWLT|(Tr$X!zd40cr%b2_WtyQb(
z%#3+0vaC2FnXR4q%#(tSNSVHRgV~2O?>s1AGoL%VMr`_>C)Tg;JkdVz#_F-poSXeY
zQs!${<z1AxeDAyA<cIs@ZztNW&Wyb-vHWqQ6K9U{h1a_8w@tNpd4JoboZFw*R`~p!
zJ?X5$R-Jn`*}a@BtIyoqnA4UjYIjY_dv5CNL|^UIuXEH&?nHJ+C%oS?v$DAGrdVXX
z!iE)#Om1p5iKJC^9N^)fIOCln*JT@*<W=o6j!MdIIpQQWqtu?)<k@9~12$4EGrXHQ
ziZdo0%aKYk=w?ZayY0!`D4R0>#?G9z?Hp@93UpqTTeRVnvq@N$M}ug|1)<xS3pVt!
zNkwN0ByjUO<t%Y*;WhC{w&gX72<Ow<oSbS|&&$F#<-4cYk_&g8S=g+;yLY=JHMDDd
z`7Y2~CnvOEeev#H4l2JcuMK?R&fL4tgyTXxn_|^v1t)!}B^M{NNq$jil+<R=R4C|u
zQ*9k~uBzjJ5dXv(j^8%OY8%_SByVe<QPXFmm9{2kY4qZPRyL{Wpt6kDDQ1ad3%7~K
zV;kOjBa86Bs(wZ8P4jtXTrB!(%P$o1-0@k)y041H{emluwy(cxr?TNx^O=2Nza|{u
zdVX)J>$i0~Z(iQGi91t_L#8y(PsEX{_WsgN2YddB6%SVWK3v$IVe@GML;uSKs(lv@
ztofI@ps<6Dk?)d%i~sY(yO<1$8F(+f@NMS#HQ|8FN%i_C=gt<^czx@f%Y18M?fkz}
zd)qxqJ_xk-$t}8g(wV7bS+w%Up1j^e#Zno27C1IcH{tl$#U>bcS)te5<ivv9N^7mn
z$xFF;S$0hW1>%O=JKx5sFzvQ+Nm|~n@#cd-?^`*cgxQ}bdNg{JERJ4$VJ@4LZHB-D
zhQ0j)zcU3A<$3Eb-SBSa`8MG|&(yUh0ymt#b;@e5wmouYot)CfOU@!;6&=Tl_&GQ9
z@I@sV^NN^VbVyd_Wy)FL*zwh*V?!TX=9;CBY}s@8_ij@7y>gzUu6ON;6jiyDzGq4D
zM%orkq0hd3J<!hbu6n}F>$dVjJAV7+1V&w5;(7Ym)~lueXB?8%uNU6&HdSc*9-oKH
zrf1&yu!-UNUY#SR`rQRrfBw0$>CN6Q?T^CS{(Zijw&PjNv|yFB8+XqMxz!&wJF&lg
z%dxoUpY@zKl{@S07vB9d;O?XP^;tpF<3Ia%-j6-?FzjnZn2n`wO<J|)y;X<Ow~0Sa
zclc<0Ti8ye;7+?rUuBtU;X!AS`g7GC$1M3d3$8gk&G|TiW4WzLZ?N2?gC~DfPWo-}
z{e|_?`<0uEK0dyawj=K0^0kG^^QxcQz0GZ#ZIaO&ExPe`-?`@p&KAsmy0%b#AIGZy
z3wB7ptMbUcv--sU5@mlcd*{5ge+U0=x;rf@Dmgsz>CW>rXD4oN-*QZD)wx6N7tU^&
zUjKCM#{N}1U$JjK|5xsk6#JvU^W+2F9|?QJuC|Ka(rYhr|HKBhvJJA!8V^jYVEC%g
zdhX-IXn&Sn6&)_ue>54ptMpy^9d6;q-22#sqo9dR(eIMNAx)_zg|pZs=XB~@@c!5r
z`B;8c^n%%+_imC|l{e9;JV^Y7<0~Os&)9|HE3?1Ut9_aEe!si?qSM(?x1HtA^A$Nf
z5a3neyX26_!E3^{UwC(RZo|x_Q7OvOE_2kj`esKhHMrr-(zYl5-p9yEhm0T1NSSz`
zSbkE4<DC0qo;+V!78;y!Hj%5y;{I^K*~#Y91de`Nm0oqZNe3@?eUkgXa@)S+@j|oz
zhGbX&ncB{=N3H&so_CPM6KAI8T92fT^l+hk8<&N;7avc{*z$P-!&_Sw7k2*63m2U)
zy?CN1$+M;-Hm817R?ei`3#aH9^h{E13*K~y!^uqZgNK)xgzHJ6#hQ_cJ~c(B>;4~i
zv75%m^f*Joa2^|%aK-||iENB&7Zr}ANV#OVGhOz6o2hW2kxi&xHgmy+PBy8znF0&A
zd7Vm@I=19}+`Rw8-qnsDUDkitySnw`oNYh$u9mb@F}T~VvaGU9b>jhNk!RH%$87jH
z4X!&oo%uL{W3#PFZ=~F$gJ&w#=KS`$cBTB&{`af$?)^&t{d&{m*7%yIB8_L|cXb_^
zHe=BS(`6YszYXdo&uOlG9d*5ao59!b72TIucTL{qVjdL!!t0e(?7d$*9vyDd%bDC)
zCoq}iTfM|&m34dMCyP8g8Y?Bv9qF=nN`CnA?H&pjCb9{A&Rk&7&L*|_?zWrqA7u+}
zPy6e;P<rd%-g}bUbH7cm)qbScdpYm6%fj5vcN#u9B(U+SEW7NGD8*~CY)9_owC(l3
z?{4$le0Xc#l}m53d}D$lWT%_>?aH0py3MzY`4n@br^$pF9?ZrkFFPdfxowtOw)<%A
zY$NNKS8loUO^ff^=AC+zQg~<S#v8e_wYKEWkq(gK=vmr$L_$iVXIbMhjqSO&-jprA
zZI)ZM<9u(_?AY9r+sn@SeLEH_HT&+imrl3ccGWXJZ9LF>f5L$hHC~Z*mmD6S%AZrg
zwE6bdyxUBh55LX3@=bli?K|68)6{oAnslsad#>Zc-0624J~=!P;#JYR<nWM**W}#p
zT<)`Z{=0Iy&+<**5qSH!?Ak8xw1C~V0<&I)@6P4s&b5BbHieyOd4@vaBsMPfj0J^f
za-&nqtgrJL)*D&R5uUDMwcA!;=3JlN-DgyvF{kejT$t+)wuFOMh3~S%LjhhBzFoQA
zv+u?gEcTl%8&|s6Z+0wi=WY?XW3f`scegF&&VBu$Z@Y~^hCg#>nMpv!<88Y9CULuS
zPtUk3SGf4x4dJ7`R>@^wPuZ#)e>>8@+vn>$%bA*6-cFUS50K;7v!pRn_p-x7O<t3E
zf0mgzZ<_vYx!<zX^>_U5my32b8ulMLJH!3)j>(y#>u>efJHKS=_lSL@xKi6jp|tn+
zxr>v`F5h|}qnl~Gmi=7e1hdP#M5l}79Z~Jwc5bFd_snay%e%Q99_aC^<Xv`nD8_4&
zw<~vZd-mSjX4QM%?Fy{F&HU|%rSMYQr0<XPdXIy&9Dma%9q@61?c&BG#ZnquO55TY
zXM8@IJNxVwv)#FyXI@h@&zhad+p$}u??|i^|J`k(#%nL<EjjA*T8CHV+(n0nptNOm
zvt!H5Ybqd>bBh;mn;CY0hxfyE)f&0WzTU}=HoQFRWm%cw<yqd$^_`U_0TB+&y{&n-
zbCzAbmfLZ5%defh8)t^?-m#=WbKk;acIA6Sjn|&bb2#d=+MHMA*9C`#`n)E;c7mMZ
zz5RFYveIk4x6-1{KhkTxTsZxh;`ZF5KCAaj2N+(Ka$q)AzU+`Fz-z(=cDXXhJ+h^X
zx6QoPyu<sU!=2Pg^|B!K*&Sf@Ud)||CIJ$D%)Npj*O=b0y`8hH^f<`)=0|$PsolGM
zCcWCWc1Gsc67`0pWmmh|g!X1GxX{ifReQJcQd*Sl-4(gZO7DZz_dl9+=<$vvg%?4-
zef69z<jj^|mk;=yF$s|HVD5DU8GrR;?(DPmThew0-u79Yd}pbF^}9<u-hhnfEo0V7
zi*n9TFr321bvR>z;hmFP-aLIfX|n6h)wliByEln%mo;=vtoFG$<7G<JQSI9t>dsnm
zH{2%eI-(I|-klS4UE;BfZmRLxspsx2$XRB3(~|F0TGZ)BdaZ?p(~oIB&sdqX%=FsJ
zM@#||_3q4_A58*gI578`?=O3_appB8P#~=>2L%%6bDt2i%eN%TGjo1jxS4Nwxhl3o
zWIHoU+(m~+s=Oj`7Y;1p&b$3)WyOu&Tl2cb?>xIT`D0B?P{gBcXXo{?-+dfrBR_v9
zqp1Ih<t7H1+Pr6uxH9*;gS=jP2oy%ucUI)qFDtcq7j#3kJTs^CcG#IMa=REsjn{5_
zCmD8T%dLwJ3vGE#tady;n-&#)XGQL^t+zq?q95zE&MlmN%nB5Bs~3Z!?v)F3=Q)#r
z7f#H*>p&KKJqA*E9TXo|584*zE-STucS+)H-}a<sSI_dUn2}k!ywQbUN@Gp^lE!0N
z+jGq>zk2VMYrM8O?~2)FE1BsceMeP$&*k0jnt3hDd_mH(t!->V*_jJA^s!03zPs(F
z&+ESGJ2}fr?}2Rj{#dWIyKuT&KFB%Q%^>I8@?h@tH3_)k!`!P2a?aJmws~fkcRd1G
z@vU(Bp{F~%7w^BlEos@-`l}$<<SuSJQYNMGW<ldIKagXp9?A*M&YW8aiiCz8OA3ti
zGUpV4f~RaJD0uFgOt|69+`8Aqz}rpz@}}tx@6J7M_{LRm{^X)JtPje30(Xc8o6NJA
z8CLl1{`(Y<qbL6}tn~I#DQ)#!vd-|PWz?;-sOxk5uA5zc^|*dc*{!swy^kk3t>3Z4
z@RDWJt{IuWg}Zhzuq?aekR-(`vh1=$a@%dQ*_ph>x6_Q*z5@l&E3xS!yN;>$27&{~
z2NXc2-E2aeAyrW^D1cT^2I*N_%r<Y^%xk}PEZLx_mswH>^1?H)7k-*dh;U$TZLK%C
z5b<Q&S-#El@@~Jexc$T}m;KhQ9ZNQ->1BQ?x*aBy-F>&At2vjGS7q8IheSzUlWCxw
z9A*y+rKpFX@Z>C<e(2JU(>lfAl1LO(PGo<(tukSTGjr=slM6GxZxil6yM=96;BBAR
zZPHuMZdrF!wRLr&=i+uy1k{_}2StGHg2p3GQW`po8jmG`jFx(}?QB}qb5NQqHPf3p
zS$W^WV;tc4?F7Ydlo#`6mSv5Hilj2u6o7)|VP1#XWhv?DXC9gB%{*zLH#74FDC0-H
z1ZDiNiw;Sqyk`uSHy*pS-Fy9(vK^(R>u!{7ku6?#tiEi6Y_BGlds3I1`SY$=natPs
zmX&N?q+Z&|yCm=0w<UREKm5AiD7=2X<wV~`X1^_E9dG+Jxqc^g9ZOj5di0~|jm{+&
zd)g-KIuZ~RF5&h{>hN5#n+vxd%$z72Sv@!7?SWgu!6IeHMS5lZy1N!<wFr9_2K1_w
zb_On4XE5uE=`o9X-A&9{`z|Y4&*QVYc<bR7g=dD-Ps~VK*X!5am7KXo*t1ZhSGm-<
z#4|RLX=S!gNmPosj`N%wZ>pY7xU950#!@EuOp*S!smE3xx_-UbYoF`nIUZf!Q%^3@
zGi<#gx@^*}V<yX8%VruK>pZ(IDD0?6r?+4C8>!UPGm8svW(F3v8r9$JT(ZMqrsFFi
zW6#(`v6b0#>a73s7OYO6Um5)W&#E-dIUk)HH|IaEXAI#x+tjd->AIv=Ve>*RbIDbO
z-3uA>eoLzqp5qri_j}<nR(qa;i~P<ppAK_O|7*~zT{q)ksD94eL$dZFJ6^xF|GoIu
zS{~6G#{xRv@`T<v7|=WS-vrfn^*<LLs<ppT@t~bi?pI=md!59?jq=Mj-sCsF5yba;
zzC)_pV?BG38?pE5S!Wy)Y~zwiU2(*)O-m+y#WBt{Hj9_}6LyCDUU(?Z{>qK#?Tp`k
zCw4H`NjzL2zpU^Czp=%e)-;oOpPU<G^MC$2-*@aVkEq3=fXQo(LLN#uoA!y%(+jJ2
zQ!V?w@Q|GSl^d_y8NdBZ?D$(J@vuRDS>XkKV~a0g|Jr9nM$A6?)AQP#{oM9S75_F!
z?|ZTM4?pj$tA7IS9@)paGjz6B_3oVG>ob)$+;vvjR@reVjQ`?|Pp|*vn|pbF`~7cr
ztw+LSe$P1-r<>2*I=p@AF*d22v$NG-a=rE47Hn6qvhiN{!b6$&!=%zPQr<VOU95ek
zZuY%)m1{pH9E!ZZ&m`<}^v&q7)ioXoOZjIWX}vdn@6@e_wog4)Bz5!YJ0p3ah*!=+
z-ZdT%=kRCFSvoOx@w|_UjhF3I3Qx6*`2Cu&Gw9+8J)QpF6Al>4PqOfr7uo&H=GASl
z#~i(HvOa9!pXpjZh2K-=i()IY-I9&--EO`Qy!>`k_RelQm5t}a7amf&ANH)vAwTtc
zY<10vB~ErK8)w{`9y{snr`*&{{zY|LH($5l7nFFdD3omDlDMTkqerRvblvQVCH$N{
z9~6zlPWGLsJ6*9OU%NNaWRtDom3Ef49}`^i<%1%;+%u|^C41l4)Zc4gcj4UQ+FFlA
z^Z7Nud{^vcG}-j|hl9M3#1m(s=30-$jr=n!|LDG2vvKam4x9No@j71wI$7lw8Qga^
zc{cILx5F{~f-@c~3T?J^Nu1W6aYm(c@07D21R7t;DH)!17Fkx+aZF^=jny|&_yuP?
zQ4|ukbxCY$&*)L_+}mLLL7=hzw_K9gRk=k4*PTt?O*j&_JFmLqfG+>U9WNER%xzpA
zTY0`oeLbt4Bj&3>r?%Xpf}74JdJ~VtZPcskIKaw3F~YGgw|b}5`<rf$zpekYB=z(D
zl>cipqyCCpzfu=_$2?uA*Hix4{3{_B&hA@YBe8yYXz1ouYNcwTx-L(JIz#0aZK%KH
zY;sNH+N@{)zwL_Objm9+{NdT^ry&XH54Uo%_&(%qXN@b4cqG~`8fP@o^G(8vLuGAQ
zImsCfYk7D#wk{MjGdAh<<vF_`m-VV_YkA~8h3eHG^iDq)(c8!QS+bpVUeLs=>$e0~
z913VWo^ti8No%x>*p8ElozgO4JI=YNee76R&&4mf>SMz~#&^ahM{L{NcAUOo^3K4d
z`S$y#`OBYVo^<{cFD_^L^uU|shjF5EMuF$K_@^D8dPq3Gdxg>OHx)5@d#0?AOO<b*
zdq_3NoO{Y4;UZbvRznj3318;UK$8FoPv%}h6AptpJz<ZSR%-jGl^XX>u4?F9BA3Lp
z(p|#;ReffU@>iZ?(_T;grop3J+PLtkY+~u;J(nF8nDeSsU36He&TCS2LBXNiD5_I&
z$vT4>S4`O^Ry8y(kxOD&>Hfn1RpuAvuROoR<c>sKczwU+=2>SJGod9$yU()m3r56m
ztN-nBS0qmCURJ~^?mZedZ%<zgmWZz~ThGjL?4m=G4zEc4u?r5#CcI2NT6=D95b>~4
zk6!qp=ZfjPNmUK%OXMCIu5_<(d6j9S@|8zz%JKNj{zD!?;u3-P1jGCn+Visfy6CV-
zpI7A91&77vyi8w|7EhJ%d&PCwBQ{xMCA);vD@C@+RV|K7<{fhgI-lV1(DN?q-haL`
zY;9d0_&$8;>>4!lZv8UdjlHT&Z@JcYtybU3o%Oq7|FiooJMHaGKE2;kx!{A(wW<kw
zf0T8+-|->jYM-3ag_R!`?UV3K+{(|n=HnIhi#MG`c2#t^h4XV>IP2_G@_hn_t&K|W
zRJln9SN&)_X=_!Q`_Okr<<2L*GoC*d&Uh!dVn%Sq|A5Z88sTSm4mIzTt&i!fOufBT
zt5*2gAMgORSio)3`z<>s+&Z_9v-slfjl~+j)y^Dk(b~`wpgK)x)uBy?w3l#aEv*%P
zRum*2;rL2O+cP#%bY=D$wXY1>9=1oKg8DbC`_S-X&%Ao2+q-`J46abR{p&}7rrpHc
zcK(^6>zCVg^2#mRaM9VstkR?5Y`t7k*i1R0#MSLfHlB4hx*;d;y7TzDw_1CauiEzG
zWzOHCEi*l{?UFV)d_UB_S;Q}q;WR7TrkEsw)4p{lih31eehArG<a~<e%l`7Hpy8ej
z-^KRBg1?GxsH~7Vx4^zRdbz=r!%T-~#BGi5oN>0OF;ZvxjFUyJk~-ICoNLV&lIGK{
z?|HCc=e<IU15AAFGtNKc_-rxfSPWmfLHnVv+gZ;<%srOaxH4qD*oMe?oA0c-6qzUX
zTE{%QY+827!$Z?&97^0A_k2U-w&ELW?pfxDeUvfFuH>7oYTJJH)VzafYs#K&@D!XG
zw>A0e({>qiZTD%jw@RHpJFE9;+S_l6!uwp@*OhYB%UZ0tIPWmytwIZzXg>Ff!>;p=
zW%H$fcy;)X?w&i-8`jR5KR-AkdWUShAM3TfQ`gU0pqcZ0!vQ`%?>A329Ma~?-t(-W
z!Q5xJ$~EJVL}q7GGb57&YHe*d&RpP#mY8)ctSxQBS^u*aa-)AFrCFN3KD&KyY$khN
zIUo0z2OC`c`Lyf5Jlf!vuU63cjmOk^+CnK=NvQ?G&dw!i87+D|zKgY;jbFH3_`@>S
zNxqHii|VdT4#7LTcxEt(?Kqa$`C2Ay$HBzj*)n_u-4>OfBNoYD&T9R~b5-KN1qNnG
zsU!hs<(Q--zsE+-#ycJ@Pup-WZN&lE`tVx^e@!{eblxIo-A0+P`ig^zz0-Xj2$f5&
zD(GJ*^<7fx0i(0CO-e?KJCE;ULuccPPuI#t>({LL`+5GYKLOv1XN#F`Ev;X*_SZho
z&GyT0nXLY~a+TO>`%h9)+jBB`x@*}2;}1*iR}4QqsejY_O;@Gw+|^f)%-b!>a{7IV
z>dB(pYm1n&LN8n0d$zRBd0kumRy*gq@2CH?#qhqiJ9RI>sO^<lX>OE>@YGQIB?V6w
zzmXLQ&%J!=N@wg5HYWE@UYERP*&}R1$2)ja@|ttsv2EQs!EdMZlPh=Fq&s+7{Dh>h
zv~TIW;qZuMqhqbd>`q>hK4Iw<ci5um@<y2ohw9E+w&D2A1#xF{3ufGKNU+}MSYIp6
zCn!B5rRT<ieZ1A3ydq`7(pR=8&fo2rtG8tCJ2p$x?FZ|Um^*ckuzgjORWJ*<;gJ&{
zf9YWVz10<~KgmZg+wf$5aXqKb`v2ZZp?~AKvS0r<l1%#>uQq$lf9)e@e(hH}^Xoak
z_C3@3t(kY$=(V=~Ul<`25fU^hA|@y(A}FZ-Qbbe`*M>DqM3O2!H4k<DZ|M4-yK>XA
z(r&d-%{4#N-320EaxDv7w_w99QIl&soEo;S6G)n??Go|de}34XbsAeT6ZhLze6pX`
z$#wkHQ331IM>(YbJ^n5FKH{QE`0Rh0|F<7_cIf`I7>z$`H*Ec|{n)lc_Zhc||Kr=Z
z_(OTi-2Y$d-)sMio&3H&<MNVKuCbwOZ<fbON3U6zd3lHD<W*s7Z~b}NE<fvvNq(l9
z<(;1|>ev0yOUt{v%Itm4tsUz&?mS?h*WmrYlQ~AnU;2R&_iMHtK3(50{tx-6`+m}n
zxj8{QrsiDQF*}E=K>w!5qq<F+hniD@rT8cNO4@A7{u>m$TCKM}y2H#@YP*S~o9M2Z
zoOOGj?#RrYY`^&R@%Yf1xN}*nqe8DPynQ@n@7A@xD??>>)#s?KD7v$1m1Nb0tJ{7B
zfBjzi!ZSZS{m{#&j=rle-fqt-xYj&t`=MqxwcA?mYolk)TYc!Yn+tR5!pHYal&t)o
zS*_E(>J)juP<BP%<kr5|K1b`dH_Kk{TxWK@Q1<29oa0-+D_kyoTeE^~vi-t|FL#88
z96woeeD4w~KT)f9tXB)Qt{0w{7Ss*-D*L@>o%#Jje`&#wt8$LpE?j-K^iFb>_3grb
zn-e8>oaI*?R9W>nWZUDmdY_Uxr^@dbygGBCwNKiMH+1gn9g0_0E_`jBXAqme?{Pg_
z;PZxaWp^BQ-HJKRyI}if+3WY4eU5+qyim$#iIrcv^*a5lg;w_qYm;n)?<aqY-}7N(
z+Ov}1KjgLc>80ME9;Y=s;`Frs|G7u+|JJ?#KOz05h?)5jwGA&H*N1=P@7yNRXMRGx
zV2z_)=VIPZnlhOa{2v!I*D&&#o)CYO&|D+PS8sA+`muj(KaFl&pKw@h<3`1+Cq>ds
zPN*B~b+qfu<o%@SlRLpb*{ivRQO*2>cv4Vvji8#@iRs5$*nS#G+@ElG*@lIRS67N0
zGd-ccVT<DKs}c7Fq8mziH?~IH7Km;!<=wb+<1WQ*JYltO(x$CjyUVpSHq~^R%IqwI
zr^4s1>?vGbZ&e-r>T!MW$KLxPm#?g05?8Ha5mT#D5!*0t+S=J>E05G%3%>nj`7N(A
zzkj^f-uJ2M&C{G$>i@lu?*C}zC6-id|F70{anRzuU#A>u^XIg5i1y<9_)OTT??cz5
z%N4#SCAa+ka=XiZ$_d}uA?d*#W@ocz{n@!nQ|{1NcCI`7&hNZjuWoo_QQ_=qrdvyI
zdcU3JZ@VG0lzYwJD|>f|FMK=ir(IO^)g7AGYG0|Dv(EAkx>o;6?$oOH(c4#@S~qD^
z{Y?9y88co8&iJshT25Hb_`mvWmNd25Dru^-Mbgw~n><sQ&2+}staZlpoGt^+n^TTG
zNeN~P7V7Uh^5aO?nb6A8O`Zw$p_@FDQa5=fx^D7JuH2+~U{gwP$I_F_IJ_tMX6pEv
zrEbvpxI&}Hd(DZM5^=TJEMh9}e3Nq)sUMi(;@@$xa~?;qux+M~_m>odzrp1XKi1|x
zeioX$YL-dn<t5uZLvwR|b$^+Ciahhbcv@ZW9d4hUOG8V$<!6O1tj~EGV^VkjM*W$3
zW(ysI4HK&a3ktawN9q~0Jrzoh%=1&9mfe$H*}$p8Z#eO3npg79nP(&N)Qr|utFjf&
zp8Yag>on`KjWJ19r$y5?#3ZYoX6|`3Yq`OU!&1%`G6@>(&ODC`S{};yC9|{}OWZth
z_Cwc>tM=PgtXb#1(7(w!A-TypDYVHsF}1$QIoVaY{8XWgZ+7;q+wXU(SFubpEqb1E
zXtGm(%4CP{DU)5cADN&sO|42~no5<4n0ghHjl(4MBYR!^TkduK_F5diNWFPp@14@j
zozL}qXNQ`*ioaia=tteEmASK=o~v{_ELZ7vIj+*}bX%qSShh+8(;lx)EiZ1pINjy%
zt#j44UTV8f>U1gD<n4m5Jy*Z-D*5rGyUYJnKq(h!g5;fWmif2mOZx4XbskXWpIGri
zk;~cU_eF^}ij2RiJv!>-gch!EUvlxdv(XFR@;;a7D&gXbubwJ0+1k1++TE^E@>QT$
zPflpT=Ju8s&lCl#Dm<i^ZB&{YOP*`&S=hhTvzGm0{nVK?<ub7|C5`1LALtR0YN_yS
z<~W}*;aIR#%7+#fQ_YeL7pBH<PabTs=eX<nt?RGr3(50lLg}_H59hRJ%=tcnLEJ{=
zh$??)!A<8&J02-Y#w@jVUnIM?Q_}Rhjmv{w?H+eN2(-?YTU2=3nd#2A2?EwODu?{|
zJ(IV$r)+3{CsS{n<&c-;b~bL8k#W|yi&JM_nQB+ad}fhj$4!$CgLbyeoaK&e)=Ba<
zPaWPpduWoxCUCR+ddZ_lszu`KC67E&cylycjh7{D;_i*&>yOGQZ8+lWqxDRY>$Hta
z@``pAiD!z6ZPgwvf^w6NdGMc15V>n9wE3m)83UQOifNj!71IRkUn_DwwslEvYG>Ku
zcxKYHmL1O&1=m%1NKLm@Y4$#HQv1!(Y(Cjpl5OHIPn|K?;}E{gaJn4}<FktjM{1;8
zA{?4c#4af`yQ&z7ufKd`jpCc5uSE<mMoc*5$S-K|KvC$otxMwc_KZ8<Cop`sQ8^OJ
z-&t_n`O=OTijr?s`<L#lm|MTZ+;DLke`V5*?(2E{6K_0K<hpI+lDxW|#p0o&qF<Fq
zOR(IeW10LX6Ljuca;d*KXSg_xCw8W!>Ef5CO!_WAPj5&z;V7KKCfIjDq4%)Ki3b61
zysa!J?5>#G>3(O=*4o~RhQ%Kjra2}Y5aeoMlUe4Fa$w<)Kgo`}dS(6P7H#OSUv<XL
z<h=qflgxrwr*7<h^G(1wYvrjo%^$yAQaB*DNbmQigwD5eNq+T<Hod8CD!-&~fNzyv
z;`dEAokj8jrrva4khj#aK{q7!(z~at+BISpYHjBKw#ToT;rfbQQ|_K-<qx#*4^7)Y
zw|XX<)btF22TEIobIa>*Ig9uOPEDQf>&sl<yV-=JpzW4tLiv8se0Si~RCycUW`^UL
z6OI{XsV}_ymyJI#!ap=^{>`0zY>Z|X6?%KG_$lrF{ZwwzhNe|#>^2nZ@-nSi=qR;%
z<=h!BT@wy0H<>8$M3IZx)@AYk<t_|mn_l@gGt_2IaFfqcmz4fgyvp$A&$lz%HEg~L
z^s0x{&%Zfyy}anmxj*ABMKAf-bfdF&_3{JE{1bP)SLFKMaW^IY>(3c%jB*zhdfTt~
zweY@~*6wlVi$H6f%kh-^mn)~=+CMk`{RM^I+gJRS@UD?A{GmJX#VbXw*cAufOt~|e
zjj`&2LhrjPep7hYEQTyB_;w}lP0HPwY>Z}?6ng#U*Vl!AJT4UQOX2n#ZLPFsQ;su@
zzYlufS+LRK;KYNbzaJazcTUoiZ#`slZ-L=_bC!q$(oQ;0cm>4@*?z|^72f0cz~XxF
zO~x{#g9{6_4o*Cnx^DYh;fMU63bkY&@k+H<HhY#;HhW&KbZ$wWbI`8xuSvdu#o@0Z
zW!HAhdN5(fqz4A|GeesWFNxsfo1Cp`VYK6vZ18WNpPOs)XNdHeXT&__WnA~UO~Aau
zxn=g8gLbFu9=?xgKG1aE(01zFg5|0fD$CR?M3$*on5d~+F!^{Dq!i4vUiPiL?DNli
z2Ah@gFU&YC&2;7=uVUKgHjd2}&9&AC%I+y_=zXo3?2(w;<ecE!RPUTr+H_b)UPwkt
zTUdrMOvS=z#|hce-)SvtYw9&~9`Z`fu56Ah|Ij8NUFqDyJLllOiHV%~0xyn!4N2&)
zI?mGW(9Y8C@|&gIX*Wx|+ie#226Oq3eLU+5-0$w|U8H_Lp3AnP*{%GZzyj%fj*C;x
zU0xiLd=qUjulVd1{lgOHzt*Q_^#9tx(th9qOZ$-tEbWILu(Tgrz~bJ}$l1qp?$cdG
z!@l<ctsD0^CiCQT7|b$nkvJ-?)boTlljoV3Wa4a|wgR@_?<U#Z(R-uqQ&n6md-L-$
z+3C)%-}YqAelVe8@&@tN>zsVO;hcR8`oc1jG0)FQ|GqaZFGeXf{{E$@<{2gR4|y4_
zKDP<Xt#EGX+oRaaw@0yA@J?m&eT&a6*7<L`)8&e5=Wcs`R^Z*56Zbw#&3Q0EV#<R6
zi5U+rNKAOZal!uJ#Dkgd_H4|*U3ujD^g|i%Cfu+tUR>eaFmaFK5srHTi5B@D$rAY|
z5-iH^-T2ND`{38XeR?l!%I~GTsVvq#s8~>Mdr+}Z`QX9=<AVzewGRqDC~Hz~HU>Fh
zVoBe7{npKU93Ly?a};!%x9m70trYW+H#6obuOv_Ex!G^J8QvS1ro^*XOn;f3?U9(@
z<ouwZ$@!5-lk>xjCg;ZyP09y8vbeKNpYd7kH`kHsntIJU&v~WzE1VnJ_b49myC;w+
zo?q{gY@UB2fj|AkJ;^7$OzJ!LiGADi^6;5|*Ay?9A5^@ke{i9J$-#vmO%yLNfEM50
zd2mj;y8V;L{dlhBmCeT_?+GlhE*6~ljW3n|p1?x&e2>NA`6m{br*HUfF~4~2hVK^f
z1+}_wD?c|y&W>t7aGIt4$ZD4Mlik8HQgelrOeNFno0OZm?^GtgZ`$_UI%J{#{e@D0
zE1Vkw@0cFC$NKjCb%8{Ne2-*{e1l5E^bOwwr+;E=OpS@xuD*B1qk!X#Pl1PySAm9(
zUqQy4=V?pc%)Q19N;ZYhd-q=6HRrv4Yv~@xWZQfWgC6VrH*>Ga*6<3deP}aL`_#s>
z?EksHqR}hs59%%F+Z2;ze_Hh0#+YOq3%Mlu)5>pBclf>z-w=~zeOi=nLrgNyX=a&(
zTlv8^oOSv)i2Tn!x?lI<+10bRZ9KZEJ$S~&?Mn{Ebw=`j(>jy0Aw1cwc`xHK^BdAh
zQO$b=kD1<>ee4EXwegMn0qcDdvzzxat(JZ>HR5W*`a_%8s!i*snct93NNwJ$c+KR7
zbYgDv-pn=G8_b)(@_t<<VrFtfdxPM+VAa!mRr!r?ikx;{bF)(DMB0x2&l}qAT=&+s
z{n`2ZgS+?J{O7OBr`S3N&*(^*eqagP4iz=?14|8-GV1lNEU5qHwL@i_%50Hss<TbX
zRAw{1@iA+?F*T=agZ0fR$Li;$1hWYz?f;Z{^N;>j)2X>q%M0|rxxTa!+~G8NmDmos
z-%drgD-UkE@%Z(p1N$~eo&Fn;?D}S6<=R(L*RO5O%k;0Vp4Rv4#@<;|A3U4Z-}Cp|
z@&mlq?-N5!*BTr;T$d5rJ=-qqI@k6je&th}?Zf{jPfiQ%&DJiozjkZS;?&1#_4UV?
z<=3qJC8%v*#$F;ft2t}_gz)C-d2_Ez`&5+w4K-Pt85r7Z{ZDJn!H~k=$>sZ2tv>m!
z>}O5tvA@gwrC&|GaVKH@p=oT@rrXSJNGC)$?^WDpenUFZy?Jluo9qqOpRn_Oo%QE|
zL-SrHcIh`$Ev_W2KlG5T+O*H)hV+As=Dmu2^`<wZA69^r=WZ}>wgo9qC~w}&v^(jw
z_3Ki-pVrC#A2zi;Qmuco{M`fnolzzyeYfA1-f7(t>mPLEm^90_WAhdYnlIU4bx?7G
z#zDo61_u{zP&l~I(EgxcLR^#bkvlBzEtZ^pCTZ#xMl+6<@A549p>^g>fqB_~rFQ~5
z=0B*PP%-5}K*h`l7j{g2z)@g*Q1Fpolk%Z%&{}t48A+S&rPmGH52fw9eD*@!srN^C
zUa0@^Gco(vZg+s~o<Ndqe#eGB^CcUnnWt<}`^GbChT``(Q;z?Lb#<MbHudbRtt+>@
z_j&Q@_oYn^>C1I4p48MXDLEx2JEy4Oo1dkN^>Ueo+4UQ9(sqgLvP^A!x8MEf@2^j-
ze)}zxTc=>KV8_w#z0oZ%UQSW|qLr7hUwXb%ez?Gk&%fP7<)?6H+Wa{a$|84Er1Q9;
z>qAeUixpDAOg7z`it~<(82cr8N$Dqhv8}f&mQuWUJ-20MOx$kinJ1t4`b^A_zU`Hm
z<8x6$JeaAcUsJJO?Z^}k;bbqV$w^*plkX}oyl{T&jDuFH%Q$>`HH9uGbshRLW09fq
zGL{*V!A?D$nu2b}r<k}Mox<a`tM|f-_faKW%1K=ZKFm-u)LEv%)2k`voaEJT&`|Zr
zf*C@I3#1botl#YvezZ>8Wyf=_%sb_+JaRQ*5~<JXdkuGGY)EZvtzW0G@qnnvv)xU{
zl)^bS+z@rr`T6E?7k_tCC(GlQ^Zhd(aM>rX6wXpzX4U4^n7S@u+Oc&DHXIT)3ESn=
z5V}qvX{&J7Qr^;8uYU>}$2?45Q|Of2bhB?^=Ygp32@%h^xL(IPCO2!d+<3vIXtvWy
z)J*(2r*_Ep17hKZmM_DW*ZS7y{BGMhX|J@|lg<9xb<aI=qZVx5y<gt&sA%0WndS1c
zlBOhQXc=4=Re83v>5ymm1&gO#jDEYFI*k4P_~uSNwqu^W;Wg2^W0CF|q20yvZ@P0o
zZ#m@qPsA#4lf&`zcI$ua@bO!yyOO&^v9xpDl63|XuAEhIeZ{zIVpYexC2|YdSJp4T
zIQfe43)j+S7xq(m>k{{R-i_Jhc{hgD^KMMUio04{mZ$WVO_6yJ`t9UpL(3!FUVR@X
zL3-AEetucp_5D?<@mxMFNro~r+xJsc^(<0byZs^`PYUKMxT)E`Bgkl8@#hx>$3H5w
zSwEd$_;vFn25rMFBC{>O%nF{i<J}a|yn2h&-r0VZ2XvRqJSxj<xOua9+T$OB#&bWN
zzY!*tAnc=mH0{})cT-f$EK*zN`b8#B59Zr&TeJPf<0-2*Y)_Fp;WzWQ%)v7=etXZJ
zGS@)ey310~;N@n2Ejz8yGbNS>ZeH%6@nTB-vBpbn=0|@7<t#hZ>=*fHLU5SHn<=J!
z7Ec-6>*p4ABrkPLS3fzi@3DJN!72O46`42BOi=&y^x00+<EzelpJvjYyXgqm@--Xo
zXlCmapJEKNekzbXx2Wap(W0`m8;@#ozxlW4-wD(8@x^b7SR3aa)jcDn+-mA~GiK`C
zO*=KLQ;U7ePCc9SX3AO7o0{%7qIeE0i`UF4W-YF&kE;)<f7L3Ic2uPCrlD(+pHJWn
z>0py*N2f4+PWI|xHB>!xXvU;ti)KtZn6zCm&1aXG@qhhJO(yT;t|K33EGkf1mNBPC
zlhN<!6oKW*UM<Fks=b>HRhx_Rltt8bi*0VRln-XnJ0jBAZRq+i$mik?nP8@vPEEyi
z$EI)`Px6v-PxfMSufOA#;Zvxa##?N$j6<eNQ;0pe>rms2MH}sxvD^?3c6!sRDfn#%
zBioEuT#CzfI<>r9H{lph_=$vP&%aK8^h|a7BayKG^Cqxf`?Jq(Yrzwdut~cP6a`I>
zaC^n&?HQZQxpMYV!IkY1F0ayDCRIssd)PMr3^Dguv-C~cl5@(XlUGiz-!-$!BR0`&
zYjkVrlr<aYO=8Yj@I+v?rsW|uFTZ4E)$|RozJHw_tvuW3ZOOl~;B6Wo4rqGcDL=*a
zea@z1vCDJBp8MTYar*hSW?Rm==$vvH`=s{Zf0En1nAaRR7nEbPb+%vRqqg9%9q*=?
zuCsi~5I%R4r>ZyenY1i++2j2d52n=DAA5R<VRk~krrFF}mdkYtPioGNxvrTVQ*es$
zob^+Iy<Os$bCzA(ZNdM<Xscacg3;D}M}IE23$~8Fbs}fkwzuEE&WwsPoOw$p{k(nB
z^x%Jzf9>=$D}vu>9#so|9WS-w<P|oH)Xme1Pf0b;DQY<AXL)48rL+{|t$)wS)gQ52
z{-@r!ZQ8X2qpgWY8)nZgt}VZK@6No}hr7Q$`xYbraEhp#RcddbpXGs!<uXY<!RwCQ
zNMfG#{M_HQek}G$-NFAPAA2#cJ2EpU=iJ|qORWu_|6^Ic#^9o6wolP1MmejmtrbtE
ztp2#8VwTDI-qzs0$L@1VPT4>9EYz9tMCiEpMoDh}x%Hcl7%yM*;f`kZo`O@1aaK<S
z*3T&tjkA85wddr0?K4xO7vvV^q-;*!qHU{ob7SF>Ef;TYEZkvXR@5aatv$!~Z-=wr
z&-5+&wne^$TSR7Wytwd-#|`(5)~T(2evyke2lHJxs@eYH)s)p2*KUctxv}U>=L7dS
z1*hy6S7-93t@)y%TmL_#b>95_;UB}wY7I~B^S0W(X~v)P-<lt!)#nA@{PkOY)BDwH
zb1y$RpL^!rs#(hl9`M&`ZTQdsP1{l~D~v@(?8d3YPF<O>8)p)GePzs6OY^ngIPj3;
zwdI^+R($Cjro9aAtUYr~ZF%J3#Kx;K(;|*0woa9~7IE+)gSn;5Ber(s`k3bhQr9i!
z91LCNFZ#_$diK!^qI}#jX|Jx`IDCO&x1>~(xU=$`lng0riCG7~+22SH6JPPu|J%04
zT9dDv^=&*J{1pH8yXNT%w#)lB+%M@Cp1Afy>vYaND&=Z9Q;lDqZCU$i>U7RM%T|jl
zJ9YC*+NH3c$4;}{s`Cuf^qpG#^6Zqg^_%Waw^O??Z(Yz#t>+g*v!YYkcX{Qme&Z3o
z(s!!y3oYj8RP|k_4usvDxz5NS)Nk?bo7Zl%-a8d`<E!^8o=wNo#3JLrT_{n0eOhkj
zyOi2*2hJ$IJ}oz0E~WP7;Y*X(oR^#WGo|)s^QG=J`qe&FlfT|{-qf*1zuM*3gs-Xm
z9`T#(>Z@(0P59cnZC_nV?ZUO|?IYteUPXId6TkV3ZNgXAZTlKiYA3GDH;jzGb<MnE
zjehQHX`gG;&A#fYygq&7SKEZIsX4XICx00&+s7K8GX31wBWI?3%g#wNJ9D?7Fx6PN
zZr)x|fyrlo{As#$_J&Gnr{9uw7iL~D-8G@AVcn9vqGRhd>TR9GgZvj8uS~!2QhSlK
zN?gF*giRCrLQK{EzHoRY^wu+Wq3p`+EvjD`^8b0fRE(Qk)e^pB-ZAf>^AC>7cjg6D
zc$PMM%FGMCI-}>IWN7a(?N>3}A7)K*-m~xtNAAkc7QJOt<~aV=w_EMtxb3^d+h%6H
zzYAkTcX+H266Xkg_(#6pt33Vco6pwg12-Lr^qOYje3EPV>D1q=zXngyDVQ`#)h}Sv
zp^rs3|84pyp~@|@G^JB{%9?^5G28an*}9x$V%LmJl2O&}(VhPG@|$A;n+{}nO_Ojv
z$)$Wc_4k=Af>U%1=1fv;3*2<*LeWjTnb{nwvsW{!dh;wwX>EMEskZ7egIB#-xRckk
z7w#vyYEP&Bo_xh_O3o$*uW2t_PjcCwPW@ecQEp0(k%HH>7j7rHPM>yLEo*g}BQ!yC
zp_h(gNbAwm-IL4q=r2lXY@MRBv0;+vv+1YJUQ|xeF_=C{^;y8CLvM<1_I;0>qGK?5
zl4@GOrbAyq{LCpj22&@gE(_Rn=t)ui&A#uO<ApXIko1~n;d_#6`KeUvd;US24sd!+
zv+z5~bsWU+588A<&}*87&q=O!5T8G2(*Z`WX*c{&a{UJJzXxqPAm=shhVMzP-5`E>
z(53@?Uej*)o#eW`uctq(y2@Wm`tY|m^E_4v33G%d*4+HL{7uFFaIx0fdULjS!JCdS
zdxcd*=-vMKuVWIcT=1qN!d_t&QP<?lJnVF{7t2oc=LmgdxKPVREBI}}9@iC2+c`rY
z@h#N4qZ|A-V2}HXWz#vYmKZIZWv3VX)}SWrnsu3ooqEXRU&5<Swww;JW{DSEbs*bm
zYK8L(uH4g)wjN$DyO3*(dPpZ<%c}Yd8-2DquNPj(RiYNssn@dV!quI>!~W=O^Ia;d
zX1ni0aItIpik%ybLlV2D-%>Q0x{ztIq?TdlLN4LGSI(IWOK2I)Td4Lhb;Zf*y;u5P
z8}gV&8aVqJoe7vMT)k5E`*pkT!HutZrtUZx&^kLV>v?N5kLhPV=d*tf2N?5LuAFQB
zuHNv<8E+ob&%(}UZJsVIi>o{mP@I~uVyA<_l^Auq?fJ&%F5lAn?EmiH&RDbMZ{C*N
z`Kg?}a&=}WU;UQ+o^KH@>t^ldl#BLvzoxwD>ld#NH>#>O%gtQ-Jg({ZwaYWVuE??d
zD`c~6UgH|~^w&G*KiK$nOS-M&wt0*oJ@waw(_YtCPI$2K>z<#?&pS7L{gV0`L?+t4
z%(3Mcw%Il>JjZ5cj;-y@Dx;fKRRzmy-kH2P7oDSfcZcoCJ1Z)tJlOcv=5ds2@k5`~
z*C&q}w|;%%cJwhz|Jvu4(*AiNK54H{_I7!$Q8(9`r&5t)tAC@SXmOHKG)OAJc4y#6
zlY_~x>nl$ln=CE*_-0kn;v^Z6hG<T?==0fT^X{yWIDKuhv}p2<V7u~Pcb@2Oo3`!F
zypX(*JANN-RNdMLQZV<y#;-k(oX*WXqg>g&HkBp)<lIx&E4$Y&6;3}n_rzh4m_+)?
zxyP<obgx~ioqlre5oeGXNBYURhs;4@-1X@v=N@PV30{!*HePt^js3UajUltuLN#TI
zjbf*B$^1X`&tUGNtM*$~tTeqn-=lzI&Yweer`n3Pcs-mV&o$@YqA%T&t{O!P=Re$V
z;HLhZb(%+iJ~*!$$#SmxmwU?L{RaXXyZ14;n*H;Z5!>;G+fU@t{z)l<J6?4M-1xEH
z@XDI{lp4lUIe*M8bP6tu&(S$juYEEp%yb{e(%%1k&aCf#F@@ax@xJiJn(Uf`E1mxE
zPtsxHuNBmi{;%9NOSDhlVQ%k#&UV&$znU(${s>o(WV!ZB>5AFEuRNkR9&j6+IJUp%
zFw^PUgRHGT!c`(!!hR|7<osDJp|#<d`{sx1lUE#YJ72#iLT1lwAsMD<+sVmgFY-P*
zH=dn$qlmd&+L?7*^&>65+p?T|jdSNc*wkD$U7qXBw<FV}H&sh$ZMZHRUU=Z0cLB$n
z?<~`0*RlTzc(6cy+nog4BLR(v=k4fn{_4@>{9uLpjyDc-e=im1I`eZ<pRT!2x^YOt
zwdo}>M;)z<4=NfQt{09e>+t*T+?YA<#-W@i!ID}AM}@;9I%<lVoD(Lg@3`P*cafh}
zts<#=)5QMt6$dQ*V*(XFZRF%@G@SQ9N&07oy@<rqPTje^H7d@mZWWKb#FgadJrIz1
z#;KR9JpXw+(`Va_shcM1C#*Q&<R3HB=}EVc3{$u5!PJ~5-hToTGV68BCO@c;zqxGP
zs?fQA_dFDH_GSCJw0dS~2G?{;or2E8LgJQd3K|bfJ-3v3Aahx-)0!uA$LWCH**v@j
z%?lOR8Jiq3ZCh42b)jU;$DrRY&xN&d<$Nql{njeG+EQmj*I^-T%QYLC4ojW3lu3}h
ztk-##C-laVfZkpn-VKcl6|WiBn;f!gTefl9Ldi8hFWE_N_t?Mw&%rvQiB9MBJrpjS
z{ux&%xCFf6sG{RY0DqvwGexPxwkiiWtTH<9nFPFWW(IEx>}ON_by?vMgVYkk32c%)
zMV~hBnl&wV)A6-C|Ju58J>K^zxbb8B)OimKXF2inaesNT!9|}>`^&QpZu0ef>@Q9~
z6!>c)v(Uc%vc!RhJZwg_T851`BbFI-9A;{^)G=&1%;jvk#<1rwV_UJs5e`0giIWda
z+zKt4FZ#^a@<}lZ)9iV;;XuT)yk1WpUW1tn6_**9913Y$cCmM%WXb<k|NMT;&&sab
zWbRXJ@;dj@j?l@k#5868nw?ZGcoY4*{(;xE$%l@nOg_+>GWp2Ql*xy7rc6HeCndOn
z_2e=E?#aG_Vyd$*Ma;@Ml@R$TaH7S`h>0^br>W2O`8PRdQpDVxpoqyimm=op82$9z
zq<Q36N^pzs$z>*H>a&e*OsWVG@ly>;c{c0qNwr4V&T8YCHH(fv`P?Zt`Ko%APu~0&
zo{x*_7pXUU-@kT$+n0*0pc%hIn_Ls(4&4b$UbbXrr(C0Cr(EaDPPx|1PB~*))v7Z&
zQ(hQO4qSBnjBDpS&{U*R#H11pl`z%N<Yx<)cFHxb?Ud`(?UZXh+bP%U+c}RxTG&?6
zOr=U`jsKS=8}%+uK6q=1^TG{AE2WHouJQcBvBvLK{nTS!D&7rGJ*Nqro}?rAOeONt
zjJYW%6Rw4SwV!<^GOGUna<$OhGyiAJJo8?f-zf6F`B!^+J?FHtk4BA=`?OS#{hV;9
zLjKZ>2hNOYzXCcu?L-o1@h>&J+io=Dq2pFfpRbCIn)BwZGTmEo>@>@{U#q6fc;n3F
zUhDCA20yFCJ7>juzaIfDlkKJ))098;;EVa!@4}l-WL(vK^(Hd=+{*QtN#|Cq_g<~7
z2wEB1$T;tpN?LWtAsPOQGhQn)KCATTxF;vHu)lrD#p}*SFWdz;zwu05(9XfLXxH&c
zB5uDY9FXv@6>7G1N$hCP;Q2a%;joR$kplisgG0`jBwi{?_WasaQa|UZ+Hw1vn`Sbk
zSIj){W&P{?Gt)PV9Q(O&ruE*fUOu0VT35y`Gdz0wjMnYbXIx&S3aV8_N(t}LY5r)J
zrgqpm{^yJXZ`a$*O<R6=-9EXkpA*I3%c<s7eqT{=`Ls!1MPx(Vy}zw5UZx6GRYXeN
z-K*1_$Fh2BYH?rL?`y|dc2&=Gspr=Ze(^H-8OOIzMveF4)Gi)BE%K`_y1rZU{G01n
z&Ip{nC*!~H^K~Av7hks49-qy>{KFURK5m=Dokx<VY1<_2Jmx%&z2d+pf$x!W59Ll@
z-f{X9&$hz5+7~Cjj@#UH^IXy9nDm`T{HAHYN!oc#ZW{ZI)1L%>N601GpT2By;1dsD
zQT^T37aDKhS>ttXQ_KUN@V#!;eC!#=9}47J$~^S_cg>*na>coaJo6?#PxXDhOUM7A
z$<0qT;uc@G)~=s0eYwGWa}J#cyh7ZS&4-lkE!a52oF(Frw3E(LUcqS}+f1f?ZsQ5t
zV5?g=Y3+eCjM|mW2ej@fY@B7TvF0JKRCc9vL+KvH`Xg2M1QMC^J(894Pb3K6zRab5
zgX>JmhMDFZIf>sF6wZ0d*tgN<NZ>Sgi$kAG_%_=#JAaH(KdtNiFu+D#+n}-e<C~2q
zf^Ix)XS)73;L$93t(dRQz1npW4>rlS?Re5IsP`*TO8k#O^XGf_CR;qXd!grDah*oN
zHGb7|KNcR!w7*hs@wA=M?^j}naGk`%9rDWx&+r>tyn1Wf`u861*$&%B?M&@^w`RTh
zY&7{wMP!F<oLJ)a=}R}BK5cZvGwzgbcCx*W?RVa0)^qjFy+3XI9;%&YHQNxAq<mV`
zY-3Ea@oDCq#LAAfI{h1ZpJwKySF%~}csF&&f!w@f@BOB6ze(D8q&{z&_M60=$Ks~3
z-#GqB;CH0lLx$6rElv~_pM1D0{GrnJostjQBlQYeR>wUSYCk)*>9FFu0*gbTe9H@a
z4@<^;-@fKpo#|W6O5O9tn>VRVp33C^&@5kI$5CmOb067SKkspT%#_bjFwuO*Yt#IW
zf|=$^3R}%n3Z}lz>^z>sn#Q-I{+P6n*b!-!ybo-xv-dbIK5o87(V_gFz#{ehjtkx9
zOD@hfPr1-;`&?9R<64u;j`3yuX?8D;zjJ)7SiOeXCZV$9w@!aS|I^Gnsg-QykG3am
z-mb~JX}j|8`p2zI%==dU4-;byuK2fgKG&WP??aO|)UW4q{u7YALY__HS-WD}@5K5R
z$GREE9PH0Ln7MuKR?R(MoEyXM{o8DQ!MgglT{Zh%>7cbovj4}4-uto8ExLXy<E@{G
z9pCCC7B<T-yLge`_{A6Bzv8u@FVyj+)a!iwr7bigZfV2cM}NJgW*D3Pzj8-ugYx6A
z<}Ep|{xAENxv_7~A?G0R3Wrxh-#ud=%59DAoL)a=&4;E*rt^Y}8q%l8JknHkuW&k<
zX|wDJkKEDo;o7(V-{|Bpf7Izc?N;-gqjyjAZVrwp<<9BWPwTpJrQvS;boP{E_hOa&
zj9<MI_?ve;`ggu&>;l=9-dj{ljrXm%>vd;YN^A6#H5b1|JMmp;o#b4y><PzQjhj8@
zUgs7Z-u|?GLH)IV>eUU`gpaS^R`F+-(DC)#3jS;pKE8h5t;gF<>)2OTzMj81bhhJ;
zb3emeHH9Oj=Ua5?w5rx=Y`77<`iPW%aKzhGsnvUQ4tVKvZ#;BbN$2|}lWCQaY~HnJ
zc)DHV)HYnWo>|t=^U1mK;=L$Uv5J|8YV<G9c%I7mtSYj@GEOY9e%th=hKEiYN&NKv
zR(iQIO<s0c!IRrYfywnTTkH#N1?y$K`Lpfc%$CTDc9SoJRka3~uCr>sw(4ek&in-_
zy^o)6>V0IOnk}Psd$H+x<&fUXsjF)D-S=|38WFhcR{E#6N+G>>Q&-jY-HUX(Y7xBb
zR{DKiwUA!b)K#@{ccYxHMugWdyOn+>S2d(JH+5C5-_tm!t2e@z-AebDQwZs8zCTS(
z^QQj)n>lu^J52;;e9nDop4z3*dQwiw;H0yPT2;p(7XFJ8?-d!3ReE%6loLwqX<u^j
zytB~@|MI>gIaR`&_sKm_WMZ~;Nt)2E!ShX^S5ZzVVMTk3#4|;~w(5?Vdu)!>`^1(!
z*EqAt+|c_`pj^_k%3VgiGfg-Qn%NYWT~;_`BDKVDDx0KF;l8!;KfVT}{>$F{ZQAz(
z?<d(XHSg2@Ysvi5Q2SZRWQYAJlU?qoOm>=|GTH5YN^nEmNwwmTNxp(<Dzh)mn3;1b
z!Rb-pM2R^O6D7|JPxei_=WXWc<7cMn<5_Q(>Emn0I%8T6m!aKFk;Iryn!OuOF5@|-
zA}89a*eN=*Go@scXF|xMdnRpaRZKkIUs@#Qz34Phx;XjR2^W7h=6%|Kjp}zUS8I(v
z=ikB6ec)}&&a?mQUU)8Ye~`Jz{ZZs1_lK2>+#kCxQa^Co#lK@7=iZ!p`76p7C%b)j
z@n^eRKdEopk-1AIoVB@Pni-xZRW&uD|Hx~X{zJ1}`rU3HsbJdT{iP*i{)^5FiWeul
z9e43(n>&fG_0ZiV%VwWkUVLfYnLC$NGsC66Pdb*-@Y{2m0RJQ%K{>U^OBGX6PCod!
z{eAeJWZknt694^w?q^l|bNRTa{H^`7uZPy@-=495!#8X9`mCtCOxgQR?^|o8AG-BG
z*7YyRtAw<ZC#^WrHA6*vqlVf_FP%QmT|(YTK>?jh4K>#s*)&5WH0fvgSMOJILZYv(
zTE(||-|2lJKhEbynf>q1xopvDyiTFumZ*xKWmD#dlkYdJzr9vGtKiY@H`eW+pDw#v
zKhYv?S*FbC;~86C&GOn^|6RM#^Ffi1k@cP_?>|?qWE0=NI$rwO)Hi<m0;`VwoK*1E
z?z?4b<6ghWr0v0GuM3y2*?3u#{YG$<*~ND!zVFt(ow|Cjbp2YDEo)}fZoPbP$JKjn
z`{VSqWcu>w*B(8)(CDh(m;PxhzbSr}esuMgxm1j<xahaz+rz(YJJM)$)oxXNeQeCt
zZ>_AaA78z-oinGWyKG|plik1WKaJS<<IAr%-q-f6-hcbaqBq<(p8fsbv-jz470;@B
zf1iHM-mQCb`<_j@mAU(OTU&2F94t7|V$!<u-wyq^s(;P7cloaMEbq;?|9ct3L9w-x
z_GT@O#jm1m_y6uZ(Q#sd`pP1c!1@bwEoW&Qz9i#Y^S3^-Pwk&)a_8O|k4j=sO_nIT
zp?dK{_nS!>ms-m_MG6~Zg;eLvS2xW0ANuM4J=c|-)k_$s@y)qw^=ZTF@JCIhv!CRy
zdD13qpP1z5^G@mEGx48V2cPO6Ni|uwE$i;8mC4NlN7$F{s?R#8xNBxa&5RYxdD~xn
ztY67dc-lfzVUg?lf7i4GV*an~xazyGVWuV5L7VC{5%V`phkbWHcsMQgL*Z>bmx=`O
zB%3XqJ=?Dq?G{Knnt#+*!uJ8^v-+&Ziq9gW%zsYbw6m-+;oR~xX|9D0D=oPWid3hG
zY<|mhSZDWx#AUG`3=iwM%*Yc@^4ZKOm%rw0nc|Upwb>6oi%7q9>fkkV?0NIFtTAEc
zT2bbU`(77`G=2ScMq}D7);86$gNKuLKS-V!%dz2tUQ0xRxYC*p+=gMdSU$FUM!#V?
zm=QhOWzkl(ry4Ai-_8u|*~rb4{I;pRu<YRRiroT<O|cz@7nKYyZZC^EW+hykJ>6HJ
zHhX)l!j0sa|La>0T)!^Un$T%$+_kr?F>!wEhYk1jepn=k|Jaf$UU7dDx1iXqR*CMM
zrWett-!L5vik_`fo4sIZK4)vfgtt0f`%3TEiL_OhHRdP3ZR$T=rg*4ww?J~k+K?n+
z!y9@6JR7eXh?UkSW>1Z{@^|Um50BO#YrEBd$l#1#g+!isP0xmUZozps`Q;w(Om!9U
zexa?+|MAwOiR#-H<iBA$813E7(fGchSfpv~w=){|ZnCz0FFSacefNXMi(@%1oV?UA
z@5ap1ZQO!oH#T=|ysOr6_omoxhYdHcshxb6licUD;p9BYqh7lYY&fM?5s@oivt}bV
z<F#9@0<YgVwR|m8JjOO#;8Sw_oXy;d>msXG-dJ>J<0pY~-Iya1{cGkt*!c9oJ`2g?
zZ<!8qX8VcwubK03<<x46jHh;dk(CXH!{i=yOm(-&dTQ7gxg)~FKg#l;rnj?-cbfd^
z1kbQD`=;&P7GdBY-G89q*eTmNTZ`ny*Vr6z)#fiec}n@qrfJ4*`P|~-BEKRn9qa48
zom&>QrbW-v6VWv}9i;T}ewp%G_XLU5r*gX@cJ{9elS|n3b%$bFL}iO*m|XI^{L2{@
zPhXYxyxV^+oO9RTD4SnX7k_fNttxz{=!x&es|!EPZFBxwaDCyg9ghQ)W6D<;<~<4P
z?6~@3n>SyfeY{xVE9U7wA3j`v<oUs7XTx&8`neBgX;*i->&<-F>;3J+E!E!@PbV?&
zDLJVax8n)N`PibK^q)mVZ;z@9$DGW+nzGdX$rVn%-J6#0cr!^-Z)Xa>c&yR<*w~^5
zbv?@?$<t*XHhA|H8pMl*NA~YXX<Gbq?J1Yl^NU}xJdeE@EK_`v=XmU<c457n$33UZ
zJecCmS9q&l)!pLpq~tkgr@QuCIjk!D<xKunl{l#<S2)>rZ(9E1=_JXj-6{OFF`N4L
z=~+71PnTJ=-@DJ?fa-CH8N1UuGHW}WRV8N4-<t8sP;X|!8s+@1M82tsJDk5799sBG
z;$?txPtgiPx94G<UAo8b##^Q|RqsFby4gwZ!OmdyiifA>J*wa5omTN+lC4e2Njtfn
zPZ;`Ri#pWxEDr@wKNEhiCQ@gk{^!(<9{Y+;vaJ6sZ5yew`-z{7lX}Fw`>IEjejY0=
zY1^)M^RV^wH;?yv^L;p|+WzCkB;}H#P#w4DcN&%W_8wZ;7BO>mS7_Q)XWorg;iBeJ
z*DXStw(bo5bY$hdN0T_W)mwd97V$hl@|txBzqHJ%{$4)QLu&0($;Iom^xSibSFqeJ
zo~^voWaE8R;hy3rz8eoOG<s9ALaxj*M1HqyR)Z~{>7lrGspR-|T1~e;@|hlKi3yGQ
zJ?}*Tr`l7qk|NQXZQg1#9-o+<w8=Sj#-jjRpVAd}%Pg-jT$au1kmNHx<Wt`+l^nKC
zt88Mitk%Ijcb55lo8TR5bkNBCp2%let;0<1uM!tJf8BUup<Be8fMlJYTPw;}t=aZ>
z`n0#NW?P$GziRe}G21?L`o2jK_it=kwooqHZmZhAz?xpc)o(lNbNqzYYu&w-vF?BJ
z@maI_a%aDeE*0TF^8UxQbpmhB1ZtE$)u`v$z5nbh{V8j>zOKDh@+177yj=a{^+Gm<
zD@6SFd#=8}Yh%R8X}r0!Zf#wke|g(qe~G`+A49qox4pV>p&@X;p#Kw(sMv)K`{qkb
zSTLVc^VjSNi4BaE?Xnq8O^#|yByybE6xl@HFP||XVFsrr+uv&qJk7i(xFqtNngrFB
zNMt&-)f=*X;gZO9+NUvdLed^iNi~TJ&p&VB{P`uvsVh@0Wk!}0f3VMs<V~E+d(5P6
z@_#(l;K<nT<MH7_i%pt=;$d6isu%epnjbFSZeiRt)4(O1xsA2NNb#Wi;}?oY*@cbv
zm?wldq${8ASkTR>={9ph;ta;eOl&hIBrM?6+%<c`!sGS%m)%zxMa)rB`{QPFSp8v2
z(To-TeDzNzA65|-@-b69DkA);FWrg%vd@d;U7XBk3=%tLvON(#rz0$M#!T_3jIhxe
z(}nGoYF`ZRw=jy$FgW7G{K+$CA}6QV><LMooRZUKT{vtc{3{~QNls?wgySx3PlS`p
zg@wKtD7yL!8<iN>FKl0@_T}Qy7DlVt1}^H%pH6;zyq?$N!@(Aj_r51SoNJN0H|v7C
z{^u8rRkICT<e5Kl?pe?IQz_eN--%fh^f#$}F}ToT$2Qa8K!R|aM3RGDBfqI)e2TA!
z;hh#9W#1QxEu6JB=7|mIYCIpWG}JKFCpk48S6fn%;?&lz_T|HYmU_E)vkeZ|3b*aZ
zcG#zJ$58QLu5i#DBgMnM!c{vGo#e0kN<5gw=^SIK5TCJb#)Jo(I5n+aX5W|p>2&#K
zi`=dm7u>^zKegU&QQTF(NH^~2jRnv1w;uI&VSTZ5eaX@7^1o8b7Z~4Jw=FffHktR=
zEfzuMFPphp`0X}wiS)l^Iy~9G{?Y{pu~|pLpWFRAw(i49ZTE+t!}|`YZ@k~3eo)o5
z#(jxzaBMB_yt+xp1UFrYxxZ=My3*ZJHosMPwNt+ye|GKdYvG?e>zKMeUhVeZBCMdK
zz2}cg?=GoXl}o-W^M_|05x%oF%jIFT`J>0_k7g&Wy0^?XclILT@)zHB{+RyKhJ7A)
zz1n}jJ+B^rSaER5{n}fP!guPuy=A^NH#)w3<$mMSvno4-?|v<w?sxHM;FW8=Z(m7Y
z`1$!yQ9=I}nag$4@2h#*&-}Oh4>M=G)R}^GM}y0ASyvv^DHjvjd^hrf)S1HcgWtp7
z=|u28+qwCJ!Tq-nk{fR;9yqk;a@_Ib&c^&)pZuy9)qnnRVCKAbF2A|%ync5NTQ7h2
zcx^EMhiBz46U<BQF;)EC{bf<(!cP}}YlY{;9ynby@qz0*$LP<wKb_mXKAc$?WK+7L
zrl@p<oSb!teE%nHmyb5_DKGw2u6wc2ecy}6es$Xz-#zPAeD}0l@ZIxnL%AnikNPD(
zyUI;`vTc6F&Z=JLdew;60h(=AA#I9$R}Uw&pDN$?Q}ofrkbNf>ud12o9{y9Qf72&d
zx!kASHm^>JE3Y{vp1kH<ZtJsM^9>BlU-o~UG0pt2smaxUjrtzUb<^`WO7`Yj8@8S~
z;eBsgY;16{?XTxk*W_B;9Y1qA+Vu9e?7I=s;ql#eJAeMa5%WD+`PZ|0-VM*n-d=qF
zdCtrDoU9|~*6qLDnBWk{7~Ia}7WP3wBJ^N$QVA=6+dWYmn=h*#JY1a4+Rsp|UC}Zl
z_@MHkH(YXtX*;FoCM~e$jymvzOOEBSRz*P1+6M;>mNm7v&lI((@mc>sFd>VzUm#ek
z!evIxLFHpA<%^AZiqaMT8SQOiuRqB0-SJ1x+p-UaN8Sn~thlXs#9+H~%fq~O3FVlt
zKHnbv{j_b}N_NNldv>UN)<_6Z6>qvYHAdrDNKv9h^vR=#cX;X99SbkwG*~#vyQ6bz
zjFQi)Cqj?CTE8uS5v(Zu@xT1k7!JMAqJ=vmPPRUDQWfWauD$bzMfge812?_&6wig!
z7j+iwn&jOREP8AH`fyPr!T$<DCtDw+sfu^qo*E;O7h3eOVDY5x{?}7um||8x5qgxR
zI-TRS*3Kgl@eBX{%v<237RM;9wNoHx%@e1MD<^Rue&(eow=JaT<HoI%yc=?-#yI7y
ze<GCVt~y=9T6>HA{p`>aNAwfIRmGd`PL0v{7E)i7Xc2hw=;4oEdUk!GMVtj&CV6)#
zPmNKMS@%TfaX{-geuFhmYL1NT+B*egRy=XqxPKD&;eA|7c@6h8xwi<4+9dUy*?r~e
zKHv8Gr*b!<cZGyps$`gMyEy2;>+>;I2c?($eZ02Pc+ck7HYy%=a!u>vQyP~o+@6=y
z|Gn~LreWpu`aMrfJpU=`TWYJlpEG@q>PhRVP8qIZyfF^XYbGx6)?_d{%CxI)+WJph
z_@*w}?40`IOJv?cja|>C&sZ3}@5RFEeJ>Wf?~_=NUf1aK<%GC$$$9bQlFi+@uV+V#
zZZNIZI3vQj?P<5j?a!`lvNs>6@SePW)M`uaY|D=P`yV`iMo&LizrnnkM@MqOQTLru
zWovTpuUqE4n`u|-{i_>Su-sjnbyvPSv)Ur0$*;`R_TBOGpF^AY=Pze=2|D_A-Za_h
z&s7g=#Y`ff?z&cgX!jhRtS(8NQzsW_bn}R%O>au!x7++N*`!nEUY(xZ;+d-_*T0nz
z|M2W{$-ZsYosajNv-b1-Xu)0oX_Lk)rS6}RJu`Rx3Aw#*=fdRO^QE$nK5i)Ot$14U
z;)2-WO$T+2{PI?w<o~kwhwm+GQ`5?f^!#rc{+=TJU-q8NyXZOP!P?fKJ(~mC7T$~v
z>#UMUT4?7MozY-9t;YF`spfpi=aCu*Yq*z2BrN3N*1d9AiTmru8&1qR8!rfW`_`X2
zd@@zcYr`EU&9DfK!(QB9H=J^+U9;^1L$;2|k*LX^K4i~r6<V`Bpo>@R)P{>rwmjPd
z<dt<y4tQ|$8lGBW6C;_skR@4XO46EEqn^zH{Ev079B1L?HMr>H>|>;P|DON0fF?~b
zFT<-&lFK4jw7(R4mE6|KHY0PPV%p3p`R(cT(HaLixtCgGF63FRbLDU*_t(N>PRw(*
zUJ!WhBPCXF&xunnLgT0_cj}Img>rh)EBdv?q#mqlZQGG{X+^_pF|UHdPMUd98i#ec
zzZTqfs=c%E0z<j3$q}{5pIVDHw+iKK4Cq=bc51^}C)=Fu0rJ*5CI|euc{jeE{DqrG
zH7Z8rd;MRvTC=4`CO<9Mzfq>EJ%T?%<Det=Qj3&@Jng=xrms~N^D4OFq}dm#aky~u
zr>9R_T7~9p3FrzIJ5_LNNsXjM@<Nt$-6@aev>MGZ*POpjKSJZ6EBDeJDGPa4`<z;S
z^{SZHhGR~e*P=8ITXBEgaL=hWXTt>sY27LO%9B53mTqpX|CE@rutp+ML*7m7RQtqM
z1Xu0p<yU#!syC7sis<W3c{tVc=a=udoH*}AXdI2^PJNN=!}dt==shv73#XkltD-cV
z`ID_Q?Lu}~S6}gc^tJa!@-Fp$(RXL(z0v;oX6K>WFxNYE_vSAakU0^k!S_sqr`^tT
z{?FhkYW0POvueIsEYK6|IX(G@nU;T&#N@q!A(M`@u6V@U*1p-DLv-zzmWLDHtaNbI
zvrnqHlJVe?aoh2p&l7D@Dr~B+1o1D{uT2#Fp|XTK@<Yu*J%KsqEng(U-!L5v4PBu<
zHS7NNu*QV_dMQh-62yNbrE<J9<h#k*<a>YF#Oy$U{H0gxP5<7QF-s}R{k*=`jw=hU
zi$CiASgH5u%@&vUU3R7~Cdc+_{GQSm)XjeLb)MK(zVG`zf6rLgwI=)H3DxTl7ix89
zn|N~E3%KL4CV2Kro$Z2mEea!N$3E2DHATO;B<KE>T`Nu7(!!UD*owB-ER(U@`$a%J
zsIs7JWzfXamHbAZ#H#AG_^!Bo%zgIj*sW>2YqPm-{48DPvEgy{(rcYJb}e%*-u*52
zwe;&K^M40+KQb4M`SIYF+FXg0>CdM1)@Ph_Pg&<yVCnz(=)PI21@CMTS?Q_!b*+~k
z%lA#&)m5io%AUDfXKh%Oc5(GSkBx7$zxqsDm%3E_^)2zJq@B}a&ad;B?y|Ig`kfz6
z=cC`MKJVGHG_EL2wkjs){*;(bFTJC4e=6;@NDV&vbnWHJlapU3FaI<tJ^Z*|mh1Yf
zvr^A)&$`%MrM2!~Snjl_t&w4w%$qf)e~!z1qHB8kSN>(~j+HZfB5QU%EozH;^u%0r
zZdSoN>8%o%o*b`sXUyI@Z{aJJ*ZchB#kSYKl8wG*@^|IFhOeO&f;k1%SFf0x{Eawp
zOl!{%u0_+MtxNs6vp$P`4XFtV@Q<Ba^-50s%bu&j-%p%~wkqZ4dbv$Q^mO<#{|siw
zof@@&x=Xs1yOVYY9@waViTl!~DLJk`6p#L6cHi1Juj-0<8lU?<1ForYZ|!o#R@E&0
zJt1<%tokJZHD}GaLl=v^YKYW$7^rupuC!I0Z>z5Lsn3dW^(()zUT$6AUh#S9ren+F
zw))CS-M(&aZn9Nm_fz9*b5!QrZ_Uwo5F;ALGJVyDMLWV;#Siyt?XkJD>d@)qC)Ufn
zRoJ$7kE~kV(-WRQRNij5P`c+zcS50PoQU_T4@nVGt<&36>q9GkM*Lf9Ro5N2$9em@
z)#Cn-zy0%){kQVp@v^lQZlw{KZ~bDPRc~7sR<rZ}Lb=uZLd54S@~^$izli5WzO6&%
zcjF7H!aToDNkpa2{QjMZE&PLm#HXo-YlQpD-u_feUa@t)$kAz~|Cc-O{$?uiZK`3_
zPXE={dcVG$uGLnbn$7sI{=%+}Vzv7=_2w@8G<CsF(fNlqKJ$JpIP3NQ6U!?NP0#*1
zHKR7`^2#qWw*K6l`Fe%v^J{<7V{5H1GWJDSd(8f2_HyF-y3FkRM?B=+?B~3n`0V2&
z@7tbYvt8$TGM<k$I%u5zZ{?gXjmt%CIOf%4X75*NvHh}iqZ~)4`Ptg++l8(?_5Igs
zN^CZm?d>@3dW^p~w2<-9#p&DPBKPDp9KSYM=}3~ve=YNDJH3wwcg^^)>_PIPm6k8R
ziLVV64*J#cKlQbxAYW3~(W&}t+aDCI^e;bs*3rjr#^<S9yE^|czZZ#}YvriF-0$M`
zmBwF+U&*mql*(_OTh%br&-O^ka=C}Q_Hv8Ve|^SOWl`ER&u{Lc>A~qQ-d?dSDSc(P
z%i=4;+__a9etx!x+>1A>eVH$n{qu)q&fHJ8|4!K>TYSVSy8CtPqfhq(+r0|T?21{c
z)t?n>)ZZOzbd-1cnS#54MqQ8jraoNg{Pn|ug}-*Z4`9Aiyh8Dv)fJ9%nXI1MJI`KJ
z(VL&Q<(z)~$}`PM(|tDHR5i}ocxtJCaPHPMl1Hyr%oaN}O=P1){@-gct&?0kl1^`b
zCbaCB!1WcDPp5fJiK#xC_El<T!OGQvi#xKP%?mFU3_KEA9DZc)6^%z-rs}p`J7QYJ
z`;|b6GNM)daI4lHn>p(bojz_EZoMS%NMyL*QjMh9JEP6_)N^bLf9Se#F_(VZ+|Y{3
z8=<Y!`|mzWIkWdv;1S8<6<oI6O5bJ#x&%&J)Uo&3w6@<Vfk!f5GkOKOoL?_%<k-Ky
z_h9I>$+9Wmx#U>xZprSN*&Z?R!q@C*)~gzePG3JZt^2n}pv&|1xw;cv1?O(jKA5_7
z%i~+=d9PZ$ExUeXxCxflzuemY_u8}bYU`M<%~`7PXu;OzDT_P!)21Bzdc<RK2kSFA
zyU!k<EArnmFV%Q7*ITV3Tenfhb<fHNjvsE_s`C`tcp^ry<;d)3QzdQ1rs{N6WUgzv
zqu8w!_hgk)S4Cc4Nzl~yP4i|(p9%4?x0*D2Yll+UjOdz$rvi@%XJ2iZ;wD(%zxKI{
zg-YNNWn&}1r$O`Ma`ld^^cHf>*%rQWhxe1YNt1)sZ@jpoX=YK{_SNt1VXNiulGg_F
zZ#cHHJ>u;Z<uyewZMq_|Ww+1t+i-4W@S5^hJkoP^wFmp%J)XH-?!l5^{=!2m-7WIm
z9y;l}32MJ>UM=CeW&_{6NB6ciJms63FtffiVo4Tbajeln&FMacmsE}C6in$!TIrk`
z@hHGnr)-6tnB^4)ZrQ93RzA~1HSJQ#ae2imfk(36w#`!#-cxu|BtO>Zu=VPgE~R_3
z%ayver){{~qvT~?T5zLB>D46Hj>N^1u2)tD9tkz>5|Aqr+30xMzOrILg?QnzvdJ5C
zMCI$B%wKr7S?Bel2bZ_L4stcE+@P*~&*W{G*R!B~!A7CG`IT)hl`|}h@Cu*OwRCol
zX6(<e4W833U0tzsc82EM0?p}pO}{!^9|f+yd&2U|)pXsux8DQ}Z#e3H^SGNeJIZYP
z>1{piTUaAL3hqAkH>zNf#T2od@8VaL`+LU~?b&`lE~no1!R_c?>4WpK?r4;SKR&i$
zwUjx(_3FaU8y8D$XSlt(kbC1=sqGxm`-|o_n_Ro|=*()7oNqrjahW&$jt_b0R=8Zs
zyzTbY9hEymduR9WzPf{TM|f{^2m93>t`#fJUY{BLi{rZTbe|6qyHCzO^;BbLzu3|=
zzL&<S{%>!l`%l|@YGVE6Lwie3vgp4l5{v(SO-}K|6P*L^o?hV$%f7gBmHNZ0tGx7B
zw6|*5luk@~^7Nb9nWiVHQ8Icbm!8bqBbJe7_tc=WRcz&Qxu+RrFR#Da?7z}^6Nm4G
zLu#I@SLbK^6y`5g&ob<Nqa>zO%{cqk)J1PkeoGcvn<O~>hJVD%(|>}uNY^LLJXai_
zlmA#pA$Ep-cSlKMnW*!mpVO-L?ae%G_;SymJ3sw>{(dUgtxKNxT6$9P98;rb&!#Ne
zv2p(7<!aWyZ+=q}F`vSErsyP#@mt>cr&xQ6Ua>5mYjsp&`8k7YE30QbxKis=6579L
zy?5G+=aX!C%1+v~?RdiA99z_psAqYopnkeca!TE9lTL@kJ~7W#RtIC2`x%~JX?$io
z?}<lKjJ&FA*6E0T->SUXd^&HekGk@f*-WmXH?PMnniiXsx$eXsy`bWvwU_hseSY1F
z$uO1QxP5NU%;m3-q^4))Tc0%wol<io#Xhv!!mm)n_xoR+>f1+FZ~6P^_bSbANvo^3
zFdYl8f9z(kPRhLN-_;$4I;)PIJ=~FXr-o<MG2H_PvhFzXtT?87sAqlbbA`LN{mP$(
z-nz}V?M*JPd6VMR9f3S+kM$--nC8i~g+G3l>|&b7=oa=^EGfh^PtYyeO!koOUAw-*
zlPt+wr5RSn+`GMR%kuNzwl&8X9Xudose6ZEy3d9Cdg=Amx3^E58GhpCy>D}a)hqIE
z=1%>ZlK*?*B<_Rmr`N6X+xh>p=k6fY%8r-s)|3hRPV$}{dw)*zl<(V~zg6F~XZzj{
zOLuNx>#e`x%(n30d$-SRn%|vcI4e_s!ItfF6YbqDYKeVZ_P1r~w{4eoy;okSe7F7W
z(bM0ym48azxP9;HyDF1Y>i4bQlb(O;)4j5mzbxt1w=<{hT|43H-nSZWtJ0r^-?`m)
zE_m|Zw-VKYU9%T39ov<%Z$oCeaLCSvbAEFdbO$eg@$8D^F6&Z$+c~@X=dF7c*i(Lz
zM>u9vyQkjG<0;c+61u$k46mxX&v-T|`OJy@>1rFd%cjc*tn~YErYLdinqZsq`d2*u
zI@fc$^|=yuq~=FY>8ie+IBjq4OIa44t#5K)U)lSX_4K{49V@DDe-7CzqJMAQ3ekAB
zLl53x*|ePNJlpiGtKX(}rq8Z9kkwJ98C$XB`|kA-OTK(Q>AcT7e%llk!%E)2esgEB
z>d#BM=eJYp;D?yrB$su^42;4*8)$`pHna+_=e}Sb&VBK{_1AUo%T#N>tSa+%5#n6;
zv|Hr*XV*6ApNraO|6J4`{WGay@z11=<oi*#6#gpQDO~IB9d&IbTlUGLt0%m4?=tYH
z7F_KdEmyrY>vBx={f*o9H8kqYOlT-8&|CdJ;A)c3!X|H7H}NZqw;lJa)T}e@p1!89
ze)@VLncww(cb}Zd`qp&yVwW9@dW_x6)1m6xzXay|T4!SW_sI4uy>DmFma^LB<L4fI
zQM-T2a{caerypL?^s_2$3w~M`a_#ly-t7N16BpdyzVy~q*SA+M?h~!$_!aVH;fvUd
z?F++yn%bRQ9;kca<taawbS>+^Icwh>DOk5>#|gievo}7M)jC+;S~GS2tZu%k4}$hZ
zMIXp+_xhj~zgGLfT4&XY^w$PAyARlYc5RZ|skA=ld(FfJ(d$mEzGWK!WcSgSiQ!v{
z_jz1cX>)e!(V~va`)xj)-t?{R)A4+*p8`JHN~@2yCk466w{_m1woPM_fBUzr&U@hx
zT|X@3((mdItuQRvv^Dtltw;6eH0L&3g@1XdzG=@hZ@d4WCR>Oz@7p}ZCF8C1lJ(C^
z|E?2>dKq^#{QvHo&t5Kap5*xbz0PIFK>d3AgoJ;AM^dNEzwp@Fd%u>|pA)~IC`|X+
zV6eZ(zN`QB+M-u1tLIuBm0Eso!?l&w5znvGt|@=TXlC(MKzeRfi?5&Uv9$2dK|1wC
zs>@k(3SY5A&$T*gw)~vIv6a;ludmeh6u)9TX8lz_curMIr=RUHmGI9-I%TTqtUhJ0
zSb}42R!2OVRI5{Zl5yJ3CjzHqi&{kWERT7;773rS*?s4-Y0ly&moIc=sh*KGyXHvG
zq%-|DUA3cse`H;)cQ(b%l5cA1$Ek~FZmV2fQGeDe<!(phHcpGZaVEFR?j*TPdsFb-
zqO@t}w&v+ynZE6i<@mNsR^!`FS&47EWo={%tbbU%SfhH>X8AdT`zxy>9$cw?Q}l|l
z%;KxS?YUJgbNy_Oz1zcfifOL(eJfdxchmISB4oKL!VWe+sAT2u5*M|RhztAh;lrw?
z_Ws-NP6gemFSa(gQ*K>R@u2L(#|v)-9!$KgcqDndbBlUjyM+H|nOj#spOt+tYWsPY
z@5ROi({DGn_ig(d|LLw_Wzn19S#i=wu4p%X`E-4^*@c*i35$Ktyz^RnR-E%%#L+J^
zR)2PF3N_(A<dyW3Gp=Vr<m8Bu!oXscv(pxDat{94^>W3f+=|)tF?+JCW~Ig6d8W)~
z)Rrdf^yuT{f4Xln_4YbP+nm|Q9sDnux$xrFFUMJ{H+>14o3K52`HiPnB+G7ny>?Sx
ze9lR!i&u`uv%fjvJ?~%P!JDALw0*YvmA{U&KL0dH{Qr&zCD*D$B5V3rHU$4vI(TwT
zcH|KzKZ}s2$9z*C&2Ub&m{lLI(WU%T>F~pvi-#B1Ok98RXHo<6&!mpOKa*N&e<t<p
zE!+6xIP2|Cu5xxyx<#Ttxwf6XnUFheS@WBx_a6m6z3Iww)46&>eNEZhZBLZhYQ8P-
ze`KWB{fk%p=g0pWZ%#En>b-ll(X-oM;+8&KaxYwRZtQ)XxMlAa{MS4@^^?P<7d-XT
zU+PEw>Alc;Dsy2nqrTmB$%CiQU$$4%-^}z(l*hwxvebpcY{onLdyf@XRPMhxv)%aq
z%bxGChxHFAHoID^F+B9zeES09CguApSXCA^%-+Hz6nEgUTbtZ73CUj;i9c8^ayM{1
z-|uPvK{Mi8<VL1xwP^=gPTPCGkI|f=e=+P_czwF|@*62nYgR<;Y}XBYm%MuI3=!>|
z8Hr1It0Phm+lAdOXfQRCNm|S69+7_7@LIjvT+YVI$@#_-2fv+Pk{6g_BV?|~zu(ux
z@M;UsWuF&`yEtpl7<jpCJe*Lo)@(+5EZgUew-Xq@N$4D5X?C5Fu|Z<;6sPSkKdevs
z7NO7oRY|2j;(O&U!6Z&0o~3F_BQ*ULT)j44J2N%0&nBb$!r>g@Uo%n<2^jCc$mj2}
z%vfj2eEH_C$j5iei{5)>GK%NSc<`+}P0nW9wkK~A=ePd4k-hMbNK{70T`{T0dji=`
zo!)Tx!_qxGw{=Yp#Cr27zLT8tao>jnPMl`i!Es*P6-is9gzMLSyE2J={(+uNhH?Gv
zV)6X_I#-UfdGj$|i_Yjd?#3s#{&>`4xp^y&mx4)NZ#j|dp2wAY<W}oWIX_wKRq}#X
zHi?9Vioc>Wda~V4*3`Yri_+k~Wp`csdAHSiod^T|D_m)EBDFn1KNg+)C2~-6{pQwR
z5t$1a!y+;|?oC@<?<%p*-*(Qi9jR9=ALwqpwZip4^rH5O`3mQjADy1KGjLPG_1u}Q
zzap|0GER%g=qR1G*fk>WitdBb=)?;Xt}ogh*gfHT(%y;rC$cvlbh6Fa5+Hw9=O^2X
ztP366-=`_JcT8W?ZMaM6;^k@4bACEypPZ4{)mk+pXQ7>1WJW`$*s1IF?%cf_&uB!R
z@ix2np||qnvB(we8^uoT-l}?EVDVQ`-#0npuU9XLSaMD})}80<Pq%V`?DtHyZ$+c;
zai^{0dHi&5z2Gm2R57gs9>Q%BiH9VFzs?HUST3=uoW+q_VwJxolQ7TbCys_L`H@=F
zeytRX=Wo{8XU7(u(U2%6m9(SvSpAGN8DE_*$vp8F(-!l@pNf98$nGas)Pb7Csw*-&
zD}PBYOZxaWQ^sFs`CgqVi3<a{HqQCB^~bLl=?fXJMP_syb=#L@c{k%n7i&>>tC7ye
zCl3-=wtkX0I?1^qS4=8tdF!zmc~7*b9g1yRA2Dm0WQfn^;$AzME@Si4CnC=5`>YdL
zZ*XRN06(|RmE%s_yc<q9{XDthg2d+DNu8Xfoe#Cut}GRkN?4}aE?~?f_4!$3nu)*8
ztn`UTXD00|v@l$}ZbJaSw(gbV8`t|vJy_A&X7OpOSzw^u`M68zE{0b6uRrG)Ssa%t
z6-X}LYiM@#&2GQ>wVLbSiGGgY;r?<n{LS_6GhOSy7M^Qi)SG2+BvkmA#B%ZZotl;B
zuiyG9w537tmy3$Q!2)kN5%#ao(vrOPtuj{<4*q1y{k8C}6Z4%77X+^BxOZ>xvFG*i
zxuV?rsVnu%roiVa*KQ|Wv5bt{9`$K~MfMaYou$D#`)Xz<Ed*i4zUWW98?QSh`xq1n
z*#25}F{^%&_k4p_bG}^mblcq7S9Qr^&Wn}E1JT<r2#D*L98+6dq{Oo~HN<aC%k-X8
zhJiad-JTu^syJ#fC*s64srJu59_C563!lC%Tl-OL%6p%l!=0h;*Qs*1y>?SPvTFNn
zr`k8$FEFs{m>f~$?k$YxZ=1ov{a#X4IQwCJ?cyTOKigZP42}jZKBshLce0E>OFVzi
z9Oj8fBb2)*SlgS-aeioX_tBe&YYJ@ydu)t0b-0La?$Jql_vc1IU+ZU?ZsWs=i>Dst
z`g+RgXUw0uX5!s>e_Y}@UG(d+=I|S8$C|xT^E=M7IOgQmgxafu(uc19N>X4uXuO$0
zZr<z*$Lq_4*={5r639Qp>^dV?O!JuNUcY%9m3omH2cv6qxT756Yxh(oh1D&e&*XpR
zl=auPuSeGGIqg*YX4?gZY8{g!)u%5i`CReuRe$!X+wJKQ&Ho7@dX~?bzfAQ=X!_?i
z_vp{R8B?6*JlN~jc~tUV`ofx~jRAHCBQiStewm#SPOn^5ADCV^i(Tre=;r5+wM#go
z4rKq0ntgy}eNUP2<gE0?B1u8EDN`bRR<-M1IWEZkRN9@JxA1UAV*mBZJ%Q<lSN9p7
z(q49Jx|mnNWsBb@MR$C6^Hwqbps?8VsOXMpx0^>qcO<*n9@$cJ$EkMCh6@bpx+X_*
zK`u6oa*AnuoiZi7J|=s2@)b*g(z<LgnZ9NA4x{qx8m&9n%FjF1-r0VE!B#hw`{RK}
z(u?Om)IM||*iGN>&;h+;CwN_LcSU_#P>~_y@6s;cW7VztZgK0cij<Rz2a>sYKOS*P
zF1dD?f8$%rwf^su?%0`L>gKXHKc)KW_aP_EXRopwB~G3H66##v^CT~ArA>+X!v5!J
zpBVIgBpxm0Ot!fko^BX*{za|*%~w2^z4gDDq?cY#@ivYu7qy;MyeoUtLdoU3yXKxw
z*t_hJ)+@=sR<n0RRb6Z05%+nK*fDc?fAF&OhGaFK!YeI?RWB;Lv>En(**7nS;YISU
zpqR_XqO(`OR(oRYCH!kgcKsoS?Y<|{3xtoonEQ9`^afsE`z`B4{nNI*zHwor+nv92
zX8!G6==&`F-2!dP!+YLnCz;(m95Y?!f$ul|elyD>+0$hb!|E<}uDF%6+|NM$x6SNW
z{e*|<e(YV$H;wbd*t>4t%)SsdH?ePX%buTecJ){K*&e7_E|)ZIbB&;z)mI7bdYPZy
z3<(n#GfrOnr_P<ZOS7tFF+<Wexpx+=Cy)G9{M~N9ntA@aIqV4%ude*8d3>dor|cCY
zo8?!5ZdqNOZRy@~s(Qlves#MhtW@56W6$3IYWo`}=ibRZuIVQ>Wo}=v&bBgUSzQIQ
z%J4a=Uka|S{JrDZ73P@oS2aPUuLSd~zDm?@m)R8&{obOqX?Gu+UY?fha*dmD?=&oA
zHMUv%O}x>0uUKqi;-1GMUAC_+mcL706U=XLY-Rh7_d4+{?0&Y#tdA>ruDfJ$sXO*l
z#&Mk~Z>GmvolD$Q95=-_JimOwjb|3FNt10)U35v>{r5y9D}VpoUH$5Qwg-Zj%RQPI
z-2dUu%KGCK4=)^z`!qFJ{l;qx=99U<lJnTRYWs{8zODE@M>XNb`zy9G1+VP*tiCd|
z&#CG->}PvOaJk&$70%+*#rmzK40fK_VP3DUsTZGn;=Web>xkE}MGdVtx$l`-9_f6u
ze@|{v%jBP>i<8tVwf5&dQnNcc@6S2Mqptfe_c3>zu1}Y#XZO%!i7T@fcyn(4lUC-V
zdg+3i*PfnN2$?f~^Es^wi|1Er=aj!<)U)_1AU?OMh4p6h(=gj(s_s>V&M)sQ|H(V!
zj?1n$84eq7+WueZtsXIZzZb{ehSz;UD!ZS0pA!mLQ#i+|aO;<*%`I|zR;BXO=TtSE
z_Om@Ax?Jwzs^I>@x0mbh1nQi7z&qV%!}*I=i+;Yh?9+?-pY-pK>N~Y}XXh_Cl6!Ra
zx<i#ao=&R0Q+AT^-Hs;$)iJK!8<gtbiEP(+|14c^*WY)4&bY3>KlT1A&*kxR>I*I|
zE#Fm?9`VlWZQ@MtZyOI!t!#L!XL-bIx=iBA*EbBOJvpuP?#`_FJ2|Yk?|8yczd3g1
z=MDE&-FM8dKjeDiheG`v6~_Cme+rczZ9V(bRs7+#Gk-R9X1q?UyXj)ERnxv$&^!0c
z`yNjXQ``R!l=!0Fotg`>X2TWL-w}@{G3%6_RFvC!OZ8ClbeUwgy1lM{HXGY-<){~X
zx;;zi@0;`Q4Loy-?e`z<xR91uch)s!bN%U13;x})oBD0_EDyv@mr0zp`fk#j)Bd_i
z)0lt0o#Z0({nKsN7@fEY_w^oeeZ2B#Q)l*3_RpuqKF^Z<W%752i&A&_{-~=3bGPLk
ziCr4;Qo8DGw_Z%#jJ;-ACmx+{?v~Ly`sj^rq;u}=3jqh_-cc@|`Cyy(vK>z+{bYJO
z$@Wgc$$GnWyPq&zk16U{ed^dcmFf59?t65Fv(LJ;E7@=E!wkjR%HXS&H(#yPNI4#6
zdNTWzJJ<Z}KaM<|zSp;Q$EM_udtW-Y?$7_&eP-jAT~_b!e%`-<OL19Ewy2WKzmQ#;
zGxuFR=YCUATFv6u$}dd`oZ=U}>k2m<;jD_bbd}dmf06xEuKri#&i;R&s_P}6r=JU}
zYI|(<=3xu(Z;Q;sjD9x@Ixd^ZJnZ2;Zt;8NmsxWU>^aGPV*NeUo`fBLUd2un{qQ81
zS;)pz@u;z|k&XGnc5$^YA1`UB9_3g(g-4n9S>lv~H9ck#4TfyohSw4Vk4fqr`*D8B
z%WaV}^YTv>FZ!XDn)%A3e%bBmpITO(NZM8VFhFzO^R&4>+s$K)4wg<obAE<*TE(kL
zwl;+)?c{bpVd#%3>S(`d{cKgttUP&((x%^jbM^1|&3&ln8^0p_>!mj{=G^$KQfqF1
zeWm9gb!|_3mI;qltqv~jvJ;uEEwi>XdG{~27{zx@=AHb^y6fM#Y*@2N_~@njQ+_<&
zTGo{jF*i>hS5jKrB(^+9bdU4Wqi3H@U)!`@+gdFAVDwG#n4G>+-Pf;XRW2`?d-O>A
zgG5*PE_cyd3AykuA3y9`)ZhPDw3ca(%FE+=YwY$+E$H&New3&Gm)o2&t1WsDdTuv9
zShHDR?a!dn?M>_l=W@x3Y}c+ZxU=fP!-w^0to-ukccw12cwUyU<3ZVnf{Sk-JYIFX
zF~8$3pW?dRvPyT#t&i+@UXr1eu<&+cLgVJOvyZSgbIGw>)~X2b0j;!I+SJ~DQq-pA
zO?mjVq<yy=6X)GNcsOQzbH8O?JHzI?e2Qs1WtGm9SRa}3FlXhnUGq1bpLw+ZtHr}v
zS1<Mdtbbqnb4mPH`)5`G#k;>*yo%a-#QgG+@_+pyWl9!rqV5GRXWEtazvsaRr59nX
z;;!Gd_DEEPJbbz^T{O<FD)gb~f^yL~#a|&0MHl9a#u@(FSyt_;-{m~jUqE+3{Zr<k
zrthIoTtBYk(r?=yT2WaM+&aBKJhXzfBC<8SLp-#?wW2<*HM}Q%s=L79gQp@lGR+Hn
z==x#FCq`SD6>pwAc1~T+Ag^uR`XXfKbhj5VH#Oa+d(AcJ6#5pDwNl0BhVP~QM#Yt)
z8&*o0H}ziK5ty^$SZ`vjX`bA*(8te`b4~LYuZ27oODZ+Z6ATM|ES8)q`n)SCTy*9~
z%QfYuc`SQZ6)t{JAK5D%pT4T_^M$=q=JIz}6>?wLDz#l8c2(iy<n>b9CFZWO2<Ne0
zeWJ%dU7kC1hhWW$W4(`RP4jr(uPXffVVjh>eEzCJ?hm`9whOFZRoMMulhk$zeXgnJ
zr?$(6&e2}q@H|xJvT{XOuk_I+S$wAqHcFZE3$H5tY`9NqJHz8uh1~Uq+oZO0B(Ey$
zHr&-!_%yj%bmmXio>j+s6H-j`M3h$*CQHQi&Tdb<y5pxrRBv>H;?*6k5;48eEsj@r
z9F>STx|3_e+O9KAOl%>K-7f5xGViLpy2J3x+C^-N+rl1-CVGp;8Jby}cjk8ecfHoW
zCFA4!Bkl9KXZ)Q0Mg8EK{eMEc>+9#&@Xh*s(tbKm6Q}+E((eBO*VpA9cRD_eHK*g0
z*uh^f@}vG7Kclr^a*j|9kLu({%{fMUru*{0Pq}<N`X<i@H#6rw)6R0NPcc4rdP9s`
zS833~AJ?9?J~_Qz<9zDmM>BJbY^M71`=?w!o_v$%gNK>3&6Kko{3*uAYFGB~WG@Y?
z|GL3#Q_Y%bYZz9mXuE`;?7HB(DXFCI!Xx{oVQY7sD9{gKIC%F%e)ONIEL;+TD_atF
zxM{Rywj{1_v*Eee(SJ~i)4)Snku6K*5JT^g7wfNeJ?e|%tZVzdvh#=MyVZhSc2bEi
z)(Z0PHF>mnxf|1$3mzTsq&hG7DjTXD^-`5Mwz_`nCj&oLyJM>q4oHZ$NUUw*SR5jd
zyd-9Vn&5%8ydj6&OFqO${n_fnbz`A&(_E`Z6Px=a%3hy1EGPT(#_Gikze_}t_V+2t
zTnOxGj;gJYSz-C{U!jLPOM9t{p`&}v;w8%blS`f?Z|Y<6xfs~7(yGhA*WGYg_SeK4
zD+Kvxn><Rc-|WVebCDyy%tR=0wp&sTf8E7L`9YU1IR3d^$S9mNSFp!i;;2QlVNb93
z=U`vPWR>oOl9*X?*%kApRc5m=r%q04$T9jd-IxDw%4PTXn>-iX&78kX^Kvxw+9cuT
zHLYE6($nM}DXbD|k&10zVJ(W2M3W0DUtGV^6}fXd7f02x`lX*IG%!ueYDwsH(-6yU
zNu20rqjROBpH+&}AYNJV*-F+W5v!TnOtn62h+?%1Td8osOSC0oVH3ycP=Vx`F`Lv3
ztJms$ztJMFMuUH$l4iobK8|NEJCfG*Nj!UT;&6`a&lyV>GZ>eOB(;SFbv>0zj94bf
z?``@hxzmkF=bA@{YrRzGh5%(lF_xuvk<kb46!EWBoe?ajuyxO0wbN<`_kPM-HEsLt
z*qbRklxNH3onF=-y-D)HvYfUZin9gRolfh?e!ACJ_h`<_fEu^`T0cMC{L_^a6f@1Y
z&3n_!hl_H4?NFG_c<yvsNAV`fhih_<Stw7Pm^dxOE$l$%$?1Y~Ci!+vuHSUBz{$*3
zX1cHZ@f70&fj4<BI@{&7yxSzXcy`G;+cQ&K);Fx%<axo>Ow;PLnzQ=NFCPN6TAx2|
z&S9(2pRIV$Q}=0dTgWno%c|N(Oip$ggl<am>HHtvz2A53w6kaJuWrjZp3b$W_g{7Q
z|3!)SUT<7yqbGbW_P$FN-$`L3);-(m6Pv=#d_Efe+Aa1!@{n&*UX-xJgoLe}nqf01
zEX@8eKYEKtqAmZHIG0VnA7eJY{@|nKT)XSRqm^%tT)&~-<@+(hO7rX13YF&*w_Z5>
zY2HL<IiW}U=B(o5Uv$YSKKlMHL(2~?E3>a`Kj>!Z8l5xgOq+c|@lO3Kq8nT{acr9w
zd$hhj^W9|MroWp!3mwcP`A#isci!~!@rE2W3#HkLYA4cKIyar%{ys=jbDO8q{BBT`
zh}>K<Lu)qA<&?{ZOEi<)-cEX&*q-w9hGryVnP*tX-AST}{V7LpNKZZH@G(Zzz}cO}
zxYWha+nr}~>61jiFY(a}I&PI@WZYl(mA`in|5@Go{@FcUA8)L*k(+%>?6lGPIjMqz
zXRZq#Ws)`2xiYcc)#}s62zSP5Q+DTHp8slgw5iG8j{drpCvS;;aNNXk@Ap#UYtz1;
zNZ;i7A;e5`-U+qC$v3}z@Gz^jnR1qaKgIY+?aiJ7f3xH}Q%`w(^b@M=-=jRcX1kn+
z+Wi%$)$T7oy{x_7aMR1=Ejerws<Rc{PNlU7Zjwx{s62mh+QYRW8vCsHCfEFYWf5Yg
z*>*zhaKg<m1|DX$JX6jxFsB&1)Zgs6;BS`vW$LLH4|_x0_E~+KSo8DMjc7B?s*`HY
z{x`o|a5k$gnSPexZi?{{_mv`cao*3(4rrg``WUoHY0rc;66gQcXViZzUwL&??eAaD
zj?LQj>st-q@v{%!NB?mO40`AmaI1LxTG4|iFMU#FVdR)Lb$T<4$cDGoQw4Iaa~$RC
zHF$HSqdivY<Hl%Z#%~!aN8);2ZY-ZD@q2%`*1_-hvQ&QvST0a|wD`#aHx0hbmWLbM
zY-BEW^e>j;EbviQ?8{a;#MyhqqJCvqQ(|irr(K)h%FZ7Kv(^cANlGQoSSiTA+2m33
zHaDg-7d<+5N_86eD;qvrJ@vzeptUUWDco0FYUb!(;W(<)YoK$jqg_?%<HitW#%Y-<
zM~b#K*qN<TIG`rla$`*sM|6ll^6HuyDaAjw$V^ae$v=B4J8_~&L9{!|_7azm7cbWf
z9A=lTtXQus|Gq@z!P-8jJr@I8u3L3|JQe)<@b+m@o<Ak3S1x_r{HC?<$FVSXp7SM7
z9<J=GvAG!7aNLUPqldeo+$)V^%xmp<`nUZ0@USf2`}pzOwz3}?BHeio*YZ|MEK`<u
zE)_}W=yT$^7TDrw)n#}g{Pp993&Z5?KCxxItp9Q0^?K#5I;*4?tCjg{OP(y=-pBOi
zQeeltD9>7(3&*?~eoJu{`YQ{*%ThTux5(w{oprU|Ykut8!ojtBp<;JMSY7<B!)i{3
z)1toaowJ8+wdiiK?0x!IU2iA_M6KR&CGqa}r9W0h+N4f>vOBIYtC_d!uGslgomL-G
zckOVz`+O;Py{+K(C-ds!w{gs?pZ`v^<!Jf(O`abD%`|`gUz}p}WwI}SZR%xr`I|f!
zqRpJYOghV9n`-RVU#~NL;-l6OE<59r=`}ysT<|s1+;vLLS^Vag3z25EC6mrFEPu+}
zYN0$^@Z71ip5h>Dqdik}_}8m+=bu#3J|KOP>tom^r9G3^NW8D#ytFZTYf^n_94m|X
zrmae>taq+(#Iu?>6-F!bl$$(yxX!KS&SehyNGZ;b4$3vVu6XpgXQ^uFt<w9$V}Ft3
zU~+H3oD=KbFKc<Y#LZ^TrH=l~Qk(^D%8KW*R1R789<i7oo2Mt#=AE_HCMK-0Ut5c#
zAe2>c-AaW+rJ_f6D4ILhPre}?bL!f>DFQKPrcLM(DR6RUIbP~g=;O}gUV7yF8mmu*
z5$=q9FEx&A%=vXLpk=XDSE0)6i@`31j;Fi6_aBb3y64FEvg6T$J_)`TCl1%i{=Bh#
zF++8!NK${F(wl36J+V=>66dnh&m6Yw{b8|M@Sd-!(4#GGN^>r8@H6lEQdG~Xd4JOG
z33s!!4ezD%xD}pE;F-RedzH(bNxPLIS;9B3t~jLiU|x>Uo$0<^?3+%02r#o<Guc=E
zb*k|JwwpW~1GQL>nx0JBp%*Ea=P|8+_9W2<(^6V@=tc^@^9bwN{dA%JI}_0jo~$gh
zPhWOr;<~X|uxYQ<!W+v4+x|*@EO1b^tLMvV;kWH=u~;KEA*q{7V%w?%hs{KP+*sGd
zU>zcmw46)nO^{>H-J+ATj=VA5Ze!K;ecy(KHZ5IC8ctd%%~+(|_0lS7#zJL&<I*R|
z)B2dsTnp^5jPlep%W6q%akJ^U+R<Mq#c2?ytf-cua>%9k$c!nmdlVl{yE73~(^^Ey
z)hj%jc4xt-eXe&VN$boOaZZ_>xFYA1hqGBN&-Akl$|=T2JZ|<Fc$p>l=)KR)`kACW
zb>jIK$tv-7v-_v|b`@?qX%J^->odVuUO3hGfXYoC!$>n_pNVHBf|nW@$xJ_WLU~8#
zB>nz-awoO;6H_NY?9BPZ5n@(rGx;pT`BdX0+&AlcJ_MOne$knmEobG~`Y7;b_Q~n)
zDfuarAFa(X+B4Z#?(6Ag{rsCGA1uykd!e#*O8>q|q6_Ayw7$@f6lC)d>o?i&um4r}
z>9m;V?=MFe2|e2@_x$u@BkujXGAx&Df3oYALBD9uj78OArb~Btp79m0WfqDtQaqX}
zY!vhH@`)ai{Q8q$Pbh6Yvh2mm8>KH)r!Fk<Ubh`ow;C?Y3GO*NPe`Op_gHI?TqL*e
zsd*LN3pz~HPp^DspsJ($WodL#aO!lk8G5sG4V{go|253KdAQT%&ZOC@kt~}}uX{A@
zj?hh&2(8&7b5kZS+?-SOLTR?$u2X3Zd7C5`?apy~p*Y*H$}6>g$qePr8ogJlvsw10
zPF~cXWAtUJFaO^qJ(AD7rnOg2dYZg1g*8GUQc=t+ti^SbXmVkM6!T5po&T(qBo-)l
zHCiP}EK%lfKK!MKZDRgOUQX}FZu?Zr*V)KzjxIWUDl%>RleX6#NiBU6Zm&)p){y-v
zv34;7`{}#eOT6FZRF>vM*B_8Psbn$t?lQqJ#_p8K2^({QbSC<?E#34oac0i12))^i
zVJFf$rf!l<oSJhiLU-!KM^jWj$?bGA<GglC?WonwlnDLVa&D)V^#^W}OxTjsCZRlA
zaM`J}p3ET0${o6$HKltLX4^<j@ZG07-FKh<bl-i3(|z|DPxswtI^B1l`Si2(9J5o5
zkEN~bk@NFVikIDfLhWGK%_TR~X7k)my?mJO=9i7ZX3R0)RE&>I{Uo}5n_ZuGDwl!(
zCZ{vg*KlyFXdgTDW}3{!{FAX?FRh-asw%p!a;fe19kT-t{CK1JPDx=#KpdY?z=6VE
zfjJjAj(YYQ%(>Ljep%{cp_?+}xh$0<mc8{Z7V9TUh+pvv@Ck|iA+U3W;J%RTmi3pV
z9(HeWvx&Ld(Vs2FSrDtNn3th)$hY^%j-_EuiL+iEI({LyS3u`7$I+r*gEbdA+NVl=
z+~}pu7?!PaM5@;%V)aCc*H^qMBv$SE;J7VLnPs<$)5d6Jo@$dr$+5jZZmgfk@Y_Tv
zX?>JKy?lF!z=I83PBMXxEsM1}3VrN+Hd*e8+jnJ>K$G;-(;==w2OMPuOSIO{aCNyD
z>CO{d@?_!azM7KDfer7hxGp-o3(iX|FE$c+Tv^7f;woak^-y<t;+B${345;y9@UmL
z+;eSWySde;k74eN_g-oosg`y9v0$-;`<7n@cP_m;++Ke>P*+wy|9FW@VT?PEddZWA
zOZsZ&TncQsY{gaR<}P^dmBum4wRUoO*<T+jzuz;hkAE+>)uJ6Ml-s<mJ{3f|+pT+{
zaUfK-b;q))SB}f(u3@>cSkNxVR48%3TawHNuY>+Y-g(XuQ7l)Zi=<CiN4%VNXIask
zDR(B_UUOsXji7r`^=aFmY}3*!y%E;8{?zs-+qC_1H#l#+os@1F_;cEwuW!C36)oJ>
zaM))XC*Q^rF0EUvZ$cOk@`(oIgfkvC6Ro(hib*~?L?B@^ms3uVV@t1A$3{m@%cG7*
z8_!F<4t3bz$10K?dSHVat6bRXhV|)M92<gI6~k6294Zn$60vN`oQL%dN!2&j-?00p
zS=O+(OE#%L$^FLK8-``6WksCp8``^kKPYG?TzuBu!^N>~Wy7OYTtC{}SZicfA2_Zm
z%CciclYsb?qQE=hIc?QlZy&Bp`uuU-4aPj}vX1Dkv)jG8WFNbo{I@dKu_pK5{FK=V
z{Yk-ZLUY<`yUw0poYHOo%woZfdKPxk*^ee98Owy_@Vl!-|6xCveN?St@ePrD(b*5@
zCRJDH-+c7?m%PaA2aA(}OMa!_Onb5BhTJZXZ9km1uIuu>;JZ<Cm$sQR|Iu$3f;SyK
z{-9dZ%rXDyvKNbQ?Ah<}R6M@9UhU>1=6CucvmZ=N3f>cv)AqdU?ZcHR-Tu?<19O_{
zk9YZg^w=mVr?st}{r?m_`Bz(yuL-|q_Q&zdq2H0Kj->7Q?f=ri>)zC7M|Uh{YPzkp
zV8>FXw%J+_8aMK|+<*J~JlBKj?5oX5%ef@VRvb9|ZOxOlQD$9DdBHhyV%la$#g3-k
zSaU;eo%c44$EHWscC5M~5-u|P;ii=Ck0qWPf3~^SZ<N&2*w+4elJ2qdmPgerR^Jdw
z7n%KVOH#Fk>dmA-b3$_DWVOxiD`=S=Haz;RAY{|gAC@zF`;R>PscClJe`94`*V)gL
za}4YHG|Ubr9)0$CW|FZ?NRC{F=QauZ-=eb<<|lpr8Md+V#j+bUvp=4HxpjiP)N3oV
z1>WDbY%-W#X!W}O;G^?iuHSF3VQTW#dLSFXT9dw*>E6=+mUnfyCj1e6!PhJB<_gDA
zy<USi*E-r?atYq8p5?}|EUP7{%S}Qp`^aIH){Z{=KxGzT6DPwsWuC_-j}q579ho4p
zSg`4$)Iy1+f^8F}J{mYFCKd2p=QwE48^Cjw<FG()g~S>GLm#%x`j&(RZW?SEEerR%
z*?bA^kT8%ob=u&lEb`j)&;~zcxocW3JabK@6eHFOc7;kMMywR%*A`LwXOXnijbmD7
zOVUa=iD?-}4kxvC*cV1G5o~IeS{SiFu<fVRM+1MkDM<^?gfbqK5e+yK#&}pqv|@&)
zyxPzBZIV(Ce{Zi3;XKONTW|2@dPjRLm*CU8s|EL6zQS=duh-zsrH=NwT!PHi?QR^~
zvRjg7yGd-zI&xU7wWD!wq;k!-D;!7NdJS?ebhLj3shaD?aV@JQsn<;+EW0H?QACOD
zTWRly9d61t8!mG6=a?LFmTv86to2l85jS-zbX4YfZu;oq9H%1(>{bibHyKMUv{)<H
z7A*C#AV@JOVg3b<gN3~TGM70H2ljqg;i8z7Ab*YHpki-;&oz$2D!mmme)L~jAab{|
ziHqad>V~8_ToT7t9XKrU)4+%4%|$6iiKT*F6QvR*77Fqo7FA;VX3#6ZbCu($K(7JM
zwT^a2E<s(x0A&_tlS9v|dq4b)t5>es7v3Rp<FqMMK)Gh$b&mP(L0XkS3ZQ(DbZbYW
zZMbsHvFjZ3KbanSUeo)*GhQ(%;rs=TgC)HIK9@NThxAs=SR`P$A^rbkFOIa#mZW8F
z5@{JN?}b6ene=|BUF7z|E>O8<{tCf;{vjO_H-zg=4}I^HdidJ~DrwlwBYenMZZXq7
z?-0iODytga3x*!p-tj5X#&AP>c1ymXsnbSJWgcnMM~Tf&M-IfT7i=n(S{Sifu+3EJ
z<Ax~3q=faCI1YOC2CTWjak!|rB4U}q#be&4P8(d5MY>H7ZSYW*6Vr0xdBZBDIAgtF
zS7p6a;*8aT{KcS1v#z{Rk(99iD#uajUV}Z?I@-gz1et#?b>oQ3XnC~LO=4c=k;B2Q
zD&dVLP9GwaMfyz+eF#vNlRKe3J>%JLErlJ+nYwmsCG1$t#J@dNA?n98E{?oa4eWuR
z9E8tWyRh1{Olr)y<EZt3aSB((pCwFkb}Jj){p*bc-rfplWvLBuxERgKvp3|y!sR?i
zVs1LKvfK@IxaiBu6C3(qVK>halbeyOEOSE~J_hEc7`oR5G`4^LKjU8Vq<?zr-F&j1
z&j?kp$QIW)cZ0R<a@oPdn$zt5D{`N_+B?~j>tLr$>!XUkLj^kS9}BLm>R9>RqtD5}
z#(km0w8aMXJZA%Kcs6P!b;-?HEWmRr(1vG=R#KGQgvA11&IfAzda5D!D@xR``pe0{
z9~({v{`he+@Q1@Dtt6+H6Bh@RoDY=P^;AP{SCpvX@sg8)99GXYMCKNWwDn(ESMehu
z^hnDWJ9ov3>5BvQoDGz?_e4W3E?U&Edhe+~j%iWZTF*ACTGn$Nek61Bu+nO!WxHA}
zxehkTv?kT{9Wu~&|7dXCEVT1wLSL-Hj9hVzw41DLGs_Mh=84`oDck9Cu}D*<CD+j_
zGOdYTeUB11g$jP1KV`9i&zV3CwI>=pkBde4AFt_}!FT+b21|00NY_P6uEQ&2jvn?{
zt+ecdpe5Hqsq^as)|?Bhmk4{RQR6??_Rk89Jq+G&neHdvX5Dvj8+VO*zIerwJYko&
z;kQ_uc*`1-7RM&sND;Tm*~Xa^dNWC!W7{p(uH3T5#NDwUHXK&#SemvxR^djfxJKD+
zR=dwPSouHaHbvBn-C%8MEo)4g7@H80EpD@BGiTDv$b9i1^A75L&~1)QsDF_yZu4a$
zXVTS|x#AjCH(A@}l^t~EpRI6h>btVW{Nrz#?rT=OyzKX>nkjaZ`u0icJAb5kZ>)@&
zWV<zH3%A5lrlyNp3nUgZwQbaT5WJMDrYGF7fm4gaFpyPHZKcAol%-EZnnl>74v2^f
z_=GYZH4!!N31^Id5%M5;85h%;AjkTSn_3+P?yQE-v{ch2)>v#{l1n|$D9YGBJ=Ec&
z3oDO*=!1tdxoT{}9UH{8I6elk3dXHeIQII@$!?Yp6C5;mh98htZFT<;#wzkY<iLkG
z)|&d2O!D%f0t+Tr-4K{NRqU%_$VO(K;5Pz?MXe8U9PKkSs&0Sazjdpu^aab^`FdyS
z)8_nozCY{Z``52CegE>a=KR|}$#KKdoPZo%X`b6bi}!6@*Kqrh_FvcL2VplC?1(gz
zcqhWEZ+UKY65An#idZv^dsBGZwy&Hhlm2$TH(UJA(`@rAPqW>Brsl@%*>~C8G9yXg
zi>9=V)~}h{oi4t(abo#~5br({+p|iRmws$=G+bAo^I`9q`9C|grDSh+F8vj@$V|Nd
zn&wGELk&AMQBR&W{{L!xb0uVNf3#4(I?4IF|7H(9+eJA6Jv!1nmnS)^yB&WLIeB*d
zzkc0+^TTwdxg)+!f21#`vSfcnz=VH~)=vKSX!gG^)B9Uru4mxB6tB4L-_!Ncf7t)6
zPCm_Mx4!Ss_D%IiyHz7a4y$%2&Pb`0(2BHc^9XA=I7u|=!v2q3oU50ubWYB#Wn`}l
zV>sw28XyzIcsNkB!eSAVe0!)s!fGz3oZmC`jZ*_`)?5G2ap>Bt_GQEU7RGb`f7);T
zQO}yAk?{Zg&3rkhsdb+hto$-NKg?^t?HR4V-wbzuu|6>8(tCm8`uczKb^rb5KYIMQ
z)iqPK|A#Mb+*$gVUpCtO(H;H&;obYUch%$_coYBcz1V++kiy>MJ~iK_|BvtfKT)~+
zNwL?-N|&G)Hx{m)^`}I0r)Kw)V(*hb(`HG!+lPAPol^R_C#Yzj?6PAQ{ijTty~)FF
z|CBlT8MTjJ9QQuy8>w<X!t31j`X%!Uzf4~GWSP#Sd_C3Yvrk>H_%$=AC|K;|_Gyzo
z=O!k|{LKQJeA@fu;yxRO>-}|Wr`(z1`YCnklS21*i{$^hfu+6cW|l=L*{Ls8-8Zu|
z!db*qJk?!n<F=cL`Kj*PPAL6s3M!J*n0?$X2<)y$K}B*;X3BmG(5wf!+S~i&PnM*z
z8_ZyLtk+R}-Z<@M;&-s4_wAZ8r`;>9-8KTOb5~H2+?yG)-y*=aT6>?Y+>umvV=q`^
zzP9S~i5)i+zfTAGcHhz|bJ!)*+HGUN8kem({7K-R#kY%V4ofZa*rjN_sbbZEHyi4I
zZ&Hp<nOGC9Y5DTR8NS`S!Jcc4JXqOpBou$1E3ZT8WtYy%>lfc-hi_WarZH*VsY#zW
zZd<&1s<TqDYf1K|C64Z!`(sZ{0vT%NEmdan`}$F^)a%Vl0^K*~$DRO7DSJzmoBY1|
z6D)PR{$zQHljwb$U7zcx@6Y`hzVhP6eW}*_tWG^~pC@E}WPY+J=fT{K&fdLD>%7Dc
zPAv)wI+N4wGwF=kbmPT40;gpf9(0?j!f7@|_`~0{$h4y@uTv(eL`3^Nco-J>t&214
zw2J43RdWs;zq{#;xbT_@o|6(PEgc(9rz#&=x=HY1)Xk2<zxp-b=SuJ{x?8{gZ=@0D
zx_>i|`>Aejs7`VY-`33<sb$tF<a27%qjXEvgR!cqRZ?NvnwO0?y0s`tF4>_pz2o7g
zoT?pqv+eRuq&2YD|8wo$uQ~H*^15G<i6<4qPqp)W4Db^Zo2)y1a_iBQ(@#<a<xZYl
zl(b2;Xw!-rIUDEb922&TjPcp#;U^|uKUw$BPS@`G@6OtSSA0&@3HgZpXI7W{`EO%s
zv2EYqKc~h1zit1P`#SUZt@_NHHzMv|*tqQ~|Jko^UsblRHQd@}Z?gTjaR26O&$C!w
zXVqPOG<{Xr^<^tJhQ1E-J=$~qT8HP&f{eTSR?bXsGBr&K+IGtK<nfht?=Nva`*W}A
zkB@#r{jA%K3A=7LCbiveOk8%mkzMVaSHPLFS3HmB?rP`syL;Saxm?1eV1C1!E8S;2
zx{~bkckji<SF>(!TeCV_FJbG=ok6_`q3hf>8iglEOk7<VF>m$Hh-s@?BWA5`jhM9B
zH6rFSzwW<t7hJB#r-Z(W65n9p{xGrN?Ej39@wr}%mp`l2H)U1|9lc`Hndmj|Nn+8w
zC&@v6H!E+<Tl_)6&i$i-x%)>$b$3n!Yj;jVZTC(CWA{$O!|O~$4c>n*Yfbp~e(l-I
zN6ugBvgu4no#(Pq%Reb%u6kv}Wc8mve*C|E+Ha%6@-QCmViErCA`$uS;wcQ?MN<U4
zi>Gj$E}9~7y8i!n-TJyjjjuJ%S+=!jOYIj<Ha*$@)gu10XZQcLTwm?4ZeN{yGvfND
z+S9+DJzJJm@^A0PRZHzozJBriOsMYE?(Ro7XT@*-F+Xf?ZSiaQx)u4yW=d_84zsDt
zn6Hu-e!t=JvmcMMHbu-oHJQV2*5wc_(E^2V<s0)?2fjIdRpQ&TEV*w_vg+;1o`*I*
za+!Cez{dYmfsX&DLKA<k0u_I*LJR+{0uBGJ!V7OiV}$BIXn!kWEis%P=&8Qr!l|X7
z&u$1#-*M5aCB7~0&7|Eqt=aK<G2zh<y{rzp-ahDUbu@JOxn`B<hqsou-i}P};Pv&*
z&5pYEZ()P%Po;z3Y9=Plwmfm`+BV^Ob?=(3nX8>uZzR3TKApvwA8nP=WUe>!(N^!Y
zil>uo_mrHpi`#N$z4*~I_qCE{mLdGova9<2_)HJDyRUSrDOe$R&-#kQ`=Z&4JzXU3
zo>{p^N4UiN_g)oog99stc}iZ1G|#a*oUr^|Vn^_I!z-b-U2=Le7cTeycHy+@?-y?;
zG1q@7JgN9=*AtGvF-1N9_M5ZS-LC(+GWPehx7J$@8x*Hs*|u<@LfO;vy0x9&XTPp(
z`L$-LuA<<j;B2Q!YBfo|C#EueyZ+pRrTTSlrPyYjgz3(zJ8}bWZxMN`thW95v`cAP
z2bJ5sK3-U8WK*z0?p-una-c8U<AT$kx+htb*KE3BUa!hqbbeN)mhhd@lmDl0+|IW-
zCH(x~d6S<wJ(G%)|9xxUzK!QUZmg9(7qrr#bMM_dZ|`1Sy*x3&`diD)nZ{?}z-D6B
z#wGq+|IJ9hR#m?~Yo*H6FP^K`6@56-W+^9i-uuz4WnMN4D|O!f7CTjN-bwb()_^{1
zu~(0_wbobdNL^^R>@}m~v{M4xg)Z|jC$t?mwd`E8YKNNo?G4SEW@id-wZ+cal*q51
zd*e8#bN{S^x@&WjybMG6H6LlH-rc!WDwt<OcErh`sY*|uoQf~~;Vc>!5^i#OLEO6X
z?j-L`20mAwt9Plk3U<mF8mU&v@OXbI;PE}RshQJLtnXvJr<nNTNjh@J)FNwoJU6jO
z%uV4m)H*4YxJ2&#mXftO>(91qasF~zd)Z7ut=7j?+B%Ptg3_KQC4CET;|VLvUY(h-
zHX~<6_NtY~>T2&AC1<Ye)V=XN{FceqrP-mS-4?BWGdD}*WW75SI6rUAF$E^GWvlCs
z$o*C7S-7X`$Wo&VA@vnZ>2+ld`(DK?NZR61zu2*6m5+Jo_G=}F^nyR0@Na!|GgC|M
zr)Ic~b<fe@_=}e=L`{8nW1~{*TTZ^VTuwgrXki(d=zpg|!ZtedbUoLd@^DV8l|}YK
zne;OuVjEW+tUD&vlj+P=t2<?3f2-At+=ViGzigc<le^}n&V29t#s?49Z&-BdUBQN~
zvW|^TF-{S&uFs6-9%_@%IJM!PlkA$!0exHTC1&T|`5>)rbv?m%>cZy&ihiNHmag*T
zam=pUP}p|WZQi>Z7p`0g)73i{dhE@~Wm+%2luCVEH%G>&z7oGA8?7Cc`bpH~lb)#k
zHIIFN*mY;*z0|t?HYmbPv2Ce+#_IaONR>r7lMLUe&up$$d3?j$NW9wPS)%#Lnm0<3
z4RckvH#VmTZVPsOmcTjnjAx?sNr`Qf&Kwk*RG6bSv)fnY^M;8jc50J#4ya6Oo#C;G
z<MB$R#}PiNk2QT1BoB+cnxH2Zc;c`V*Ut!tg$$oHMIH&XD#@6{P8BK@Q%)`A3SK*>
zK5^E^3s-p;+22Tbwf4xL#e3K7+`Dlro3!uUoYGw1>v=^2x3ZS-u03n2tE=f_{xu}>
zl)+&q*)v-L`XcQmsy4nlmN)h8EbXZ1jeAd(?CZVj(pNs?mwn@=!i#=P+tZj9?+tC+
zpw_?ovajik+%?_D@{BU38sEITOlfuInw41s$@0(Y|0VorlsUVnHfg#h|4}i)uOX7h
zR_vP9HSJW%^=rr4(sI@rwe)>8P3jT2YWAL8$mq_}h0WE=HX1TNp4RbuSygt<yNGyc
z_bu7JKl=)|RfWx3<t`y*{E}bbrF8Mj@8Ocqq7O~T%gxBj&dSadnb*9GOK6@Cw?&H9
z-@cCwvpI8S7u4@~Rc`%fT2|&E!Qf}JF6BO&uB|2QdeqK%YTUsCAMWH8d^nW%pd#}X
z$E;oE;USNwzHIVdu5G-$_R1CCB}bR+H9XuOD*5YrNp_6(ao?$8(<?%AW4Y9GW;|TN
zYrP}qu*`H1nfNvNK5H$HEt1opl==AbE{CLxs@;{1yxpCRyxlV!>v_9ZHm=kaHGgEg
zM6p`+jqz88jSF{5Mf+SV=Q;Xi`%+(@dv-ITqOM(>v~JD8WvlGpe&Fs_)ce<O|EID&
zKGJ7r{O>Q!r3N=wr=8E3`*N4>_OzEpk2R0(PTndyRhKvPN6xF+Mt#p#h1D2-eJy!M
zE8TW^Pq;^)@tkc%?CX6uuj6@NKkv|%{Yx|tUyYh&wIg$(OnEl%-caLvGsF^RdH>qE
zeVNQ@+om1v72zx2{^!<en)*HMz=wld=3R`LAIbA0BdYMkp&h!i=ArS|6pVhKtSK-w
z=>GQa=9UPN!)JEZ7=C_Z8=*PJY-_Jgx<&HSM_Jp8`ijHeJ=(MKecrnBW`EZ&b3OK~
zzDkng$@vG5_&w#?ygTJC%BojctW&9qi7}lQc)ZJ}w#Im^!IO+TQtPBIFPGMilDf6)
z;cmlP@6^>Z8q(_)wy&(7!Y5ewXxYaHOvhv${e$hNEalm&bHy<~_`xli{`1>?oy)yl
zXLHE>ni1rA<id0(zF#wolmcTKw);HS$gE*LS6W|NtW>?&dCreE&Gn1wTu(_adu{RV
z`Q@!^P3H#fNRW!;VrvUtW@x>AP4%QU9`%eD4`!_VpYisZiqjmopUat+o%4J2qose=
zvgwmf?e||0bWFAK_7#0kEvt+g)7bB8??x3TpOX#VKjpbngt_dV*liDA<?UF0Ur8mg
z=Jto$VyBZbHuV?s4`#lc)%pJZOHqCEvL}l2uU4u|3SQ)%rc(Y*F1X_%XRD0OQi0>f
zTstfL6#4bPe43!KIrw{!{)snf;avV-+a{(yV)1zP!0@Dn+{80SohMaREZDAAc(Ci(
zgu7eom&RXN%UfD_^c2^eHRY;GKC3559}d<yVzAX~x8nxiePM1b^(HKdGxBU=YVMzB
z;xg1(GNIX3<WYo=qPVxllSIwd8lA-f4gW;A3>%yT*@T`xNnmrdNE148RHvz8hOdBp
zF^5oso}<$lWsjDhZc56B9a$13d<58?ISv_4QP699sT}W<vL~eT;V++sx|@&AiH!A1
zbZKf%OldlNa0Ltd@eEs*`jb{JKIX@!?Af$;+pdM%%<49>9aFQAIHqbL({uf~&PHYP
zTYeYJJ-aOqSJaAc(7ktm5_jdyt7|xaRe7y!O-!0}^xzT|?&H?A^VcT`&Yd%3hKj`z
z9@T>nAA~kFKi;`-_4L9cyF1V8OnJDnwM%X3{rpMGWt6|%&cF5KoL{bUuyeL;ec9{p
z#YZFFStSOvnyosrVe2AMX00=vPx}Oaz1W_*^(gmc%`eL)bWHTM7hf!r_oDvwq*NYp
z4>dJ46=7kaNjrDA8S(dfK6}i0l4*}xWQVy*_lL0kYxNGx#4Mj=sac~ac{qHM<qtoj
zzI>J9k26!`>?WQ$?mmgN!gG^=zK2+%%GLT)7I}duE4U7?6gwf=7T8`=*uF}E|GVas
z$AYa)HcJ9J*hRVuu2-a)n##_8ZFuF1XG+|f+m}S0edf9(`bg#+=3&mg;aD&I>_xgx
zapUaO7q8DS*?<4?<p|Y}b^iRp-&F$kv{VQ0_f%`U**f_)%ei$0ca3}Vmd<v({$+yo
zwkKxI_3MQ8U(jossOP&Q<<<Mo)vn^c$-ZUNmoHuB<n!^}3*md){+OK)3_kf}TV>yc
z3kMU0b23)tuMkN-x{xdP-@R*FlU<#IL#w@4$7ES$m>bA!kd}F~UE1Q?O<uikw|M2g
z-Qcw=n|*3Qva9#pIk(;{QatP@WpyKP;o4){PVQB%jk`Oo{_gghafunMs@%8RzfSF)
z<E)ocZ@l&5qSm*$qGsndTs<Y5WBAqLXY1RQzYdjMjNcn`ar(OMf6tz_wHDpED8B9X
zF0;pb_ieZu7P0kS%tBqi?MGK%n|-F?VOs09S(=U?+jR0~tg_j@srK%bD_!B+?$3I)
ze#55Pvo#;iocb0T{8VIZPJO)L{~d1@J>s;{n_czv*)I#7Jt=k-HH#;m`H`I*_<Y(@
zeW}lPFWk8kc!2v@jpq#C+Ic>@=YGvudGct$7LPfm*CKpwXU&S1t`oXE$+%Ol?Q*9a
z`*I;$8FgV>JwJ)n3m2N|p8K}rV@`4Q)8jsWoli#nlu0&ydhOJ6sca?PDEDpl_4AX>
z4bE(nmN~OY+9K^1uU=Y+MBAgLg$q|2FOxpKaOtM4W_N=^m8XAQE_vE;`x^VJPLj(a
zRy3bH#=Uf@T6oLkH8E!z*4{bDqWxSV|Eb=wnWxoGr@rhpb&Z(W+i9pa<>m|tU&Xdw
zk)jO;L#F-g?cBR;hQ@xwjrT+}#Af|6On&sOUd__l|KWyKBbiMB?CiQz9ye&7%U=8P
zN}RBLLcv_k>y~FWTx*kEvoW#ns@a>Qsl3$@nTPGdX1vn6w{~KAqrQ1)QTFt<WmY=I
za_0N*Zn{!&=T6z_w972&yYFsl@six$e{@-*^7gw%7q`p_-Ew!+i4sZC-0eChvNqfA
zZaQ$KIemM5^t0K*Y1^Wog`RNdO-*>Vb&vS#`g;$rcTW{byE<)_j83RXUt!myq)m$|
zW~|b%Tc+vN@UlxN(XCTyK1cmZmF`ayyKk(TA#)~7q))l)(W4oQDlArN*qzhzYWUnG
zl(ct|%Z;Gu6%$I1_q|;9ZffbwpDC(r*TtjK`%=Fzd%7jp<8S@@(jPmUX0O&Z+_LY&
zx1y@<jEhETo{2MBjd->Puq*3KNnX;rOCmHrW1H60bmJ)No0HsG)~?)~(00}AO~O>(
z;x$_m#kF&894?anw((3Gv(8%WFMg48s<Y0`sA4kQvn0r}!d0>Fx=7K-HYd4zK_`w|
zbFo&$htF8`WMamb9|=sK>esv4&0;*feAgj4FGG*Sy1g?EbQC(8TUj1PxCn@Mb3RJ+
z+-rE5&u;elw#VI)JAP!b$?coj*#ACFU_rZOxMI~T$Cfy^34V#wKbl|SvF_cfBwuel
zOMz!G$D;%lM+-NBBS#CGDkRd*ZE2QGJFxLCPmGTVGr#be1IH_jISkJ6IMw%<HghDu
zx}vwws_wzrpy1q-A8R*iI!>3;S*?F(_l%3LZ#_wVwy8e#-rlWi_X?d}t2WJW?FxMt
zPRrofcl}qxFHJ}c-D`E_>eD*;)t<*T9Gjr~(_+u0J?pp5+Ef|8ymW=^>syaLFwW2B
zJo2H@iEmzD$79RZm^}+7v`-iL^s&o{F|IyX<A^kuYen>z4bylgop)HVR%An<Pn2Qw
z>pQCb|JN0V#U^USbgjyM#5((Hl=BHmm)Ca_T4t&mpSiM*m2>v$jTdKV{eIH=@)%EQ
zLXFX7oi>X#a?$yw20fdlWqPjPDmbQa-Cix@Vt>M#fNPU|Q_nuyG%w{;WzVKm+lwIv
z8y%;7tyfV#GwrKLaHMhIwaL9JH>KKMow0Dyrg@2mM^bH%hD>2)J{+?9;6an%$jv^H
z{?{K}c=p3ndhJ<JUDFwfR$F~4mwedz;-?E&%8S7ChMjzC)OjVVu1gka&o5VGJ3Vum
zai`>Ao!~fQoz~CC;(HViTS{43cslnzHW9HE=e4y_KOa=@v+2a<Co`Ol#EU(jCCZ&V
zlG1a=yTMq6yRa!mP%q$&=R>8FM|7s7@aawNc`R}=X3n+W-DiYDf-2k;+m?$IeQ0r#
z>DPSnNU+t$X32zpb`h?E{eHX4KKr+MCib-&ow=$O<!aKp*X+!O^KG(kwkP&6o4t9o
zfVbKr#ZsUBv(A*{`hBgtA`%wLiAAmGcNLRLSkc;cBX^<Tw;37V#O{i`i_|zAyO;Y^
zz|M|>_NF5HFJ`=wc^5An^pz^QvtVKO>nl5ty#2YN)ktP@0K2=+l*b!dcSS7Xy)bvx
zW}9N;kEXXKRN9;i&3&bMy(mRA=Avg=M@@XT8qfPI?rQ&|E-BlR-{sdkU%RGSDRC_`
zH1NxD@ANGPx4g)o?sqw$XS&G~i-iXl)joY}BJ$PSnSFW2iNbAhcG5P?PjwB85<e&T
zCayKUW^qnjxBl3q_PJZTo+NMAV!g52OYz(4NfP|oUPXfX>+Lkow#NAGUE-y;ZpEbb
z>{U-5w`sBNSn8#iw>qfBn$>e(Y<-koSiHuRh%m2*GqQ>cHWo~i>`ZRg>bw^-)n<;i
zNU0lFL7Z((hwUnn#Q5Htf3<Ow){A;2CgghNJ)Z6*uRo<M?6JklOuMSAOy(~aw+Pgl
z>N@Seb?MBJ&|hIc1tXU?Pd&0Js6BI5yWp&6k2}luFsv8x-Ix5Od6{9&MU%esv!2yI
zoV@Jkj}@7W_q1o#Y`<!~)NO}OOZu#fN2Pr~e~h}!wCBne0eutQL&dI>*Gt!~%H-*v
z%CpO;`R~+8)AHqNm#CH*#(b`nSe0qlmJ!wAI7=+C;Zlmt%$dcf_)nWp{1zs3disQK
zmrVF=P0k)Ke_O%0FEgrTeV1B%bXC}8iE|pNFX~VG$Y1Q?^UI#q9X#vV<CaAy%_qwR
z)u~LdFA6udvRIL+_bq!?|K3?*kM=Ed-LX95Q|l6Q)3b+5eYp!lFDu1_nf!e8%GpcT
z^-%@8iS7YAU*U`Kncp?zU+wYUcyiIL1-C4=t)A4KyGkTsvsUYkC0>GN)BdIW;d_00
zX>Vkf=A27C^?Z7nQpeX_QdFz!{mOdwdE)kGp9}pyw^}UD6!g=cb?1rA!px)%7fi(6
zP0t=r^yM}TzL>IqxBJP>HH$yT`97YpXldz2|Id{(mi(;oxw3^p*hKe8g>Sb(*u^K@
z3fD7dwVTcoOPIQ>HDYC^V3@|NH(lSaPdA&fLwnw0Nm-qXCVfZiXFW@rxU4gB*0P@w
z3o;p>Wk+>X&Js&pcj?q)z26n3D+9}JN}KpjS+#6u#OlmNOH9um&+-*sx;}H!7gOCs
zpI%+^IUf71?5M`>H_Ee)6$V_6k-1>P|J&5}%dS~s3EP*o-dLI`Sf(|rX7bk*-(-pP
zb7E{lbT0PrZPUCysb>DyFyG?(jjoq%dam_}Crnw^DzP#}ZOY7<YbBn}F!H?|<8#%7
z|FY@X<2=6HhTcCPdi?vqa#SO}bFahY7@cb-f`>Dr8WzqHONuBx`9h)jeCMho2A-ap
z#oObyTHc7U5}vU45#QCPN&Q-tH<o+Z@vRJMIJ|V{gQ6R^LT+(;>b6#gt_pnO>s^0l
zqwD3E9Q|Co7{2J+CiDAu-^yp%u{Kk2T}D)kbeG!U2J2bJ3PLZ-#9TJ%dp+yfqh-q~
zcP!0hw9Aa@INzl<`vBk4n3US@0jFHUe)yezzG(Wh&ljRE*L=CSg`w6|*Tvqq`@;S&
zT#r6pdD>Z|^eJ>N^VB0nIeXUsbh5d$g`;28x1KNmyh-oloy&GsEX=fv%ZzHc-u0~W
z*vGib5_Ta|=M~sY@zw7Q-zjtIS*FzS7~f(;_sceCu9?VhHt}WgTgqtP-@c--E08ZR
z*woj>WtLdNqGhc!7Gw$@)3%r4mp?4BSVqe&dscVgtY?W!ma$4K%v5aCj?!3e>y<9T
zFMr!)adW-mtYd}|mt%M?nFu;(&+3nzC6?5_%=N~)jENc1(WbqL-OD=pLM~gFWzOpE
zo%JlSdD+j1_+1Jr)8((N5;`oUx>V=l(N$*;W`#bASn8!0wrWzl=&C2lJGELPR(T0d
zo04|MxATwwC6l(+rMw|oNex<Wn`0~;bT0@MpSf-#?!RSAy_{V3tbX@dVh<KBYpqz2
zDfmu%mdt{8SyG4RUh)gi(u}#>!^bzhk1MZc^~z<PowJs826|i1>z_SK>_OWy*B$FJ
zjP~tZmB|x6MYvOW&gEGb52pG)-mxT8PcLg$JNK+-kM}HNwOF31crGid#n@}v<L<@%
zmr|ZwEp+nK(eH1Zb^d5QgYV}H@t149T;9S_E9$%M!PjdhZE>f*3iwOgYe`OdoaL`;
zc)a|qx|*@q<cXJ$t)HcTK-{<cgXhI35x*TTOWezz)m%R7*vGKTF?%kW@UJ)ZJ;F3g
z?7`AytrZJ1iWa_Kxy<lO0GE~AB>zk|!2=nyI1)_G9!>ZC{L%Na(wq<z%gXxQYcutx
zX^QGx|9LHQ)(p>SW&1WI@`mPoy0UDgS)bvmCrN9xSZA#9Qd~C0Yg6Qz0M)kUrMmij
z2iT_jML%i_TI#WA?utnnYO9_+T&l%dvC2zPZdFhV`_f4{ip^f1Ri#ffT(auCeLU>y
zvqj6bDu1k#i#K5j<@yjh)u|?MDTlnbYW+^14J*HhKh-+u7Fx8yX{yDvm6N(PS3OB=
z(fS#&%8OBKWl+b@RU(OgD@D5QoDWfL+qqO#w!QUu$ZU=)p`FPKwRTFZ^Rhd(BB){G
zDv_i)TCNsRvo=;-P4szLwUOsD$groYo+NJ7`Wdm>i_vXWP)Fb@k;IbRf6FGeEi?PM
zep&s}ZHrc^nRVo?IoKrWXZGa1g~<aEz6i_1b=u_{&Y!aU7PYh6HcZc{MqB*hzNzdt
zGM^@8B|J@<m7pCb=yzk%>E(~3Ef0rjmv1<F%F--)XSaLUyN3&>{;o)P%J?q2vZFdo
z?%}$%6_$OsCw&%q7h!ogSG&C6^eM}{sGZ%?VecO9s-OCMN7_@yby1Za+F^1JH?6IR
zmD#LX9=s#vDUbNtI|o^{pIhWV)jJotvwd^eyT?6K*)4Ll<@numZyXnt<}0|-<}9=6
zAqTtkr}`5M9>2S4+ADfDC}KiR(v15b(q-#rCI~4eOX{_)Ox+>lqj~tK;ZC0E-JYpC
zY<zSMKTUR$T*pxV_;f+*jgU6&L&u(Q32pTIwD5?>riDD>hkqHx@Likw@KHo`+v%gT
zjAE1&v<^Q_4wYQTpnbZaH6pN0`_QK+T#shVuF)_Q)73tFbi)>&>CLLCJ1oSeKP=j~
zf@gYrYwC^~9=*e&2_BN`1e{M7xJpcmanyUJBJb6ccKCFGVUNb)r}YUbl6rlCsXJnN
z^bS8w^pVt)Pd;71Dlt7~g<P8Y?3y!PW~?)2=5QG*-xNu#a{hNwi!Hdu`0L(ZEZm3h
z{`zBkq(!w=yHl?1bf+BqcVSx@ej!^uIkhSq8?P@vD(1Z4{Gf7C_)+E}^+TJNtSTr_
ze`%2Pq<Y?q#EOY83O^WKJp8d<>*C`_rHhUqp6$}#zrAxF!+RlH#e3>iN;Mv<xcY8;
zwhJcTIqt%Lc)3e|^Z8D>KK)KP@pxfdxqYftH9T1!pI9Vjyx=rcxG21^K1lxAqp+Z9
zM>DSJzg&JK;+pxz#|!)ywJ$DTbli2lOMm;kPC0p7AzQ|M>RZ$J%_r;F<*7t6)yMd6
zYS}R@rL$n`2l1}nm%G}uR&Fa>f9B5P_=*`xn!@4-;)PBeRp+Yw;h`umuc7iwlB-q1
z=c&P=1&5gbbqh|GKEUj8?85vMzADjwcLEb-YEP8t?Gv51V6g%J^8b^P?9NTlIb_*%
z()NM2O7f0x(|_*26&T5N{bY;YJ^^*b?{)U|LO$;v+bQ&EelIo`oZ0!kbp9kx*&Id5
z<8hOA-f%bK|LytgvB60u9_6gKckKNtku8BNleb6MDA)Pl%l<e=V@pN+&$ICXoU(fq
z_)mSDl%f|m@yy}uNk1!`H!<XUiaipo<P(e&_Q^Bfrz&}P_kIKMs6FaG=lZ;OV0+TS
zZo-+P?)8%@f4CUQ&-WC2z<tuGMm4e}pJlT2GTV->3D(PPJ=K0WP3kn9nWFb=!WrlI
zNk3n>Z({iCsdh+ilB<M=!A{}oP7ULos?{?yd_LZLqieLVSzG1t4lg6|>mF)pVJ`Qz
zzn86_{L<-;LS)NqmdVw7ws%hM&wp9%q9*cLb5h|Q)tTM()heG0=BL=nP0%^w+;np8
z!{aK+6;4L%{+`D^_N3^|n|$VQ@}i=r_daqP#db_r={D%j`1(oj@J$ur=W!LEI!*;e
zxTuvFw5P}vdp=8&J85I1%H@`qeqQ}DYlOol0d7vU^Z8RPIi{MQ&|Nm6TUF%KhAB>V
z(*iXP6mhjiI4<PSu0N~(>_kL`=}C!e6V4orn$#I_Lq`30eg3vSAN61LMzJklU92Q8
z=PAtW?p670(2-)tHd*I@z@%0QF9S&~er3tS1;ruikz9{Yw(z-4=t)*N8PlUQv;CsV
zXT!D>#bc9n4p}svEGTC>DWN9BD%`&N^2rvyUxI5r+jjr;e3m3s=~K@?+4EU4*GZ={
zDv>RcER&y`-?BM4_3QT|HG5CLs`gQo@7EAXkog+DC{9x(v8Pf@`1(1<&;2J)NhaDY
zS~L5h$R~p-PIhep8V3Zmqy_nAowl1Nnq#Uyx$(ao*UlThiu}7Zo+PWcGQC+4(D7EJ
zYs1-|l#u;5YtDVFooK!yMTTFqUS*L1*Uug93mM8aL>`H?x@2UXtCgvmZ>m0d;`dOl
zojZIK`KN0<d92jR6tf_p<8zwI;m5%uk9PPfikE9Xc_`3YBeOK1Az6g$;^~^slhQiX
zvnTQ_{~gq^P`*`$N5iIJwMZe)k_p|8BA*Oy^rT$)eY572(KFd3IrT>qwlBB*ZdCv1
zub1q^$I`7aUl#DacxXNK)bVOARtvv{0_qwf4^y6Tz19kNr}#Q_Yw1jOaXYWqYd*UF
zys}2*-Q=E!awlbS)FqE^EBP~JxzM#cHzF#uo<8cUN=odI_paP&SQp*gnL6Klr>d-d
z^Qk8*G7mi~`D3Cn)hs+Y_*TV}MeIIthX2bh)(fj$zuhNjpHvjv<jnH$rG?og*-h)N
zEqQExrYrH}=TrO5Zdn{Q*Dk-Xd~t8_m#szOf7je`uGju<c;FN>&z0G3rSEn=UH#Q;
zdMeLqk7o(0CoRGzoH<%FsWQUNNZ#91?19Khr#V+<=aoLY`AogX#qQ1@3rC5*rH{`!
zscXJjB5+u*p3CxvucBa7;EAJgT$L6MPwpNJY$}jZ?C3tsBABe@SR<q2(eRgrb7Q}P
zVA%{$t5!$Zvn`1Q?#Uld9G`oBfxzJsF3TBiihV0ZiVRzwlD@e-xqC36senhZqx&Aq
z#|zyGc2z<u4*X3mKkj9y3GOpF#;+dMQB$*J<F6-|&#RZ3)T_P>YVNHHj|;v#tv3I0
z*xw-W4O((f<(545&78V)c9!(3ThUs#q%QeZ%=q`2Z~rBmwY<Kiy{0qg3lupE%Sjtw
zycZLDF|1j=P5xDwviuH>`^zFPUz(J6@JQdsxrf>O|Aft4{%gXNqcas>&$N4#S{d!_
z92@J)J@2*U8T<XR`d^;Zm*)DuoVM-P9cH<BH4BNjnRN?-i~m%l?YZ`Oe&bD^J%+01
z{(g&=GTP&PJo>BJ4B5*D3m1#6@#xkt|G8<~_p0X_88S0!7YH2A+?$vh$QAB!tYB&i
z-@1uCkF8F|+)<d>KKqKMg@v<X-)51b!agUtbHOK$vvRTS@K`7?T|?yIrj_-MqPBu1
zd*mLA6t>mon!S54VQR5Vw(-`JXSxp*oG#h8rEAO2(=W@KnjiMPWa*Z(w>nqS<m#_*
zH`}UlQTqhBEk%8cmkFQQ_mZdltM(?txq0i>tPpzsZCPgvPk!c$2eFf<EuZ9<k-xgP
z+bj2~UdhjUz84do7&qNFzc}Tg^W<xc;`J+LY+j~2W8rjO>le9)WomP7xW-F=yWl^+
z`{~B!{%N0!f_7vc=GmTe<6y4zvmMEY_1;BkwLG5Oy6Z>ILb-hrEBe=qNj;d|+BM^t
zlkyjZY%N{&zT~ft`x+#Vy_jAi==X4*-FlrzJmqWe9F*05z9aXkUS8x*iEJs|<<Aqg
zynSQoR)2Ft_e8Tdi7mXpCGrk4w%sh~VBaR(U9dm!_2pTwcW>Pmx@GJ3M{bMnB^dR4
zPp`dX>s)*)u<f_ls|Sq_U!A%vB6r*1u&{L5hbwKC^KNeFem<?I*k((Bcz;j(w1qh~
zY<o5&woNyC^I$4(@t$po;*)u7bJ<QWU+k~8a?MLku~P<D>z$6)TynBp7QLcdvPx#+
z(wWB(o;N9p{CWK3@`Ae;zI>kXa2Buij;zBn({pYdb#<<vd~hcF)$^AQAHGwQykCw}
z<g6LrmMqR<#bb_KhB8@K^*&ojP0F`Cl4Gls^-?NqQ^?X2FJ$(%MePdPc0H|W<<2VJ
zqb8RdmlQbaw<(<~xOYmnz9#QVnnzPPXeR&co`<`o=1Xn8`lx5=Qnk-qANzl;%JIIw
z>Xld_*Ic7?!}B2wka_$XremK!@K<SfB!08K!|l_mUhphk{MG9_tXjK7bd02qEI(Be
zytStFO*Lc+zcL*%g`eMhG&ug^r3+f~Kg26GJz`-${F<e{{ly+385w<H8NE0a3mY5%
zf*%#r9&mgJk^fS}SJ-R2F`(_Z*sBK<Te~J6b&7p+<vQc1D~I*Czizzk#QerE_~sE8
z?zo(sgNC^tiJh%RU$zIZGwV!AUeLNrVu`<F@s^FtHs8sRobw<-V$y>GgDGVl>tad_
zB;1}G%{^4NE9Ejzd%f<JgM!?m!ZF;Xg{u~SoYZgKd#vl6sYKqZtw${ar{=B95@LRy
zX*)yz5sz`N&E1U)S1w!|Rg$y0=jP<;)0ceixMC>ko7ZBu>iC|mi$c}n9aP>2eN66s
z!u@sWi)rhMHX5}q6-kO%_Fg(Qkn6VRu?@{BeBUPZJZ3l<qoY2vJyhj${l-}-jN2yY
z90{A`dc#F@X0vFBk>G*#ijs$gCRs+f81?;BDK?y*BKK^<nd3Q=SS{Q)38Z_9J>&^Z
zk<nh6V$(WVCE3E!i2b<dvBI7dJ-&%&4m(czdBc4Z!)*_-MgGc1mA>Sube%aG^=d*M
z*WMM*yLfCppDkcNX|eCWhoSg=k7xA{wNKX6C`LA%SK<EHnIdTS&qHKpduhnTO3j)D
zd@l|6sLyOJS9x6FZ6u!W@hnj%ly_dgp$fObtQ1AJi8_ZoR!#jG;V(LK{vnQ66YLHb
zOtO@4GwNFy62_FXU{}9@w5CYn=6zgK9Ot@DiSJcBc7JuAz~Q$Z!3GLx*WZ?&ecQXX
z-c%y>$yQU*($J58GPY=Xo%--bC!<HxOQ>&EpU;Jj%O1vEICksDhsQp}3nbF}?dBPo
zl%Lu6c$&eMP!sEq{ytZ>Km648ravZEt^eTT<d|%={)3y3@9>j9+}mF`->z-8?J?$G
z5^*&>?OzP{yfKtBpUu5zuddx8;YaGX9v@|z_)oKb;=bbIIsYU<l-b|*w8Je?Qc<jX
zuIA)v$L>D4q}h7r?L`Yd=G$kPZFfDm+5X?Dia%}Fp3RyzO(gHXM{t|9*sBNYT8r*%
z4iNvYd*v`Q_t%dXoS1F?T?~ory}RkV#GYKUuiU+NT|D=ihZQGp&vD$RT#)^2QFU@o
zyJgJWyj2J5C(HXzy?JBpTDNr*LLY5ixhhL4dzI7?7T)u9CVw3IPCY#+5;yBpKu%&s
zV%C~cQ&HWiFW0T@<vm-Gm$l}rY0@gCS*Ksid!G0(sf{o0PsWeCJZDO7^!ps%{o<0#
z$@|7r_c(l=$@h8P%PC27*6y@+`IXaNeLEz}@ZUzm>XzAR-p4EIxu!>!WgX%T*6Yf5
z*^{T$_{duD`b@EPUHPst`Q`;V8>C}$wn*FLY?7|Y*(UKw+K#<slavHo*{{2N`<F`1
zGB`11o#x$Z7p{Z_-qeu#E_PX8oQEg+EgSpoH*9@x%bL4o%bMHgmNoasmN_@vU3OsU
z%IacQ({r=L&RlwV%7@)#P5orWUtZgf`PO8p2cNo@^ZMQWlLj429#?Z`X=_DGttvRR
z<<;y$lklyNmShH6H$OJJc;2Mzsim{LcKL<dGydK=D=Phe)-s;zTN$qs_O}+v<Qbb>
zP5WY$x_sxVSx5K&vAZl(TI?Br@o-JU8;?(0BX?K&U3ew3ZwBLG_u%<=W9CP`tN)ks
zYQ`pma~~>#pQiCDZJvLYUHoxavFScj=`6jrnZ-Zzp56SX(8{@N+T`avo7dhsD5L#+
zM)p&^XEXIQ1+S&*^~{^QrJ7N7>f@AuJkQM4Dka2Js$_J0z7*(qec2I_W3#wVbmp%Y
z**TRjk9AFJ+m<Jh^onCvv{v-$Sw`C*Bu?2_Z^+T!v3J9jAD5Yq%{`D2TrznnPqNOH
zgAu`3uE~^lo%Z>gx;)MDqICMHH4aK|@(vaKwQG>cImUI_F<R@^5d)KZQ$#x@)B;W%
zbh-S}QzX7I+c!KxF)t?hRPTx}ucUm8V&m85it6URjM^D*cCuvJ&b_Dpnxt4bIJ2|+
zP7lrAeyu*H-Tvl`wKC~5l2*+uyV<d7cVgD&M1}19+=;UfUOvOAT5NDP<loE}pEks`
z+>~ZDaQ2_N+cROA<VkCmv_Ow9ks?BOMO$6`T@^2SzEqFhp_;HSF6!rR#=e)_Mn7jW
z_Dw!>kaJSu9JQI<##NgIawD!}efu_xwQ94*&WlBJ>rN+}tk0eH`s?3++rlivmTw5q
z-^Y^_ab?ZjWqK3V9O?hX`^GTw$CCSNCn#!uG5Z%(lbk5eW%<Hev9C^~=;C}QIktck
z#~rv>C0rH?G;4|^as)Q<*$Jzj_}HqTw@>KEVe_URKl~;z*mmq`ec?HQV{gxLsd%I6
zFMUeQ5^*n$ukZ-nwVqnvm2A`+<Fjx=`$~~dhP_UV%YrqI@Nl`#So!~HyMxgKnJgVg
z$wEHmj^>9f4<#H0#Ff8ZG*mO<S$#yVws*B|@piv7U-j0#oP2GyoP37D9t8z59tArr
zW<2;%pmFfw<ANsT1A?^{C#+n~6kmZYa@e+Nt!vNO<3ch?86Nco9gI%zPb9nRZJM!^
zpT%`f;#52Ltk+t0TTi6!ITLlwv-afDD~AfSPCj~2GO6{k&!nSAZ>n^+7ki4yKcB3_
zs5isQE?sb<50|*G49|2S89qIA3yXCs7Dr5!4?axvY&v}W5{r97YFnRT*^%vPy4wTV
zM8#euENv}XvnfD)weFR}^-|nlH(qmMUUNBI>-Lkia$9+QdDni8SbO}5;k4}w6ZXn+
z90RS1koJjn`z9uoW75<1(JzW?w#JbSH@_4c3iXEEk2zy>(Z*9vO6{b@zKLg!mQSjD
zanZ$4ey@kv0{N3pJPMI5j=nAvEpIr9&YXNG;nf7b!vd2mCA^IK*h4m+m|efm^Vvhz
zlQnx(A{)Y0xIgx#2;O_WdynL?jZ?LZ<{b)uHDS&}?vpNOyo~z%Rf<1OOp&vjaOQaQ
zB-RS&O#<sZ#U4tArs!=8bbYq$!xXC}r$4l$@X1Z?c`SG`#ztjkyJ5)b(%K%i$c8`_
zZo^3_f^Grg%i7<9DmR|xd@nmSW~9{fu}$h(Y=1K5i|WjFJC)BDXQwb$P0~5Sx~gjr
z$Mcn8&yv|gQ|h?FS2+LT3HN;VK<cE$y5LC84U?soIK5dE&~o>f@QRf$Z^ZOV|9U%T
z($=dTFScvvy(*u_F{^)l{!N3!xzc3?$J;F5Np*WXc$Rg?Sncfln)~L{683zq4f$_<
zUZVbJwY&Ql`^}w+Wxan48aL0DY@fyBnE!IykGRVz3p1ksD1798o78k;DJT0E`+zL%
zH?!X78y%T(^Y6Q9v$|g8S)_BVDw4kU+edZs?GN|7o!P5>HY{AZZ(-1ptlhJ>*v{Ox
zPF7oL)~Z|4QBT($(%apbxcu&eg!a1!Hr~w>VD7y8AhCY+-3Q6tD|xKKwp=-Rbo*J8
zlpT)F?AK>+$=$ha-6B!xX%?HTmtXf;s}Q^AaHUIp(|OA$e9skwrO!U7IQV<jzvCBN
z=REA1+I`~sl@8-Q)m)3e%AQ+fFL!_K`$Vz7FMlv{Kebr&zF=Ev?lG@g_2p|fI99Io
zyxpGDZLXm|i}7%)T5kPguck-Q!3Fn1p6>KLQ@m_h*q+XvM?&gbea~!u)|_r;cz%Y~
z@(kh6TYer-6&KYvd^{zyCU;%`@>KP+?EU|X9MWp$Y+O-nJwd(4JukzzJ4fDp>&!oX
z_YIZLzWs96d|pu09KUVMv(n8C&TN&IIkQpPBJDP>UfRrKeNCVx7HPBU^>TLRM$FDz
zxoYC<Nya@-C)}PgCvPFoWt}SrLz2&KyYi%~plhnJ&$c4=$!q2$FPgf0MuOgKo#{)>
z?}-SdZwoy>lkKkG*`zP4CY0;;na29>TzmETuiga98$HYIrFJoK-j2HDTRKy*cJj+J
zEz7-qkM^H^d-g`gsq(byGUir^Yr!Y#4@L#MKHr|6|6;pH+WfVGk7xh-+rhNm{A8$(
zAYYV*bNuD2^Oy4did-?nHDX0Kqu8s&1+Bj%QWi3@&E9qE+AP1froN$z*sjfp^5ZjJ
zvn`Q*b<T|BrM$Z%5)aEwyS<@5by=7|{<70+zbsw$?DDOp3s>@a`@G$nVO_Ivs<Dny
ztnbeHw;x)UDJtxi-FIM)!DiWg>t1fR`V%E)_D^Ge)4pw6LlPq{OYMKVXF<mqEj9Ml
zqOHkO7ww2xsUa7p(bfO7ODJLHqLzqN8iLcNXnZ<qfB&|(XkdftazXo3b;~B5Iq2m2
zFk*p*-ZSm4_R6kD$?F!e%vh|Un5OO361lcD%cuO!jJ*0+agoz!&J2B2QoS(tS;V(C
zIZH;XtN*HH>()JZ_R4Ecj{cVGbM6I&3f|u`>kub*szu&HzP?C}<AL0zg-iAp%uUHC
zeOEc9UGqpmhZ7%PP{(72)|fZTC$!g!eA+nOiSgSO@uu50LQ}i%sww=BsF*I<aWc9(
zQGJWVk`GM^Jo=oE>K}+YTEqz-IV#;$QIUOa%Zz|ojWaxEHFmqFJ$SfL@<&Ay8{@oL
zjveV~0uOfzE(!i|D~*L`Z%?X{yw1;cXMH5@2^~2o-BhqgsiXTk%f}B#=VY?mo?U+O
z;$fbc8guzmhs}*Yd_2j+RAbUCAb&>T&~LM?+N=BIRezqF5^ed*)<CuXMNsqiw^`*;
z6%LOMe>-$U>A07i@3#Ya2|L!8o|wt5koN4X#I3lFHKKFQ9ujc=R<ysaKZU29*HU|@
z>#M%I2MVHJAGEr*^=Pu@y6u7;52adVVwMUV7sVX666q>f=ezdAq_zu-H?tald9y|?
z!f$=_)64a0`I$%Oxbm25K6${_YOyZ(#8FeO${k*c^5GgH2|Z>F>GD^F#ZN_Wr%GIp
z<FNEz(GzkkI6&`*?*hfcE>c}H4R|fpE?+n*@+#)ir?mBrVy6sFILY#C3+PJ}dzG}K
zOt5^_xld<&=U&cmewOKV`8Kz4*BZso21~C!>k>2MoSpTwp67Ot&G&?lB6i}o1wqf1
zq&60@>#v#fc<0pJ6=_f9=0)w~P+4PhAX!=8rr`g?YfC-k>=|Ds&JHt=oKkz|U)Wm5
zXFQ7@ZIehWi`kiYCNwc*=gO~Xm3q_vm}NJwIP%HyUsZ9IUS;2Zj&8+y2lKW_OPJl@
z<-3->IPRE&@xF5r8in=wT54-eL_UYVwbDKy@+?y0a13{;!Eq<cwCEMxkz%hB)oPuR
z*7-UczFoF(M&soGpJSOC&z_oy1eZv4-L&F8dSq^kA6Iv##<I^QB9|+qx+HCRk3Nax
z{_u27XkWB($)xV>TPE0u%iPJ*UgIY&TxeN#ro>oc<<n&^&R?r4Ra11X&zI_2*xn|?
zymi7dl{%S(!#sbtI*aEze_gET94}?{!r8gcac=$Y!}%!-?>J6hZN9u)tR$&7xlOBb
z#yT&%Wh;XkR<06B>e6zx2-Z3ox-@vLs$}={seG%=*qyDkg^qh(efqFP>!-y!FUG!=
zK^==%i9GaBO#USMys$G@_lD7|=6c&zM>a-JwRsbyDsLUCd4MmJYh&zGr5x?E5r3pU
z>CQT~A@Z_J&LtCZY16ZZ*?d2LT<dz3spjeyfp`<$L(iw(fB9jyYgEkH_ackeJolgV
z?BU{NKYuL9R0OSN@P8ETn_RItMeTD}!PyrNwl3RQu{2XIE-R`*e3sawoy%M+mSudZ
zw{7*G!gDPD!0z>uvNqSYF!Y<~9&z^V{@`%&N%s2pnX_i-YR~M9&oGb6l>hYgTKSeE
za(UUa`cFpPVwh~Ad&I@J+raVS6Ym$tGG{e!oOR65?Q%@dH52~DCTEX(_;MRKU3{{7
zUVFCG;SJX=G4<TnzaDRFC9x_~#@XcT(FEVm1|Id7Yk00~VPF=~o#5QJtaGB<<s%G>
zGiCOgo^{pt{d^(#a?O_uTNv(7WmCS-v3<+hkjd+tq8DZIbl>*kwwl6f8*OYAu_RN5
z+w|;FCg0Bn@t14PT;9S^Y^r-m#&vRj&+Mg{JjPRnJMRmcI~rTfSePku+4SsD6W`AU
zp_glXE^c9%TyLs-M8&t;An;<!y!P!=d*U`V%dhv6c^q=`Xhi5I1GlL)Jz+~37Kdma
z@d)iQSY)#P<L&PDOsT`)E_F?a;?g!<+?+e>*v8PyF*z4a46kL)>c2WmETMH-Ys9il
z!D-sF?wpNYnwb=}d`?VE@@|vG&0A+3+vs;WX3cdIereOQ$JKo6xi>^!c6xJR3x~C+
zZ{J6Kuiq1Y$euXwZGHF5?*8|gZtS4h`IXCdRxHYtlgplkHd9?Q|6{Cg@{YxGVr1lF
zuJ!QcWlJ5`^(`(8zHD>nf{FZfQ{4lmzTAbNl_G&AlKC;0Oxn6nojm&Sn8VUrse1|?
zz0cGa#$Aq?bJ>JH-Sq77fVbvMJQueJG@I%kQgHoz<RtF}leY73m#T~J*ZjVFlBDE;
zFV}^Nzg#vEuQfgEEbsgIV)SLEFPF9m*qZ7(@h@H6!93%;*RoWG&r4&kTI|cT6OT7N
zdsy7}^T)``Of{Fb2*{i29%6T$+<4A<X(sC@m$)o9_WJm#!V@Q+Gxsh2=y=&?&(%Kh
z2ffQ$E7oQT#%a##DLGdfWZJfQu9v?`UrAq<8+*D*@8d1Yc3LdWl=I7))h|3t?7@y@
ztrp8Nj4oL&%H(OED%`0&H+I&=gNnY7L5pYjGG?{^o%Jkv{xa4Zt1}h9Wkj{y?Na;v
zXHl@{1V#6Wb63?rNov=sys^&9ZrjSBj<u^q9;RmM?dVCImo0U8^ChKevm`=I7SE`f
zZkDE(JVSlf`J?V<pFf=VjQfMbWv4sWw{UzH@ja$pZqoa3+cL`?OEYE6P0t?X_5EBB
zd$}g&@)m}0Q{5w?u9Fi_xcVmVSdhtgE<37YW0#uyzn8Pr(%8IGkJT&xw>bMEp=nts
z=hkJe66-UHLgdzF@<dOO?{j||I_u)WG~dTJmSpOgWkq$E&Js)9y6EJ@(xuA`*8~_z
z^sm`$vbg!_tYaHPF30FxGU4YoJ$szVm)kJ@veKDQlbtaJ(U&EjX{ZbJZ?pDVel(_U
z+vhDu<gR7U;+Q#gOOeW*b(uW3>rHx-H!tg)b$N@xYZKi=QLdAlZ)W)>M}+P=pt4mY
zFUyTx+q5@%=dzs<%QEGrWzFhWoh6pAaan7`l8hpQ-Rm-Wu1^u}WZ!H&>*B#s-^V)^
zW$Ni=&uaIc_3ZJYWv&*hGmP|qM|-&mT1s22^U~{EIjMc|swa;-v{)_Hcq#I&3~H%w
zUMgAqbcd<SWeK+F)0SNcda+VcmgkB|ALFcNi}o+8e6c*!?pIb+gWW8#McbFTzF3-3
zr1N@VCeMBE^}*qN?(<F09$$Xx+=1TYUdvOAPZxX&^VDIUU_R^Fqp8a}<9w~>y|`+k
zd!WXb+tB~Ai~sVBB7@m$GkG>ok?OnsLgVa<gtq!+g+A9!#FI_V9?tOnZ0K}ZsVBt5
z@`Z1JkpzF0v8nQL72nSWu9uyBu5RJDEaJ<T);vYNkA1W6tcwQ&d>`Lfo~am?5!J%m
zrN+KQch<Ay?aN$mtj;L1EMI$R%M%HMttNR>TdiC-o($f-=9Y!p>Pai&LO&UJO|9t(
zS<0XsqIsmCKD4V~WyqwjA9k3mFEq^w$dyU<uC|o4?Fm-p_rKa=C$}o7!E=>JQkzz%
zTd>y2Rng16^ro$t)P8i;ljNCNoss^T?^2I!@SbY1ZPlcX&7mg`vxa^u44TR$6QFA7
zsCRZckG$IST`6A-0;kIKgsb)?u6mNRL#wi4`M*W|-&ct|Xs*|4{Sl#clHp;umSK%<
zu5V$*hJ7aDMpi$TEqh|IaMzKZ*r_&i!c^s-hiD$C4CN~Ho~pDa^W^<Q7cN{;`+s%I
zm9UVjN7_Vwm26CCyBGWEdxT^G*L<tn7Kh!n%Rd}EWqB`pXLotnyN7G1{;o)V$`}`2
z*%2P5_v~A%v`5Li1=H77)Xx=(yTSL~z-D_9`}s9<9<Q9bdq&dP`Ryme-X%|(%046G
zsiIoM&KX~BMd`cyM;GZmyB&7U;MytKo{dF)7sK8qO_^FPk@-~V&&E#-hu7L1G0^Te
zxDvKUuj<C6-AP6XPm_`op7OCpS{`@ME;l@I%J$3lBKf~-Y#idFKUVz6+x@M!zGm}7
zhWK2IBjVD1A9jA8U-pBwb$9r6ldux+he4Y<A0|DwfBoS!OE8!EsRBb8{liZmL`drO
zEl%ALBcplv>B9m^J^A)i1*{ekZQ4gB8^s99sfSN!=04djq<nI*Q4A0N=>p4&fVS?(
zb&`7G-%k}(?uc$XeSEG_3}fE(he8uWB<t64m`~R3cwF;o;gN`v#XRDNzZ%8xt(*Gr
z(T=FL(?_Qo#mMPRfB0$RR-W*N+|(UTIr@i16WxngcUnxZ(J-9#R_E~14Xb&kH{VU&
zVexIs!=jDbd8W75rtYYDqkmX+S;fiLR}XVGojg7>CAi`8$z=k|C;1Bcm7Tlal4r2=
zsl27HNBt&)p7(R=)z}j~6C)P2CuS@<e(;D3|M4X*{oN-!<@kezZ0(k*RWbQ^e`%R9
z??tD9@=7h49&f82iJxg|RT62cRWfJ%z7(AC{IX-l>=z#m^e;Y6u3MyjpvlF*qqTD$
zNB5*vP02Zz5|2o1x43wCgUZFn37L!9lOq=$Kl;g~zg@JxQ%*iw$d=Jey~-)a>x;{c
z86l#2$5dq9deWqYY<XS_+wxsgt+KeLRu!|x^Gij<+!r4=YF!jgNDUIKl9-$F5wuq_
zA!U;4kq#B_mWQqTSLgX$Hx2RJy0v=goxJF{ijz*7Njm~6Hm_?6y5Xo=Trkl|X1d0c
zM@FqSF$*W`$kC`5NziU}%2^!H^7dJA-~SD1>L*<;gjKLiKNS?=tX8t2Jw>M4^I4Mo
zNt-w7Gy9oUxC=T`6!|9U9Lj1sDSLjaN^*p|5&LV;V;dV&^voumIjlD6=MA4t47)wW
zk}kvt>l{jGI%(bFw=J;eVaV*AhDWXUC7)<6;!&L0y-?+|K~IWZecNQ6100iDB|JBA
zEap%vZ$Cb%C-F}FY;LuCy7T<hN(|<u$OwBpOHw*%<D)dQ|D+1HL2HWQvPn9JOqx#K
z-r^^`)-!L@IR!4=d%3Y5a#s#Yep(=7e6mC@ZQ_~3Ig@_QaNfl5*+VSJ?xd2A!i+to
zZ*&UlKIY{&@4WCR_x^R>5U2V+b(P|eQ&QySO+0hFcoJ)c<0b)pPqBxh2cLZVC_Tw=
zk1ChiKGV0-GcO#po>aI;eP%bm%I6OgQ|#;}>KsU))cV6|6GuF!n)%WFlY17j7jhmm
zpUbZ>YsH?Qd(=-_R82hNnm?)Xg|m@-t*6)``IAat)Mwn8D(^9;rY8Tsr_sV@=X#aL
z7CuJe?jFw`YMf+}QI70*tkPZ3lu-2gzsN}mKVeqk`#c4`J{neOlM3gk&g|w^`CKqD
z#ZGUc&VkfPtvj4HafJWBCDD9(!bhuCi97-KDXQNlcs+Zd)@qR#c;aX-SLF_8Mfq|~
zkq2_EPIs0Ew6KF>IiOJRq=eY)+2POl>&356;*`}<lsq0ZX=j9=5x=&_vt-qiOly=P
zJFcp9Z)i){RD0LB|M$oH=IfL%7v?BMcAQn|-q4z0bmhKRNRZ5{_sYUnH{6W+-l`OD
zY)+9Yn{?*5-lWc-f+wBcC`PvIJ#zB+!#`|F3wfS@pA4RNl2Mu2{#oU7VP6X4vq?Hf
z>WwD3M&$2%^z_b$J(aai+ol&kTbXeu#xMA!%$y|x$2qxnTKFmQAJ=&DSfiCmW??|b
zVUezaQ$0^oKJv6moSWsXl^4BtMGD`#ppM5<tuZx*;mdNu<29c=mTqOLSsu_K7h<OL
z#YEZC`Hin)o87ZXUC-N{SElg&3hG!a-x~8}`Gop*Mv+g36Py^?0yT~#aJfn(xfMnI
zI1%&Z<C+UKw#O$QG7m9g_t!Y`af*}PyucHOi@APQI4)$+*A#gq+N!k2Oxe=E##^zi
z{Mn?g^v=y^Q}xw5->+0Is#!3hyI<tfhfXIuyI_q2JX-CFX+au?bc`oWK5QwHG$VW8
zuSY8%8fnd}Z>|)1G{av}oLl2bqGD@}&Z2;Zqas`zTbu-^&6>Dp?eDsWjuV!4B)0Bc
z@~HBO#H$Xzu%M1)(bgEA#S_{eihMF`a$<A~(m0~Q<tmZuR+MEq&57sn8C6k#o#nwN
zWqOti9B1L$Im1Jdf3n7tWTRFlpM?P(D@D2t-X6dI{=@CBpXxcwr|OEz?lv!fBlCa$
zu`hZ`r%W1NwVmTzcJac|kjnC9e9=#{r`(cAyS<?~((H`k<+j)}FFy5KD;x_wbTLlb
zzU#z>+3UI%Y`Fct?1yfsZ{ku<vFQ^ZO7NYN3h6UuyLvRU`PIWIj$aEeEnu@q3^>|y
zZh>3G(iNXlr8RZTSMZ$XsbAH+lx=FV=f+zK@*;Qk8n(8wO--EWSi0fHg06Sh7wnpm
z9$<UMbcNkBi7O1;C0kWn7qd-$IK#2D;Ld^~8N(HNe9|HO?L4dco7qecG$w^+@cM45
zF_#W$^JTkw(5?B@#)AuXMdSzAt}$L=cTMUFLv~5%l=rO7rwT4Eu-cIlV5wIx9U>mi
zv#NbH+tuTy%~B7lw#Qr#y}HrY>~)`RsOjC+SyHoR1zSvgf8FFn!6xr@t99C5iuBEH
z%<zw`TYvbxw`2LwcMA(+eXS1vSa+*&*YTfL3;#E<@GRf`C$as0xI=NRYqqbq@7j!~
zVy6n0oX#)*(QC7IRhGc=BInBz^D<^NFR!0<tZ?&b`<EZAS=X-kZ|f{zc=wHxo0_oa
zL!(v+J;CF}1$_!K&p97GVsf;JQR(P^&B9sGtDv|}Nac_y^T{vQ|L&f}>1^NBA*tqQ
z@vG$TT)zF0K98Q?eXVr($hx1|>iWwHwj1nv7TRXbcJ*Lx^Qpqs-QSY=)LvRXn6ai?
zPww@}dRv=IuJZh)h6k8qc?#pjmG5Y0)@;=^o%<wt@^163%L-;V@w96^dBD(W!8c8z
z^83UeI`2Kb5-Y-)40#q$Xx=FDNFw;s=ah**7EV>Q4fPWb^!GeI`Fik-d^VoXXAT^U
zF@7*3`A;tU>0YbjMvLDitqlCW;mC^L5jj_wb&Osqib>Uf<>3CLn7Q#@L~!8ky;1ud
zuC*PN@OxZ#@pJx`#5Ug8BU4-t%UXw(`YbFD?=t-ID$3@<)D0qWQ+t|JCp=W;mEE&I
z@p!S(dcLYjl}}$d8j0UOnOyb5%}72Tx}Z)ivgP`+*E_0<7jxX77ufNTw^e4(Qi0>@
zTswbwDDul|)IWJ_+sd@(@~j0m@h7vjrDttergB2#Sdl_x?n1t>nJa$;1kXLRVx38<
zMD9X9w+M~nC)VwM@qt}6<VgF^ILp?%pZEnl&uut;O7_i$qQ1FO`RnpUH%9t;Kl}JS
zdd-}~)l;o+q&=0nU3%|E#N#KgOV)-xt<=*loy%(-5r2t0g~?B{zUKIHvmHyGYc}#U
zXS_&I;I(9%(o=c1C}*wD_T%}#?gU@j(k*Z}j?40fyJFv8)rV0%s~(AKC3MW|HVd>7
z;yvr0dNq{y)BKv)c;D3=8hHXO53L+!Voo1Vj|_^v7}mIY)qcgh_jEjX*F8C{=k0Rs
z(}zt}20xGa$Gn;q&7SFDexhDtlcKJ=wYaa*Vb;lS9_;aaX0iN~uBIX5p~p2PK`Q3k
z9xO~tzf>2$dGn3B@Xgkj>fAS<7Q5Yad)8d<-@0edCI3EKqv&lb9Cv!X=9iagYCN^O
zpWbS93X*VBY<qa*qs$j&4)^+|9TE-#{LGw>k_8-@c+@;P6j?eAt~eOYJ;dl-KQpbW
zrJ1F$phH2X-8;)~Lfft%;nO5a+m`;!Ebp9m$jUe&BHw0GbE@0i<eh=#8xO6hj>x=H
zyT<4hW0>?;f$W~DmaVF((_cG1iC*MCZO$f@o70c)H);HJOl^-*bJ`xW#%b5g_MH4a
zNyx;4H}mICqr1}%{g||RA%|g)@uF>8I40E}59&zt*>3;xgFCCpf7?Yh_MIJjXWy2N
z&Q7^rvm`suBG`th>*Bi%y9k4X`-eBy++S7jGwH<RkVn(b?A~;5Qm3oq-q&6!`}SJS
z|0%4xR42W1(~|62AMETK`x<qG1aG`p)R9(l@t|MqlN*7*k_!!wGroI~=XSv06pu`g
z33H#IYW?083H=tW<JU#rO}!S}Sh8NMSx0P&$t$L`3I~;xnJwI%Eqro<oO68+xw<&@
zsk=DM^W3px$+gEf=j<^szJ4G^%IM6(h0Qljp3HD{7B|j(k;umQI6L2pS900K3kO4_
zihLF>?EY!;#bAAQUS`mtGe0)@UY6LFJ*(MPb)mzWlc5*u_o~fIobOq-;l4_7j>gWi
zB+JP=d(#eVILRZEW5(R4d&{ygM>n%8=yhCV@a(*mFXQfBuxwp!cILyGHd&kPiGAWx
zZjbHPdq3PPX;5Rt%r1Yn;j!~c3GwDFf0{pScANXKC$PNW){5der{bR!I!~6@G8TVz
zuEsyq%cb8-fc-Y7QvI*6rX4qY1^A;sF~@5P+I~{uGW1zCp_x<U(F_ko@nDT7iK{f<
z7ard@Re|R=htfL#rh+%B9o>IfK5jTaCD+mArSD?M8azkEzL_FLhTTqbY0tkedi+ge
z-O7spFQWIgYfh5fH!Fob-pA<p_nK<`6TP~cUtXkLlB|*{W#8LVZ{?mp^E;d1l<@6k
z>$6uE?mDd*r+V(hbU&@4$NjmcYjfA~mRe}XNBUlTx7M_Dhj#qZfL9p~^UDmILyvfU
znYP|L<mwNF=TEdfM1`6^e+unbx*&OOPyOQn@Bc4Ne!Xfxbw~N)`dG_)%k;HJSik;x
zI>)sBpZL|!`pXLW@>kDHc=V_K_v+{8pZw$xI3K_2qodx+nQ1pa?_V>|Ufb&b<6r*E
z4ZZ9`p8av(-d#44{cPdM6HF2z`fWU+`cn=Z_ysy@Md<j<KOxaI+a$f2uU!|NULLmg
zNSjq*X=YK)9LBFlpH6l)d>J1f<0%=W(ca#6-#<BdZrv6i&zx1k-b$B6er2i#)?X6)
zm8H7SwC#PAUrP3kHB(*g$ZX3{otV#GyJgY|(~f&lo+8^j*g<+%hY9U8+qia}bNl}3
zy$t`%eh2patpD9__2=)8#WPO*jeWOy#>c1j))MpopD|SYfAi>(Kk59FKh3Z8IakkT
zp!(nP$dy0OIWGUxo6Ra1`()FDjt;Z*LW%mcC-&AeX8zY{dif_^y!rEdTb`5k-waLu
zTOQc(=efY)&-0agPNX^>G2E&1LB#EuPRyAFpXMJmo%;XGN7eV`A5H(?JiPr+y8Qjm
z^O?V#Sk8OV_`jvo`WUg8J?C%U6{&izqvt&TPda<;=lPbup4#tzG5`OYM2CNy`x}3r
zXJmU?cj|Ec?wGH?D`x+ec;Ndkx#RlIe!*jZ?yfKW!TxFS9^tef_n+)H;Q2i}{{093
z&GA7mqQCg2?0PY~`JU^2iz?CD;)|PK%x;%+z3;;LFXV^VgXoLf1>(E+x&HVRY?sW}
zCdQ`}b>-Efe4f=^`z#|Ozw{=%UNjd!-Mz0eBJ9iA<ChlYGft})spa0d<3)6fYpc*>
zi6DnXb9Hro9ow+r#q8#vuJ<jTiPjbyZhtYm-O}}b%^AI4VhLdvw+k3|?{l3Icw)wm
zE-sHP`(C=<KVq`!#q1g8Vzt7;M;GPmsfpJL%Q{^&mly8d$2ue6M<IVRSG-HNZVjiw
zf)A=L{|`;)`1|kKblHEIFY6aOYdyVv`RSU4TdS9@_&Pt5mnZuT8+-O!w!TvP-^qq9
z0c~zGyGxpy7g`>CxFEQxdGXV5*?%5|CvF?vzI`it)~4Wn*HpNjZ_h9J(Rz2%q5Eo8
z68~Pi^f&+4x_J0wyjoSvAMs8({{NYajvud|_JZ@neDx})KkA+HIQ|y~g<53z*IV_x
zxb|<c3;*Hz87~Sy$g5OY{QK+D-~Fyrj=z5Ti;o9|Z5ijd_;XBq(K*3u6<6Om&vrrC
zpZll2Nc>?gY|Hnr*M<N1{&_D7Kdx7;viY;RQ%?TB*CO=;`{%si{P-MXjm}D~m=aH`
z9*JH53@#r2ps!jb^XIY)|8aShs+d2MUF!SW?Y+MI_~E)p{eb@Dt_#lBRl580Jf|_(
zt42Eg@tvf4X#e+g**edtsH9-7*Y(rhB;EM1x&GS2{>d?#Z*-0yD_A5myZQ0c8y0<2
zlZy+t$jokcetM%uM(?=T0}sn>0_>+Zx>^Ld#aiBoICk*Jhi&_1qz~^a%HjJqE&1_{
z;J&j*>)#dS$dye^{%p8XCc2^X=?y0zjpJg8HIk)AH?HhyJ}J&MB{^9ly6^148AUlV
zi%)OdDG}Ot_V9_K96Pru$=n8eWTHC~pWaa7(OtYQCP(jaLQ;cFL|<>BgQXe!-_sil
zzi1zSwkY4y%rIW(__O2!%WVwKr!->uqfZt5yb;x=eV~4uQH<iY=?}X$JWk(#`{DDh
z)zO>#?afXy)-635S|t3%-^b|i{C{!n_rsoSn|4g(-<cK0CYkLk*JgMvT<lq<`2X9+
zkZH@O91^e0Z{m~KcWSQ+vq0}Jbz{M|GZYrR6mr=T*3`k~CBR?H@o2HRBhwcpkB)gP
zofjUfCqK0Kk)|hOSU>5W635}(CW{@;0)4w#3Jd!c<lYG%InLa~QsFT{pr1qN;l`a$
z3u?^O1)Z-8HyPNdb~LB6Jgo2&5ZC8;^ib8YW{;9b!*v$Uk8KKq_hxY)KUz?nES!AQ
z`t!jfA3n@e;Ik8Gd93Uhb4Q`0eKyO-k24h*?PmI4Ic)HG>*M4Vk{$IM5Arzim^E`W
z*G<~(rMlpQ`+dzXKWDNUe-Zn^?=k1l|E$A2|GRmuf6ULF@wk3dqWJ&yywyMc*Cigb
ztBN?UbD%!?u;RaH>Any5BfYLV>fg@q=KHjNdt%%FgKe^Z`lktt|4ltV|F7a)^S=Mb
zonrso7kl;a|E2)>|I1t1e%R}n9I5}Gw@~n(d2Z|;ho_6a>(oBnbK`))=k%iabIq0*
zm>)c7pnvdTLS0jHvSZWXqlZ}9+g&;N<hzAs7^kUNIO+HnxJ0Dsm#`bZX&1PD@DmIB
z;Vzc;=Bb=~eWBlaihQ<9$M|fPw(;32UE{M+n#pIIw9}bw(n@E3-qhD&6Lk5%Z>n+q
zpL_bcteWOA);D;0{x7=w;DP)tUYY*~@(Ml{?>=}q|2D5(-SIq*55~I{kJzW1yZm^*
zR`u<J^0n*&;>Y$Um>c}D-F@)j{q52g|2CTT%j{2ms+aIouI}=w+CObm*?*L3^MA|^
zlY1ziIeW&@m_Diheof5}?_aw%Mbw?Eer8JI55@YEj~=X_q$4BhDJDMEQ;a{<Q%wG<
z=QIXW&uIc%J*RP$az1^p;APRVBk0v+9Ufj!G4}eoDGxJzPUyPNcu*jyVqx=xlTSXb
zNx5P61CEdH)hv|$v~HVp_0FwTcXJAUFE`5J*?wxH<&Ma{-p9xMKZ%*%y|y+|?0hLV
zZ^2ogr@d<))t9V`(l{(yyGS(nC%cu>$5iRG9VvEYxvrCaYA!m(#u&&)o%^5i=(E|G
zf_Lh<`vO{mEwY~SxUac$P+I$WMeb9*xTu}&;$iO|ubs;NBj>52U1ViT|F%b^1=ps<
zlmy7Hne%Y(RO^cLr!wo;+&NmT{r$t4Q?)i*KQZ{Pu{n~g-T$HfMA#mg^qiBGT>NY2
zJZzk5y(9mr%=a~Sj>c+#FF1axR%gQ}2JW>sN0hYtH{1x@qvv;f(r!(ijYVxs!`>y#
zoLa22y+~Yp&7H$u+TS;xI>o$Zn`nP~u-Ti(OL*BW5)Ui(-7aWJw*R}bioNZ~QRPyu
z&y#(5KG$6`D>Dh^ntZCj(5GJS@Y94eNxeSF)EzNC`iGw;MoH?)2ZOet1-5A)Ibjqd
z=%yMzp?N2GNcV+N3{Uc@0!xYLw(exFqGy)RRA$GV@iVKOF*WCtq2A3e|Bb)hJ2+9M
zd|tlK9`VBbjqkMAhTYm7yY~95>hDTcoBzz0Sm61ngHeIOSme7(lgP^5^`^^v6~21}
zas^7g-}`;u<GtVO+8*!yey{rd^VG0%=Fpk@&%Cog;aF?8f8D7+siCu8y?V!RxQo42
zBF;wR5Jy$eES^cl8)TQZ80P$6zF+_M>qUu@YxFhy5@S6JWwO1yiZ?7<Vj;fTyX*6Y
zRZA@7wY|HzH!NDRL*TS`SNDcBQ_>#S-v~SP?uh8FElVugR8@}_>gZ{nJGdxl)x(5r
z%|yL@nyP0GPYXI!lcT4qb6{D}A*UQ2Rh>g^^}il(vR|_*ckXYgE;YehYR%s1(V0^o
zKDrrpU+?$d8DV?BhJ{Z4(|4rLmn-ST6ql`qA?c!qC7Hh#Z?eyD-1@2cWVwBS<l(*i
zPpa!XE5*cJ!aVAFov*7|YAW~yJ15`1_Tt(X_SF5#TmS3{W#hN^$T70{<xnT2vtRSu
zg@AjztY$lYS7S2%k~t|~lj~2OS@iU^`ZDUx`?e&!xUOP<N7*HpZSU;=X)i)GLiG%7
zC(q`wo%L_S8lCNu>Q7FxpUiDLF2CSS`O~u4%2b(xdUaljym|%uP7TLYZ_W9yCOnKZ
z&bcl0Gp3zK{}I0yrv~4TO+WM7!ds`DD%NSfe$uziymgcLvsa%Kww^7pzs?lexm)a$
zct_v!D1}~0Ka*Pjqo0<SSIy0KEohtfJSubdL#2O9_Lb`$@;7yyw$|(3p9!rm%Jzi{
z8I<@kRX)(mJWzkZlJm(ztEG(2$3L%q#B;>q-;EPD`X}Ayc`S7Mle*cl<=Z6HYOZW@
z{&`p^|D0-{LcQOkTRV>kKH=9|<NwC-U)Q0wBisH+HukQ}Z9ChV`}4`f55~c_lXxfJ
zm=<|s)~&P~-vVo_!k*4^6=Ga{xgjxF=tOLeCv#iAUDxh;U#7p0v8})U%6e0_N9{+y
zaM4rxcV8|$Y*iAz@DJZixwAJX`8%BqULPR6QMbEHXY1Jlla0F374=!O6XTPjx4pl2
z#q99BBinNB-(8t&=<1ns`djSmTWJx&8TA`=qdz+q|K?Vh{kcfWAjWd~>y4{F#ZR1^
zx$3}H{>Of1jV@L8J=Ql_R<Fubua{;Cx0@ng?Kf-Iv9hOEb2oqL+MhFxXZo2*j~7K+
z?MRrWcdlOJ{eM9t^Cpv-x`$=EpYF)r$d?znrhB&Ur{*)9+WSk_tzt{J>-l|IEG{&-
z@p2Y-Ncf{Ex0tR@Ee$P=G(0o+Pr%L6+Hbj)zvlfgxVpv1<n6~VeUH~SSx>uXn4Nj6
ze(_6_%^{cN487Y9r=2W3p(86};w{d8$@jL><7Im%<~2-7-I2FZ=DN<cgQ4A}g{pVA
zthumd_a)!ckCxqCxve4NmTVTciFxky{wcA~XK(xL{_)JR1COO2cjiB}`Vg4ae)j&3
zqXi$7e>#@k{UOJ<w14VvwY8_d7tVOJh-Y%q=G7wp^))-MP3(Wv*?-|<i_zsPyH;&n
zxN8;PTYIe~s`^j9=a*biba&@HW%pP4=gyxdBE>tOIc>XeYE{{bb<>oNzrL{8vbi$w
zXPxgo)0EX0KQDIbULAES?rfw_<jQ&G@g<d^-KTxMI-iP{J$%{zv(s(Twqui@eOB(T
z*RVQu$H(HJ%l{RI^%r%se7>|veJ=1zwmX-lb3n4U)#C1wEuOX8WZ$i7&0BGNE`RXM
z^s{g4cmLdUg8Syb?IkA)gPWD|&Fy?rjoa25Zr#bBvvAYj<tvtIZ25m(e%Y=0ns4oQ
z?pz+Yb<1QspRM%=y-W@7{`+JtYqe6f?{>Y@uD``wOph%OKAG~n{ECEoJ$tWZ#(G2X
z{7bnfQhx8hHlsgWiu*%QvSQql+wtE#-Wo*NZpio_DF1AE?kBl5b8OaXoq1f;ka*Pn
zzSi%(ObJFQJDnuNYQDT>nOMB;chRv)E<EecZ}r;ecW%$K<=<4E$9)cRIk!(tt0gh8
z>xASDqgI18K7y9q(W?a7j;;(kUHPqkb;-)7Pu`?ToqTzdTh!3TU-@Tq==qQ%A540m
z^qblSPK=N_bu+$L_(aM%-))^fPba0sK9aAQyG)>MrKVE(=Lkg}{~(ubCrVlk&UmDC
zo))W|qP6)?sGp6`R^92jpG>x@-()&3qBLo?QX#j7%N(<nk5B)(?<pVu?0=cm$v^jl
z1nUo<EzwN<cYjHr`sMromfz3v+~#wV_vb1W!O2su)XB{J&u8x|d{K5u$C>{}o@|tv
z`G0+|N?h);I92{@maZxPc5ArkWCy#X{jbV8|EX)oM5Rr(a+)qC$z3PDRV)zLc+X$(
zrrdXtLj~rpDcN@dJ2EzjOw29xR$O$@&MPG@>~Gwvdfk+(@0Z;=G&Q$Scc*;#wxd@;
zDqIzLrU$!hJF41h5aS~lxqzE1(PF;RCf#$IEe~_LPHeMSEYNmYQ|b1jDNYi8%Q|wp
zg}D+XK3sNruGoFqo>$_RYNL+NeUpS2lUX)t?7iHuFkI+F(-$A+HaSlP|IWaZalZ2e
zl_xvQ6SzCMUVq|q=j~4_?}fxqJosdpiQx4qP8Q2FjvTzQ>`yLBWxme;+)dlhiRCFj
z+3#7Fk$7|7f1#U#D|7oEuFPdWY<G%({m1EdPOg7_W<mckiC<Ygi{~Gc`Eq?mH>1>N
zgBOech^We4ot=NcSNo2VcT(HS*3<y*KK8GYD(N#k3o04UDp?+`f3wW~;*LEt^5*Bd
za&DYqf7|Q+<UL!S3Aj%XzY)`P`g4`sNy%rzG4q~sdz{-a;lZal@h(M%y$V@6>l;>w
z^gf+h(7S5m9;Im@^~VyLj_?2CQPj4u>hftdX}83-hri+;X&&X6)O|W+eZI}!9e!8L
z=cV3R&|;&WC)C;TTxM~HbN%VyB$Jbp$6lCD{w?pF*j8vY&05|+v5nLBr}bssh<UlP
zv)@OkTRJa)W_?XJW?ruF*S)7F=dRvYUb(JR?VH~Dt$Ii9J>9v@_x^61%iCT@%uBb{
zII|)6_$Qs)u8D1TeSe;d{8pFia_4|ag2a?+aTRtKhyPc$Nz6QQNHwnI>ap!=^+#68
zchAcIV76JuZ%+2t_#$(!S&0wtUH|yZ##H+!x2#3kx~t!d%~#B-e6;LFV0WrT(ngtf
z-D?LGyGsi{#H_YIzcxHHTKj#^*KL`G9Jfu}<7bO4?)2?$d>Zp^?jbSV{ASq;vlj0X
z`n~m8#y-Jq?_(Z%>96=Rcf;Q2QA=+{t@*IA-u>pzJ+m9`o;nxl9sNG&meoFQg<Dn2
zCw+c$)}wG;^qL(GI@6M8AGMm1xlu1o<EP)<-ZKf4e7ik+e7hxkeY;=w`tFukxux{o
zwC6T!EnT9|U!Aph=i28Qxp!->7jL^0nD@P~Uod|1jqn|NCpE0yxV^wx!|^HaLfckp
zr_6g&ySdHl6E8nnd+kNY3@K3`)x)z7$@Zrm`xuqX7ngD7sCI8<#WF+rep9gr3y(SV
zXx=f>-%xSuM_FlT@=@!Gh#m346Sh8zdJ(^4V_3r{wQ~%m*LlB6tS~BM<Gm<xJfOET
za`mwop7720Z~Xe}Rv4DtGV5<d$7C-4D_kaBTs=PF3i}c^+SJ!79ob;IZJB?|j8npi
z(YrKQ?@3+0?5fF8sC35XddErO#A(MY(z4GS%<A14vDA=X+w@s->oF#tYY`oeFNGVI
z`nCLiX>;m#Z)8vKXAS?B->=pk?fl@7`pBhXiDBP#sp5jLWW94MZ|cwCxPJAG`FxJ=
zSGZ0HC)Pjo%Xw$Mc9v9jz3bB1tDd|xSXsawAH3;jd98|knrVdL(i=Ym?WWHXFDiQY
zuiop}_R`}Me)nFlw9o1~vf|^!KOIXicF)aMKdr0$Ncz^Xzt2zEw(FGF%`@5c;hyI!
z?Tg<<?#=h8RsMDFP>;pW))z^6Tl*grneDd!zQB7@`1R9~e;K__-28p;&=>u`^-Ev0
zYrJ_-u<&Q{pRnA$e@Z(QTgyA57DQZmF(G*YpX?XC18u8jZRcM7xzPTq!r=(nqMnNj
zn=jg*4L5J=6#eZH)nUr1YvFd`(7}SJ9TINb{Lb~W_OD5r=(X@*hm91^afRkWgAdDp
z7HgWdc30IrEc0eOqcx|aQow#rE2sV6`cw7)*1sw@`tj@d{@`!*;rkC;sQtHav-|e<
z$?eqr@w)T*PPwOFaI`a?=EC##skNA4R&aFi)rjc(A<eTt&D<!m`mpZLGcyuG{r=tc
zHq6);8u_=5O*-YjvqW1j+u@C#rfW8AKg)J_!_fqbeG?DQFj>j|uJ1&5$U+|X*)Hx=
z7QZ^2f4qKH-TF87M^|YzFV&UiIX$a9^eFfKzmpCY?QA=B@kil~=bzL<KARop{>hj9
zx$HD^p>|6Bg2J|`zCXFl(!KZT>REqspVe94<o{1DO;Pi#rRJVz8$U^1`!nlkQOU`f
z6)^(G4+{Of7d5N%N>XNV8uyN{o>!+$ZfU%5O5MIlBVxguY4uObtmAJoc9&cGFD}|%
z{B(Dc<t>5#dSB+4B+Qt|WYe6PsW44UzHarK@1Gpby)>>+dhe{parm-{;O@$00&UOF
zT87F;#iSbWte)+!a<Ozx=FNnN+ka-=`Ekdl@z~yHJ|aD5{PiurdR&%Yv|LPU^0nWG
z?R9^z^P0UXTl>`NjedOG^_kzDzhv9>a}~EbMIO^)Ke075eyNVnw59!GTBk2LYkK>9
zPK{hYrS;^dlH9y~w_>{2dooYlDI(yzRgYKVn)&vM+<ixPYql(`p4D-;B#gs(`ZFz6
z`G0pV+>uwA|L@KXC*l73JSC08&z=c8>NsrQl4DS#oPYPo$&UQ@DIa=k<hItc8sz+S
zn6`;EWrM$BQr<#=K4z}Mf)GW$KFuSC3tN9!tewEnE-LhBf|HVrPq@>X=a&_ge!7bs
zDsWPiSuXPEK}ywezHQ4JyJa&UB&JLKxG|NDv23|xhi#@n;(PWYm0Y$@hXcy%)+n@9
z+fR#45=t%bPUbN;Jyz(P%(v^GOR8S|u8cFz>AgQ+EZN8)Ybv&=`Iyp{{^dfP#|q<h
z=Vcr;mrAZ!Yq;^r#TgObR^KfC;Nq$$xBA3kWznA%D;6>Ehlo5{*QIo)@44SwqZuC?
z=lE?EemUcxV~^6DlMAmU=_=PpIQ>zT%A8bF68%T>cKw<Dr=dFk#ge}CKc4Z!xyZgR
z`BD87Kg|am>~%_NN}tjf9X*(uKKu8<XV=!cPXG9Yo$cs{GfOPy&7R`%j{k^ISJ2l;
zL*|LwpPBtLuVy*irX(oyy4%Gf;^X~)yWadh))7@{yzafd7-!;(V4ar5<r@Xq_x}5M
z{`9}%KgM@i=W87=nk{DRH2YaZ)@8nFe-=yR21iX>>sDX#O~2kjx-FdT@W)#TGJAeD
zM*PZB({p!YNw{n;VLQ2Q@6F<u<}>yx|2<YOaJMv(H!x0D?dffMKjF4qu7@`k3b6kc
zd6cx?$>vR9NB><e&JEh0QQLDX<gM++78f^$><Nwwo-8{3jaXCH#F-0ZqB&nB7(2%1
z{E8^4IcxHL&;LpF^H=sHE;{BCzSxj`vgxx&*}au7CMIn)eJdqp_*&S|)ZbXJcjo*K
z$w=cqd;0^0&nF*wt^M?UQ~HLeWS(r3V;jSg`L1Q2Ia<|Qd1Ha0ytKXNmc*qxpO*O_
zd2q+)h*MrMSI5yi(@X?>XWsIhDlzT2W=rB8Ckr)=BL`htcg$EKz+XT4GP`)XkCerY
zX>5AimN&Lf%zTg>BE=#xmre25633Q}nhIjdbM5^Y4R89!$uV`@i%{hGD{|;!ydoc)
z#*w2AtrZee>@3``n-pBo<&7!vXD*Cd-q=4cQ(!^3RLhIGY=Xa*c&W|5CpU+yQoq~s
z<dM{D?G09v6W8V*nAM+ZKZCvg{grFse}jW}f7)ih>ZHll@V$Til+XQnqksC}ln-kJ
z3f>AkGn{6R`R~H_&V}Ll;`b6$n%mW$K3e5jx#N@UF0<B6GCw8XR^F30t5AQRU!!zf
z`|j?Ff3mkKDsl|$)=B#`WVcnd{4APdHpTeVZ|5!RwM}km?h1W9EBdS2-BqP$*H+bc
z=UuhBxa#}MZ!3k@R-IoG6Jw&uFa9Fuis-4L3jw#CR?8Xua9VwDS@hO(M~!#2zOu0~
zkdU9wt9C%u*_LZ<)un)QPOIPjk4#FL=D)BozNg^3{l%5d>{7fgZ`^YE8#`n@0{CrB
zwd8+EI0y8_v6OCTUZ6Kk@XFz$-c#*vec4+(>W^I9CA^Vup72J!c|unX3r{`ffB&AU
z#&O%Gu78~i^yCCXzA#8l-Ml=V<!Oau0J}ctt4E@awtKFvsOflV<7lZTbmgFG)2<yp
z0sPumwsp+cjq?iVJIYeJp<{ubn9!BOe!ZvKSEvb0NtAK4JSKGIphnX!3Eu$z$EI5H
zXJ$DCw5_aXc{;-_fc-M(t0Xo@+cQ@({#fWb9-TFLflMvus|E6ov0v0zv@=Rg?VrG_
zs&Uw$sfb5?MYAAFso|sra@Vp#z6H+aIJE(kvN>KokZ#&_!z+OQwux5ty1Oi?H=G0d
z_Ogg-yEpBc;TynT93o|ZaNnc_JgYfQZJf1$@0vh}&w(rT^A1eidq=eQRNOlzN6B*n
zQy%j=%5J%SO5yjub1YvCycchJf7>shjg{r;4F3T3&m6Ck^c-#9Tw3u)&|F|jqOGIl
zHNh(f1)6qA_ylZp@x1i^Uc|q$n&S_?`tJ|__Eu%;{i+FTk9hy&%b&D;<=Qn>tG#A~
z+yAY*IbX!*QCsrsABpelyTx8VT646@B6-V4bIyo05lqo*b}Z7pc9g68>xN4iPb;^a
zOLC2l(mBr7UApmPl5LJzRApN3_J}rFvC|tbC&j+m7QtWL6V`Wfvd*-`O-GArayRlV
zi&)dWQtWl&tc#}%YqlLVI<qmNZ>N~{FaGXQ!~03LItEde&-AxOw55uj-dKMpNj7G4
zg!pycYlnFkPc3fQEq1!#eA3aZ<4Ll6HbiW6+aAGxz9;OQrd+hnVdd_p6&V|omZojg
z<BM3+-t4CRT;aIRw1+#67R}iZ!LF`*?Wkt=*MeIqkNdl2wnnrmi=8gKkt8d#DMH*|
z=i1@m#Zwnw)$LB*k-1UETj$!rr0&v<^(T^Sbxfixr}=J)X!|R6dgJ*d**9Aw#CPk2
zomtu&)>o3PJ1w#IXxGoRN39}KH|m*1t!aO0|9A7I_km{r4y=mYwIg?$+`3sy_H0U@
zxwLy`+RMbYnzZ!_M@tO9_nnyVq}s0Xl);n*GKV={C0IDd_Wbz4fBF>HmTiK?cK^z5
zz8BwC|9Ug;O}8_C`vNabsNKCXmN!lPeoj}UY+CIf_0;;1gx;HN$3C6v;`+Jb=^=lQ
zgBv=;WV$mSCY)67<SdFiv3<t$NijU@kMun{UbpOWQzD!0kw1-MNv|BZ4YL=twli<}
zuyMK=<F|`SNBp8(ZaAj7_-LLG|G-mru_>|q)3a=+l!E$K$+ryVm`U^*vps&W=HL#C
zbOX6_(mnm$Y{Cx~9c<Z=Vj!p|{Ct~%O!bR3mN)#l#oIF<KGe{yky-58puB~nutQ9c
zZ&G?|v#3h>#z{})!Y1B1eqDv%p!JF3vPm|FOcpV1{dBQ&ri=5HQ|)SL0=eq0Y{EQk
ziF*zf^cYL9C-WYwKPu7u(co4>O^?wG24!BgBW}%|8yfxij+aDP%y8r0=(V`DU2}_o
zuYWhg<V?XNAzdY(5LegA*yP*4bogrLR5Unrow_Eu=DOnHT~9Kmw@d1%t&nKrIJI%Y
z0zNT;kS||Yz8d)JOB|1q?Yh~^cXaD=XMXLH7s)&Mm~}2Eb}Y5(so&tDU0Zu}_lrew
zvu;XNKIe>`%wOoCXU~1ZS5{d{>(tY7gVYOm6C|o6c-;M)3oo2bi235T?{1_)ZkG0D
z$uEYQZTr-YUOc}<=8L(eIJ3so+3vg{!q*i}ZHv#Hz!tcw+3LxT#m?;8OJ5{4)-A}2
z`DyXd!;k0w%Bt0Z;ag=xQVZ&R+j*{+o+xx~=gWI_;ixX}wKRk9cAmK<CoTrI^I5&P
z;94ze78drffiL@`TIKf8L)%KHKJAT^@$<`j`g`}M-=e0+3QTrhmN~tk*663@i4Si5
zPb$_rv#&3C@n|WZ?Vbx>M+$wC`TDZY9Odk-v{-2<KX-EQ{~4?=mTVM|H5FUfTz~47
zQN=pB#eENFM(wnkcyzZ_ip0WYVRoktV%quIUS2pFAX_D|XzHZLE5p3l{Y{=d+IY;y
z=F*J*b}8-;4#|q|vULva?SFcB9_zHV`8in?%ftS}XB9@x`!UmXcf}{!Zoa}RpRz7S
z9nZOSH2Cy6*WAAUI))v`t>T~MZAp0XYqQJq`gsD9F@F30Pc-0}&g1rF`pM-sLdOoq
zHVbm|HVbn1zMs(g?1j_yV+TclKD3YB@xo>Qp~JktB<%byDjkT7>foMa*S15;Q&H-7
zNYSyoN)qQ(+wZiTcwjTLx^n3}V~M`#l(wg))t3`u=9ozEtDpGQ?fpY1R+?S>M3`Xu
z=geQCa(VTakAEm^6JzwdtaQZlmxQ2S&7>XNm)Eg12j4E0_;T|7)W6GT>EzF?wQgqr
zzqqpbVcluA<9ny^7EXI^zR7fIlGwM&&v@HTe>%*vleh1%t~6iYABoicvu|f~?bm)D
z^{%w8=0W#P+f%%4p}NvCtE0_i)||{g!hUbgeaD&yvbxeT!S&H*GCrN=t0HUWto_LM
zh_`L7uC&bWf5+vg{PoLQ>=~2v`?H?irEP!o9x3-`-FzVNQ#f*Ug>v}n#~at4Tv{A5
zr=g<xVc?$Q70r(ePq#@he3JgSk?l#jfc)!_I`)qn{kG*;SO_mSzL(Lpf5nTEccnW^
z%FeYuyL5ADYV+dk({0V~>VNkBII#M&bqD{RRjJL%X{XzoBMW;U_b&UPy)f_SHoNKf
z>psdo<~3x_yZPY7<xLM4l-DWS6`cRW_lWs>*3Abm?rwUxAb!usIK9ntAITn(=8=sy
zv#{EdV^JZQ{%vne+Vbb+0`kvu>~!qTbxz|oT-j+Xoo{mVe$zJf@4I5s+@Cqs7tfTv
zwCSMXj?}{k8}Bq0&%8Su6gIxP(lV!`&17`CjivLS@YQ@%uaEk6cURY{oZzF;`P0*1
zZx;P3D*8S}``+1W3;w4sdK`4;_D!k8U$x(+r`FFh2>oPk|LXQN(`}g*yO-t8=HdHu
zO6tId`*I5FM5`N`_kLp#ude%Ao?1VZqkhHuwNnK}w|@QlNIr0OPU!9&)n9er`cvz(
zIado$ZdxT3nE&PHoA;;xMfKOL-m`I!)z0Jh=KsCEN&d#8sIr^gd}~*(z4-0c=gt4u
zzme~1+4g3K`=+;1*TX~i>53I9En>TC|9kc(d;J+*x6E=+Wot!m&&>$dn|9*R>GRQx
zZ>QHsf2$Yw@+q$SEPu-F`uTrr-c)A=<ks=W+WoG(IbUOw?>66iW&eD1j74Yb$1Q)d
zP<!)Rt*mUR*V(HpD{HStZ~gjy>h;t9h9y4RB8^sT+~ynV`Ym^3Qf^0m5wq-?iC=HZ
zZ#(<!Rqwa?e;2&b?>y19>(#MUW}m#BU5<WycKL{>tJ_xX_4Vf-lximOtoP!4d?V6p
zMzidEwRIgX5uvGTJ48b7<nam26kxn<=es3JX~C|*w2ajYC3>%xmVUjteO28Q@1N%L
z|ApS1|1z;?Rlx7KIj?l*PwI7wDVlBnw|mq7Mefi17XOOMG5R5IYBufk_5433g|%BN
zUUl94@G^dSZ9IQ&@V~{o>OXy7oqgI>efPcyj>Ef>6lJn|6~Es6<aJR%a*d|gp$)qt
zIF4)W`qV0?9QkwVmzjaVw*!Ksqt%(hE-;q9pK`rJ+ml7-zsW_*Z7f?tqf2fsPntTT
zW3^D_-M`vX4d48l8u4z~%o)WmCM=xHXKD57f+M@^u8j50{P}e&r+LJ*Mrj_cS6yx>
zZfp8%Vf(R~lIsx-c~aaLLz4w}{n5G`X=*afd|U2`c!#MskL9>7mN1&8C7z?Vs^F7(
zyKbP~@tBCDgv`D@E9S87Jh4reQQXd#$9gJnQjDN;;p?2eF9hp7r7v<y@f`PVF8pvP
zA;!jBg8w|vvE#wboFAS{?=)Pu$uVueQhoG_OUau>f(ti4smPedCpTkh_wW6GK5zPe
zN9lf6bnwN9(CA=|OSZGqc4S|cDX;svcGLari>8uy!Z;4Ejj!AN=KExo=9Bw_1P-f+
z7W#xtZ06J|GK_PTJGSz~@d!~?iN%Wq7Ke%?URi(X>%$!@ue5jn-2TbxoPm?8%;C@{
z2{XE4>UlyZwkv9VGK_F#WLv3m#6i?mV!@T;2YHv;`LX?-dfk%eZ^)60;jVnYR-ACn
z7p;7;R7u{te&GTJ>kyHo-CasKzg>6P>7{8@mmg({uAF=NLvNSGwiPE1rit#nu|$bq
zI`m0$a~IQ^@W75zt*#AWEBo7Ddezz(-qK$G`N)fPN{02bR-ZWNCAu?Wp%VY;&?m_=
zyO?xB13Of;x;8|t?C;O?s{O+wrn&yJq}ZyC<i;+UGvVLl5>^$}wa-{Ifg@g>y}&SP
zYPiXjgnRlz#*v(xzrOFN4BWPFSJ;{j&!cn>&xu#5^1PZ>@KU_fa``gj8{d|mHO|>u
z)tA5K-a)a|<r{Cls<+MAR3$IH*6sk?YW|J)UMa8neQ8o$TlcmvU0>F3eH*u@uJ>y3
znr&6=tJmB+>b3g&hC{Dvb+-RvIK9U1NYLv34M(QM^>J_e(seL@WA67Pm6(*TGTdwL
z9b{TvZg~Hd?U~J0^2KZI4#-4T$;{av`&;ka%`NSl&E7ul@nyHjy{zbWv%aKd@-(~N
z<ZVxnF1qS}!{l(`>}M8fm-+f`ZRu7vd;4&M?{ABg%Zz-tN;;U$WE1zRF6YaOiqlyZ
z`#Wb=;(FiWHybnAcjw+ZsyF-Fh1<(&zifKRV4G{@!auw3!re*1;^sQ~V!`|K%+6l8
zy3BIdjV;aR%-$}V>|6aJ<FcLAjgp3M^=7h<&Wel4KKv^FY);jVyqnu+)a~2!Cfdg}
z{+7vc?%8D@?ktP7*__GmpL^@L@NB*hH<mfuY<kJTuWR|W@a&{uL)N;4o7-pb-QS+s
zS8ew8!8+gFJCZNU<=x)WAGxiIbw=i8#k3nGEib2K+aCx$-QCQy?54@#klD{>Bwgm)
zw=Gk=esb=u!z#1C8D3n*?6cvez~fx2Ll)kC&M_PJSe!{%<7?crF|+TY+1rFEzPlwd
zFUz^z*wX*dOg3SLZ@Wa+Wx=+HBB_U)o*oTkYtEgOIKj7=XG<nKbIvW-`q|$uoL^S^
zW$R0Z+8nDRe5cP?b#3^2`pDj7SMwC<?1F!nk670mIn>r0>qkd6-;7@-{#$hGSJN3^
zQy=|X+fsV<>DuhqNA2=!e(v3rzudR>>2Fhu@0pKs-^-leKRK-Vb-dcU{m)`<&wA7U
zYLlo_-0x5QrzcGKWcyJnCNxfHe|S`|-u;WK4vMPkv)<gMc5V7pC9$lkm#2?ZFKODY
zxxQ}owtc-J;-R4z=ghC?j$fu77OdSBaa%81U#m9GGdMOk)H%1e=BnjVp3<$ny_4qu
zHL8_03A^5z^JJE8v$5Fef~b{cr_u^eER*EBWpbQxcG-se%VOVb&g8Goy>(n}Hs6NZ
z%beeAddXp}Yx&e7wQ^&`(XX2_+vb^_y?A(;Y{~Xa@w+*<oWo~-yLfaNbA8FSmjZKh
zteo7v{bc8C*%Ptmk<|?o=jz$dDi)W_)O`D3tMBfL)XQ>lx3=_)o5?=d>DykBc3E(q
zmU2qaZi~c|T@goZH@=Bp^GNQt$#Lb`Wgjjqi?!L1$^Sj~)^Xd}d<EAhiSi%UeZH_i
zSxoxDilc27NgD<E>StCkN8Z?$bY<t~`tBncI>)AVt*PNzzHONkpUT$!y{)&S9KN~t
z-kfzRC-v~}qz9`Gu8ptR{w8{5gIOuB<e5K{4)7RjoH4YG{P0Y@=ajV6rlK$Nrpas&
zIxnAUa5X_<nFP=A>uiq`HXYnCBgH^YO{%A#lTA3mCO6C2Vs_1an?#9o5<JH<n+pq0
zCB)Q&TZTNxju$p_7U=x^;=4I>a{Vdo=EY&>3y!?9<h#6Yq1Jzin+u;j=J5Q#xaPn?
zf8o!YLJmad7Cg9oWXbZ#YY8z$WmnHU&V2mu$(D_gCzmT^8GJi^<jdAwtDfCGRP4`N
zn)|6fZG*n$@ukfY-_+*c_GH_dyCyd_F8F6$XzF9@`cE%RZ%nQ^q%63q<*lFHq^TU;
z>%Uq3{Bdrbzpy{6nsQVXtIXdydNrGp4@^A&QfTkzc{+75YCmP}K0N>O@kx7behIl>
zHWS~v_|&>)%b4uhXLmayJ}y+5ldopo6T|O2ytH~PTmELPERNy5y*y3f{~h1xpD&V<
zony_k<&Wyh9obO-{PU5Ow}nC?V`JkkMqJhFzMI8b%=l^jj<!(K{N(G;JJZ)iC1q{O
zzit*bwOg_#<{MAhG?C*Q7B8{rd#ifX^2WEyMb9%nm(Bk1edZ=pQ^_9BZ!MgM4Q?e^
zxJmXjPdw?m(4?qCVxe=}!;?>=<<c(nFW{-=Jaw^s0biBym7~|zm(;&{DBbkyhSLRx
z*_={I!j8&0f4o*395>jTkhE}v<Nd}zi4sjkYm`?sZ)GXn*tS3}O!&%ittPez&kF+D
z98!rp;}Y4OzjAd){xPa$Px`bk<bGqf;;+ibi5vK8c`hY3G+OZ(I<yP^$`0^h*;cpC
zu`S;&+*^Nt!yhw8$vnX+kJaiOW$!4g=zh)ewV-!_-8vzY1ENiBJA5v1O#i}FoO99n
zXk_)o1w7&$rwZpS;5#RH<!EG6m4$PF{BlmI2O^Hnb5t@~gsWO*bFP6@&RM{7{EO_4
z8%vz|rAuEVH}f&Cxt`du+p4GF`Dc60--|_R^uLSRd+$?XJ)9I(ptGp8xwU>v!NvwL
zxo4LT9k+>Mnc>|nP@Ex{_$|CswMXRBVh+<8Uqh32%{cu2YWTHN{U?2X$5bAz{`yJO
zc)!;fui^;1&9TwJy31x5bNrNBt&zW?em&de;JXorZ+{AZeI!Yvbk>s<5gbeT<}FWp
zvUGz)nWoOM7_M%{>4)^(0&W~GSX7f_S??CGQABw~M4MoU&Or{XY4I$g-ug{#67Cl`
zl7p959KL;4{-ETy%11MQx13lTel%pajB|JEmSYo=6h$Rsbe0G;PuhIO#Us@$a8~2d
z=BVV@j|;fg=*oq3n$A~$*Qe67>0@ox8ozUum#yk9$*i7Ke6PgeVP?siKQ<fHe2!e%
zs+nKELbG06+s^+^pvTXgWZh}fT>`g@HXe&itJ%qM@X~o<|5NHY&f7LF+_lZ{UyXNZ
z=<HQ)I)+OY<@)ZK5;ezX`Je5J_ULW2J6qfF?p3&Jv&1?P{zp4vY)%|p`p8jFuWo9@
z<dgN>m+Lp)iJua)eyPl1r^SyWK2#<t>Rmg?`&H@sqTls%=2!X7dmf(jdD7b{#YR7W
zPCBzSqRn!?dexg<W*_ga_`|KQV*PnZlEwTtGbVeLy?h>&nfckTvUG9GrSNpAtOB#W
z=hKd76{xL!rG2Zj&hEGK%CzO&njvA^T0=Hps>}?#Bbe-6bW+rMqkUmgccW^q)R9TH
zcCWJweyyI8nWMdKTmANXt3=mZPMxE0eQV|Vm^z&=DMbxa<lku4{CL2kE75nk>9K^a
zjLg#3Zsjc>3TBAe@m*9paB#iQcGuTWpJy3aEHG^QEA{xsazplN(`QNj$86qQo6#RD
z#l7M4YL|Fb`?rxl&V<g+dAIJ|mnTLxf)_sQ`=gWk@34bX-c|Ac0pHAz)$cgeUh`!6
zfqg&fPyah7G2@5Bgg*{9#5?;JpE|d`z<7mKwBo<q$!jZ?uiCX}<F0kNrOslf_j{b-
zk-D`_ta{4JdlTmbi5eXKwq8iq$@ts?=97}QCeL|%cD?4i2c{=u_bBabpT1wM>*U5>
zt-a0fM9MDq9+KM?bi-Yoi~WVqLxH&(GW83SH&vfZycimC>dgKhTOAE;{+BMQ_FT#H
zPEz)8?Bd5el1upVq~~@=yFGunY$cb>d7o1UgC`e#JhH^b#!OSb-$(0!^JK1%2bL(^
z`Cq!|aMl|A^_dg4v$)#t)%ocX<@DrQytnSO#LlBdI@==HyLGM|b?W}Q;XqRDng2?L
z%hYln*Z(e!Jo8&=YWpm!ne%6D&n{4|oVjgv#+r=qi_7--_56wti0S#R@_dGG5&LG3
zcS&j|ZO<s|?0*@r;&%Q}Yx&>nQYka0#auUy&w0#o%3#_8nPiSv2_}xQJxVLuC!XB6
zuRziF>cVDCtCEjf`D}fzE$sKS;xPzpS5$jpaHzsC<e7cFdC1?qWh?h-HlLJ@u3c_W
z^K8b6dpWXQ!H(@R#n#)r-b^~WyXLZ`q{hsOuM6~~o=DtTDtfBUoc(r(W*TqX)-T6$
zrKDDC-K(`f=Da_vt}wZ_blnqX;r5fxZPPoQo+%#JmhEcP;VpLEzsk8S+v>@VdmBR^
z8b?fB`?SBPL-NC?`}G<fiIGC4AtBeNKR#5ivBLD+>J?1SLqa+?Yn^)3({=Aqrs&?@
zV67^4_0W)3VXa^9E!Hh6FMMOq^?a&JZuIG_q|8mz-X3|R-n{tabgv@?Pwcsbw=$i2
zF!h*=bXL#f)?+d@*JpI6OMU(jl596GL+3zpZ)?SpjU4<}R~5>H9j;)j=QlZ45T7jb
z-Q?MWb;n}vT%6H<Tk3P6cQRvMmd+90Ue}6m^;1?^S}bX^l(hM4ua#V}$guCYRPhIo
zWIeg8Gl!Xbf9_bnk>R_E*rRpFl<owse)ecVl&N|1?N{EhZP8MXcdRsIH#d3qXxA~D
zn9DQzS4(jhxF##=W$PSL-I^t5wW>Zbj!oS3Sb<}*%yZLc2?w5Q6<>@`miv`?#y!55
z^~K_i0((ux7G8hub+x7>p!<3Hh2Ywi=Px)X%iJ}6wqSNu2gBQ|Eo~Kx<Gu*SX|E_{
zi=A4#HSNVBnV>q!kKRkUKCSME&**u)^O#J|<r&>;r9OY?Jyw%*F{0tD6!%8YWI;2n
zddtkS7bDlss;LgCU0G8ck}UJu<XOVnV=-$k&S<|X^?9RLGGkbl&JnI&*NAmtXC2kI
zW_{`n_`38{Za{CL&czwcN2Q84dL+w<Wt};0*~@CNdZR$PiP*y}QKsUuS66k$y`S<c
z=;G_SS0s*e_F7u3GZa5=^6cS?V>L1tBN`4%aTnHmBn$Gb3jKV+aqX-ZU-?$(i|)Nw
z*IW4J@{H!aQpFqHljX{?&m7n5WxcU-qky%ESmN#|Q~9@GlRushRclM^Ews5hqdDC^
zoKNsUZ`Bon^`RmUr#5LBRjhln+UZN$DMf9U_1Ayi4^^u=ULPKJ>SNH|+0EfvMIWPF
z<?gNUNU8U|Tid{=#dR^zRnY2hbz)*rr0jZ!X<K{>U%xIY=M`JskvOr-LTuHEgG{13
zXRKG^{~Yopd0iLNnZUq~oBK2Ms%z&)<Rpd(op@fIwv6#`&wY`7l1l7G7OMr?in#=Z
zSz9f3ED#VE7k%_F=+^_8%a;!v+-OozXv1qW$Aej3{jx&+ft4m4g;u;ub37ZP3@f&8
z*~!9l_n&QK+0Q#3^{oax0UXC2S}i1&2#7a}Dpehyzno8Rnbylo7B42U=~XRjY>&%)
zu(({RBNH^+H=9lH*Wcgr)250#Y-5`~Idane<oY+yI~%@l+VN@jq0qT&b8`OH#a22q
zulu*G;J4?7uJTja^;w&)@_ur!?7P(Tm_t`aW?5^u^Og?<6U6NJE+`$Si)y*?efFW<
z)|`s<1<c3m{iF?Dq<Wesvps$^<zR(Hu7TY-iJlqK5<VTpXVqt#EK0xed-kEVA)Hy+
z_f}Qy&aJJiIbL!;pX>c0F4avR%Sz3z?@H<qHN7!6=i8s{&)@&@efX??#-Fk^ugZ4L
z`+Q2gb+`H_^#EhvtG<$Va&|5aYDk)Sb>mg}=kIk*oDEM1hVT@#h%(DM9u0605I@iP
z>LIIR?V2}BE;Fp=kV-OjR9^FPN$8Bn+vc&dmzTVFw2sgA&gF#>O0NwLFw3@8tT@ck
zAENQTYi%gE{`;=A!LKFnTi$UCXsdtA^7Mvd0J}Bkt0Zy9qkFm+*nJZ)IS|*>r9EeX
z;J4SJ&mz`4vrCt}NLtKin{#Pl|5+=Z4X*8q`z|K7tbKXO_-0$uG4Fu3=DAU|=Jlo9
zF7O-+nvp2eYI!X9#z7XY-7`EA`6p|<Nj7R_uJL^+5Ue4Ss1;b==gcDN%<UK}qqw48
zVjkzK#}barGU^!}7g>4@+!lXon(Y?Q_A+YfWA8l1R!Om-8OegJM}JK@q&F?##^E5Y
z-w|#P8BS~Jggp}J`>?DzuDnmu#ZBgn%8Kqtmahi07uY=$FgXy@)HcKY0>@|3Q?s6!
z-mtUnJ2GXV^YN0Y$+H~&xs1OoGH}o5vVP&5STA0y`DUSfYwefi1r3ZMe1;Pa39<>6
zK7Zj6z&@Ab)gog@+Y-eU{p(nGFZ3=@+$C({#NT@=yTHIvvMnRDZ~ei|vK%_od_=@v
zt8sN76>dGs)paOI(lt?jx~9wnu2$!mr3Ee7r%Y#5pU&2pD9C=8<5iM{qiv7EivEc#
zyarth7S)TZu6W@pdTRC)(~8)YtxI^!r)V8FY5pyeR^gD?*Dg}_q2rLAT=0$K!d&bX
zUJnJ%cibwtqq3qonx)im?{q)gJ8DU_cN8-kcC+*r_%HtStI{u^O+4!9qu1$siz1KC
zNMvrcGz+?MP>pN%4WC5*XpJ|IrMcK|cs&%jts#@RyUecs)a&P}69w7VbG%AwyB7B8
zSEcWfUlG1Cx(B41+9JF!aJ&|IWpeU$I=iD}nO10DCR@AanSz!>GVYph9!Rvt$}Hc|
zFD$}WFzJxuF`=ywZ@31q@8*1!H0hGsq^cXvM}FP#zQFLCLn};LYU=g#7EMMy*R{ms
z?JsNSr1gl1P1fY<uD>bLdbFhLklnLDivu-W?GX-F+LD<ZWqnjubf1j+_Eglcv*YVW
zQ%7bW#T5~T8dq{BJ^$y_WTX?MC4QMFEO5rdkaVVEndKWSzH7dDB+$zI<&Dz=fxEk2
z9DNqy6LRceQPZv&i<U$N$!mAqV9++z^1s6uCHbWDcAR!W%_F`A28Zh%xQcleZ)i3Y
zDKqRlWOpps;s6U*`wWjOZI4US=XR}|vp{cImgH3Rciu|Zj&nD$Rrp=t_&aq{<;PCz
z&ILUAQ?`cr7{6FHC&n=Cg<oQyoJiS)?n6lr9Un6M)sRVQ2y*STyd`|ak-ceG#6plW
zVmody#Dbim$dW3tO4P!xzHohpWUv37)gsS!xFxceYrc8J*vf3Pq@ct76jMl2pD;^l
z!K4LxVVNr@KYygEWOg96_1DM9?=u$g_)lRvZqj_!%Psj$qFpQVnS}){H@%WQ-y|72
z*6Ju{bc9Ov=I<4llDI0Y<A;ibYhv3(k!KQ)i5nXhZRq|h@@+%^A;z*`i~1wxB7GaC
zu24Ci(quKmCE((Ap)1EDn%E?q12#?y&JdXIahv1R2Jgk2RxT5slGqmXbVk~Sjzc`R
zHFd%yM9MZa9@4uOY;nMft6S3|FsCn)rPLsLr;%!@VfzBRXF}B+2L1~Z=R7l&n##F%
zam>+4U96jnM2}B-sMKny7jWaCWYYC|nd_Xd67~hzddBJmWQc^PObD8&vF=26>i$Vx
zTbBoInPJou#`aI5d-c>qd~Mo~S09l%-@$BEaVvPa-n_3qcTZ0LzQE|k^-mQgj7DEV
z`=-9OTP`r=;kHQ}o|bt+R}S(v?b_iNz<-_N)nhJ4<`|`nj?XN;1>2s!e9QFo#p3jX
zj`{VnyJoC+=I1VXk-UtL`OJmHj+a(F2EMJI{#Y#*i<=j>{A$&)zkcppw>~O<rzd#2
zuzvyHJK>Nsf*h|NDmvEMC}lJpXW{+WvOsX&E74~bE1lWhOJ6+Nz-KFSVPU^q)h_0e
z>xmufta>h3&dt@kpK|g+<SxIMdppiQP;!*q=NJFYvi{TtoxMj+C-yBBDchj3*PzSr
zxX0Q8qr~Z#=eqdB#=M+)uka2ZPy3X|M`t`%XqA--=$e?lZS^U};<shJ3l{kYWW1Yp
z739m67w#ne6LgHV3Cqa)Yoc@a=<zE@3tFE&Tl2Vj>8$vBoo62S{<{16$2rYg%YQ$U
zNW0LyfX9~e)Wz=l1&iX9R&?93e7!Jzf!!}b6NmbyE><B&WgegX+B%x<?6OubRyd2#
zEqSqUGv84wul6L#rH2LXmPjnz&GnSk!lRw1`D)d*)7Pxl&N;br^+O&<%X30k4q7(t
zvhWY!7w35OSjq9|4EGBH(>bLcc2!lKI$hW?kColI^hMGPK3ksa3+wv@t#}NA+7;bi
z8XQ_#@^bEvl*5<gR{E*A8^4(J&Y<|l;e%hEn71>_EG%dde!0)$m|mX1(+`F19lRGc
z@9wlJJhjnByST<G_NtfQ_TRc(>@(I}HOM==@`zyUoXcM7(odKik47#EsI<0derj8C
zYJ<~CPaoqKOQ+}_lWPmOaXf&lo?XKAAxH95u4nI>&MU2Gzsd5|uzi8zGeMI>F}<hk
zl9o>6xw&TbmXsrBZ$)PAm#BW>nJB+kQ)U5wtMZrYx4gD}`&^PQ8qBZH`RcK#qjQPM
zH|d3wor=wuhHGCvcVB7W%(&}MHdxHoeDm0>)%niif|lQ23yZh+u?rt9F6`>~S}?1A
z0pmF#lOvW*ZWikUE7Ni=PYTqZywNjrpG5TypG5g+4VfgxR%M+Ft7O-I>Ugv>!s$p=
zgx3Xz)0|RCtd7cSLNea1doQ9Y`LlY%;i*+eZXAx|`hCOsp@6lfOd@-r0J|~gt0XQ*
z+Zx{s48ojJNlcE)J=a&*82g>FQx1Lb<IIi^_0e;yc6bEHPv_A3mEF`8_;-7&{}Hob
z_T?on9&O>XopW*F4x18<b$qg2-H*4g<av^Jd|p7+**kA<JobwFv^_b0Y4+6p($%vU
zB)w6ER6_c(ckb}sT5a{Ez_;CQ-D`s*ys~aPRxWdx$8vm&$ce_sE2cG7Jh-tcOu^1{
zo8Xn>^<_<LHykf;SYLf~YV(7Ae6}_h7WTJW@oaQ$7u@H$T%lb5YsaLfJA1Dj_ToyK
zb?{#aYm(Nr7c5^3CoEv(6Er#Wu%uA6bfb5>T-ob@FP>IB8^hZLzpd1qkbY26m-Um5
z#fqg0cHDmd%&wk4dd2zux0mnqkJ<eSuyBaya({7s-_)S`lu9+3z}JfBTNYb=DfDSq
z?0X$>X36Eu6L;U)F5uyx)FoHABIt%hwS-%u{9#R*B$ig?o}BsT_8j5+C4A*5LsON6
z#|4IF4yhyqM`fOiD{R!iWuIIz{p5@VSC#Yrb&7m5^n4d}R8el}`o<Y<6>GM2$|$-C
zwiX-CS|E2!z~n$gQ+=C+dw^k(mPz^dn)yYF9$G;kwPL$xR8{x}$md@Y_w{k!623|7
zF^BAw(+)}O{+FI_Y|)$d>cU}TS+<IGhXwRYBp#;jk}Y~K`X<b;{fFKD?srkVk1j7{
zZ>{~Zq@W}2RHV>jj<;WnEMBbGI>AQXO7MzvdK23VrwanHoKg#;e}~n#o_~MD#7@@g
zPgp9C?B&iWK5MK>Ph5y@m#Hmzv0y!4?3c?6+y7a8F?48WWP4?BL_yX~V$Ib_izgqG
zDY-VITTklqh0tWXU70!#<-M&h7H;IQ6&16ewZO1#q1V$#hHsALo17?!ZI`)T^5Vfl
zzF3<}3)_!defj9p&N%Ot!4YFwxB7~Ee`aoCdQ!2#ko~*qvq$re+1$B0W5&TOoddbO
ztvi+)8ea6-cxTq1)?*TJ%e2Hd^N1~*rQOEUU2<Y$L_6QK7Z;8O$yP-ybCy3{Dv{92
z=e*`hVoT`C&;Cw*;ZbL0_gtINZ7%iM!2S08{+&|X2L8#4&$4~ioDo%9Kl7?oa>U}0
zdcA#VZLcRJw(wcHy$axYVkPo;2j5YHb<Xn1r4k8q_?&yLC$?}#=~`aPI&;vfcW1<U
zLw;$KXUU6?G38u}=r}9YZJ=|ss9akut0ysNRgvN8glT+|Y0KTtOBD5Z*h|U=vnzAH
zN)mCj?NM9NZ^$BQtl!i%Q{3_Bnbpo&OQudquHQ6`kv%^9%u$iv${DK+<u99vCG0xp
zbml@t%gp`0`<N%}ZZ%ry{3^HchabDzs;}Ma=gnkEo#7hLcax>mpnZYfGodSoZJK_)
z@VLM*mqTiiv7>UyMeQ?&p6z^UuP+?EZuP~$wcT#nD}w_jvTZZg9p*5;WKh0)`D#AN
zT}xlj+<)_O{rm+y%p9i-CoJG&6TEUXp{Yv3DL~$tQz}8i(V0g*qh)PXtL3iO7aaLz
zcfDBY%)htf#p3OJ%wH}hcI>kfIbA1u%zRzxi4C!9(`#~N6*LYzHWkSzt!O^XQd-!u
zK(0^d%5lRcHVeNC0_q%650}Ix_Q_h6YzS`GD|>n2aGvb18}*A1GgOyKB+chje&c`m
zj_;OWs}zg5>(c*;-7;!2(oxqq&edcU;Ta&ln&VZXsblRL#f*lnEW8{076@K@E&9x2
zu`~N;(Bd9GTb(Nl`(3SgHbk^5PJ3Z+C`j~Fc6KwLq}i&kJ?qzQeOX%QBY915O0un^
zY>vW;?p~I!8#))*nbixM91v@2`_Z;wk@}SKkV~S^ZY)VZcrZ<N*NsKa{P$m6IPUe=
zfidi*!I3Okw}{DYJ8w_AU7}HSsKhpT#=(>(qZ;3UK24TVgQ*MjmI+=tT+;MwhT{bW
zV@|0gF-PSy*R*x2oMgM^1+*_xzq0TJXQ>7XH&kPN{aQ<_lo_k98SmRRO)%t33yY|&
zqN8k#`igF8maheq7ue+qhUk3dlzPPHsC?(D_8CKe>_(MpR2?!obNANX<<4!tUsfG6
zXclKlt?&%k_(FX}#5ds(oj;tf7S`@E?_1f=$HH6CaKpKynWeYDqkg@?ab{VoiWSb{
z{Ut9RZse=AxtQ3n+=}O;PrKl})d6wQ?WHFQTtLw~S5@P%WmC}{^%c$BETx4L7s%-e
zUOArH#J0off<QQ@)WcbEiG7Q$N(y}1_4;04ILs;g%VOnWhUFy^kGAkB&&j<1>ip;U
zL-C=PHU6Kzwm&p(BKOGz*Lw4AG26K9Vf7RL3+Xzg8=QaT`abJxQe)QFq_tUJlWt~x
zO=8Xdnq-*$H7PdxYf@+S*QBl4-ulOlZlA7XGhRC{aoW}5Gux`zi`U#cTDSW9hV!p#
z-)#NGP`$?PNZ#uH4X3BYv3uw0mmA&4{K{j!W?u5{tJXKtzUpm@+B@TT)ZTvG`Y`!~
z%~#uRq<j@LyLIVxQs>*J>mp7^*dDH0{X8Q5E8n%Kz1^l^`eF;h-X~AJ${vyF-QRxH
zO!t|OnQX#B-}Z=v%Yx6!v%hZt8Gre5X>jVcU4Q=a%q!8AI`Uyl_G<Yj^^)f#rZ~3e
z9bGJ8HBI2?#g#1@>~p<4iY_i~(cq8u?)X%Hac#>AhP&P!To)I&tl+Ti-mY|f^CMmr
zw@JrUT?}os6uTZLat89rO%ZsS6!FoeqcE+4Gtka$ihyWB3TL3A+f)J3#FCF}MIZK5
zC>@C~S@whRXotzR7LC3_RToQ%c&91doT@IBGa{U(^e<F(VU-AS3h6kg>f$O9vZpa-
zkH)=vha?l}h(8TUKGFeBBJTf%7Rh|kRy^f;Uucod7fr=e?(c;bF@4dR7s9XK&97${
zC(6(DVOK>!hxyTFr7iETz0&`@U*4M~G~HnFYSV_qs_S9(Q~$@h87VY>bXAf#CffNx
zB1GlT!3Ro$dTk<|9}Kp5wDc#sDlzfs3kfE<OzhyOSAOgHd`8;+R*AcAqMZp6u_{f;
zJ`*|Ele=AZ+z3!PboiH&pxrgmPL2)RJX|_VU6qv9Y*W8`Fyy!1k&dmaJXj8&QWBKW
z?sBn+h*LRqbd!>x+%&Py4;z<wxHKGfRdUkN5)w>YX!}xc*^P2Vw}q^(N)pe+J0Hvl
zS2=X>j*_6>vwD!Lc6+q+N4hF8o!O#(=J54z4DyrTGBT>&WOnk|!tOHT!q%(zr~X}P
zs9^phrC_NyXChDd?k&H5Z`!XVHtpcvNslDnO&2--alsM`c7E@!;*TqqSn&6IcYXf2
zWXTQ&fA22tk876f;5hG{Y1Ws$^o?In$aL?n<Q*YFGRKcjdL&aHqoH}ub^E%dM=D>$
z1<mP~Q$5Q1A~GnZBTn_G>x<Y^t}h={X=Vy0$IcJp({|glPxWZw7j4aRj{he;is8}F
zJa_oOq(?O+x|(_p(<eQ0D$&!_b6OrW>+I3qpjo~>(`3CZ*GL#!PZ2qOao-XP_Ixj`
z`Q6u5k5*QM2F>Z8u6mTUqCU`T`Ll;<nu&IKQ-#DHlxZd^zMCQ>_ApQUcGLP@E4Sq)
zvt@U&8?Jem6#eB{lTk#7lgQyiLW?Z;rU*QJkiZ$J$2V2r>B9ofK)b#v0-_HxI0F^?
zrV5BYtoX?GC?d2bJSoLC&`IR*Nufm+ep3aWJ}BV~)GM1J@H8=<GtjPVszAMHLOExk
z;<qURqKWw**@`x7`myea#IE%%8f|}7T?%vb6;B=9CbTFfM_2LGVRKcNpErV>LK@Dh
zy0qR1aSCbaJt}?TXxF3NlipvSDsXhez7~zXQdJkrh-jxN-JexmDlNjDru1)Cbz!v#
zwpl4RO`QMJ#x)fI4NH$UJLzc3i6j=*PY<h){g%FLL6oK1yLVA4Es5dR!|H>-)kb@;
z&F(s8kfS4YxNu=cPjk0xa!ibt)Z>Rm7bWDwyN<E!h%!+-vUQQ6;5zYauD-cP%aq;r
zNxLRX<cak@+!1PW?BLr)hI)P?y&nrUW%Tq1yCyTu(UTH><a2QbM|!tuYqRXpGUa1C
z>Wf{ICC-WWKD3B4Id*X4B164CvEGjbOEP-;54$Ea$!JLlKT5bbgM+=xw6*&!$db)}
zU6UogiS|Cc5p8np;JihKdhf)0KNhUd=;`0>n#^=ZbD9(X?XK1zH==Y@4wxTxa>~&Z
z6G|+;9(G^*cbQC3?Ahq);bCFn*Hd4=F8sH-{{6IC?Ss<AKklTr&bJob0h%^%JND_=
zHeSg&OIZ&~MitCi*4iArrJ&GA^VO?t>1^$+)u}7f)Ld(~DMeq^i`GBdvt@<w>+8Rw
zG?%?zWGHrd(jvv^;K+Lc^ZzEA9=@tCGI8olkw>@0Tj%@ua$Zc1|F1OBIrG)6DdBs%
zrQ=nC?$uw|w$N|JtXtm;j78@?-ugbtX_HHDerU+m5YtU<Z}<)83B+_2&KI>?sp(o8
zTkC3l=a<sSx{%PwxkqoD=i2{2CiZil>(|{krFwq8@jtz9w)58f@X!;Jmn>Xa`fhcB
z|DV+-JCEMo|1a|9_l)TWRX3;X$eAV+e&*7_(9I=<XLW32%<H}7&CeJe@ZHQ)cv?r<
z=eK$Aw<C+L&xqW3#nehXz31HF$i<%v&%I!pW4=p3yhrblYxCs(75?T|V(nJiJ-+{9
z$x;3_`X=6Ou4yMX-q4ZNG4vMqK6~k~(dI83@8~eEF*q%teb(rZR`NHCG^x4Gk#5hE
zwq2~8kz8W;Oe(ga(oH<6e&0pc2#2tFCogL?Urjr?;o1Ft^Xr9zr%Qg>7SU!WcKYJ=
zB-t;UBgFseUOUXt{nhY75;M=H8v@O`W`_idl`OB#TH0Ki_A+U2WYvv?X?A9_QX72J
zB$GBry1hu9v`JYiYZ}jCpP${k7kv~vZE!HjQY~stv!~eWq)kVwe%wl`_1Rc|gCSVg
z?8xSN!`mF^{~w*<(f9C~j<rPMG(ERjOWOm}UM6peWRpmqrg&^tYD?jkDf{0~ILK|q
z`Eh;71J$|vBhoHBjXyhW;p(GBC7UDI@9JK2<?sG_;c`;#mrXYq_Uf9s$anW%m_Eni
z=(NXEEl=~s&0gAVp7wI#-k&q<tY)M(^rh8HE}9(a_9AD}ru<jw(|G>+{_Os}%y?O`
z?z`FNqI8a1c9$01ONx!z5Wz35d+oStH*dksB<GloH#ow5&+7eN#t3q3#xy;)nM>Ob
zroBw=h-9<K)XVJ%PLq6SqWiS`R(io%9m#c<f1a+_{?FW<T9L6)reEjU!Q}4Jk4KVh
zZMN1&$aBAZt^EHqW4Dgkk)-b44J~;#nU;AoHotkWEOOV5)M;{gvzPW;r%66o7umKW
zeVX9A*-PHco;GWe!tZ6N%l;g#y<F9udLv<@jI{2xgL&Ph8;>X1zS$Zf@2qQf;K%>m
zZHj6UIW3+4Z_n`Pd-_brIwEnJUf8Uq?V)Keleb2)MbsxxQ@l1SwWV~+l>0$59pkMy
zKbGe#;s*t-!IS!#x`zY0pGu@{<ZFvw(=90WI&sO-UlOSs8Qr3DIt<06AD;PdqBd#q
zcFWUzRWp}%&r5r`@c(}!FL~xOMh6@=^BA7cQRXr8ylFnyRMqjD@AQAm73&M{m)uU0
zd>5f}Jhr>E;Cy{j?49iq{QNrCjyreremIfjY_shKhyR(*Cp&Vc@vWP&w0m{h%ZIZf
zf9=Sd#+WxFwPSXg<U=vtr`sFTK3vg}oOkhOcPg9oi)_<vJkq+;k|!Uvx{<R{Z(GEg
z_I#ad$HTgLH=Ip!&e?v0!}>*bSXAHhXFApuiPQArW-V<GPkWi%TfftR@!G7^j?y&A
z#J!%U_GK=QG<sw7RR7&<zG$7pg56IovNrPdMXu?7EcW_g%h6vJnHw4XB6B)6ib*HV
zv79Kg`|PEIa+^ywUe~dGW9%*e`>fFc#?3s17j%?m7A^g=?JCc)*{X+Gtm-$ONoYH2
zbY@FLU!>UUgl$K6%}CxT_bh5neSf8xbi%%)Z4n6@1;u6wpESIvBkN=2Ek60|rNh_L
zUM6=%vdzevrnqcoYRgMC&ELyh(@t)<F=hXb{Ho8!n^QCV`zLLD`XXT?pH<YFZa=Zt
z3pXGA^&)8_<F2Tjjyy5xh1RyOJWg*sGAEVY`|PEoMw`EExT90M#^5xA_F1DNTAO?7
zH_V#$>2;Rz3rBUuf0tsvEcq;cnuje?=Ws&zQ;DRFd~H!{x)a4-C+;}<OCoV2qgzx?
zN1&K=;ugz^GJDTla?Iaca`Cv1?H5yT`M+n39O^go7#`43<}vZSY2It--L?^&Ktp%s
zcj+EB?S8r=ZzEq`#G3BeVy_=gJNj!!?ncJ?cM&-qyTzm*-aFsZ`NSf78sE8@OS?a(
zy?odd`D;hUG)BFdsU4@&Bp-6=KE3`ot>C_nq}&Bioa^gOdpPxI(VlG)?Ab3jTWr(2
z7P+SVtJv%0=A&#kGBzrjMdq}e{gSGwd)&ErnyihHw|M{AONWIwfBASthuOyXw7~hZ
zMu)g3*H5XvEEX)3a{o)OW_{uPE!UGIzeVaCuj?)?IFJ-8vn7JRUFX{I#BSb#BT3FO
zTW@e2Khyc-M%FaGZ8Mj4zfF6Y*dF=oM&>levYDwJche*j`E?Jg-87dq_ihs|`Ij{F
zU`luDk6THW%Och^uM~ToH0x;9jJ%C@X%RUMGsUEnrX8(!o003Ma@=!sNx><d*g0n2
z{EyFEI$p7v$KarjvyaJXj>$eo|K{axPMMK?D*leS?)Hc_QL)n-FD1#Y*%l$5t#j@0
z>3Xwh`Sm(x2eP|)KORd`-m?5Y@6>6@^DIyEJ)6C>n>Foa;=;&Z5h>Fc#b&2=sHRCK
zYU)0{?wfw0(a-76()yb(Jod}WpXRBG)N#)5e)=MLBj2y6HQjY$uNUq=`b#2VBO_Z>
zPKTqIbm9ifi86D~Tyjj`TypWKj%|slxBT5RMh@khc`hE-QT_sob6!L5wuRt0kNg$Y
zt9#h8`>93#Mn1iWHQn7}uOCi2`fEqdM#gm!IUTFTq#xe0mlm!+X?SK%D*NHHmyU95
z{$g-Rr?$uFG{f>UOL+@#=_t?nnf_{K;ZK!Vw(Grp583txzci}In8wq8X42!0kyaH+
z)AZ)eTH2nT_VV%0NVbZ^X^LSNbCptCuKrItC3oC6IZgJCk(K!N-gAd#7k@6i{(|X_
z@h*Yyy?Te3n<wABAmw~FRlk1AwFz5x2+vBL#<ThCq~yLxs~OqT^q$RJ+Ws@`WpZOA
zTSUe*MX{NwEk}>NDO_W0#s0eY+)=j0pEq25QIlh|i-EmI?}*~!ZiC5dY^KZWo=E77
zG}2LZ-!MlcSaXy6`AEa*n<5MyH$)gZZ-_8--4J2uz9GWUb3=ro_l5{V-whFl_5KRg
z>uwb37^mMnShTrh;~^c}H74F0Cn(&%)v+|fea6xVw;4+#TxYz}v|bdKrkQqP*`K4a
z7n48T-8m<<B59+HzwWhz#@(eK?<Cpo*$^SWTGy0o!?7giHCu0R2%qf~>E_%llFhkU
z#G7;TmxA+G-fT+Vl|PM9ZboWHdz$1!LEWeI6Q&<j*|p_kL;p!|dNt}!jmX<5qpfr8
zpjUV4##2eQIvXS8yLHVD_;mAbyqu)mqat6|qkH`NGac(0Nz?S6%~;yLGwo&ayhyeg
z`O_4|W-N{P396v@CdpTKsUDX5RGe%%^B{M3YDL~gne#f=4hBPOzHJfm{W@j`>YclJ
zKORU@zGJRFDS3OO)s56?dSx?~w!ck#nLIm^?MBu##kiTNEz`G5k=H%(U{9n`&ri#l
zpnCsg($PI9lPs+w)--<;d;N&_r%2Vgs*2o=Nk)kq8RMdII-ZM3Ka{*{?s>ZKwvOx_
zLvQi&vzHFnZvOJ|fDUuX-<^SNFOsGSTGfLb>o$97MEk_~cTSw-QH#(yT+#hhB7Gy@
zv8XlOhR~ufZ6hP7pt>z4o!DYIQD*ZA{(>Wy4*F~^F+8ke>tpUMfBB5jfsoBShDUXd
z{+TfC(_&W1o+Xn7>JKo9zm&|?F}~sc{m;hK8`&FWtaYy)6zeW6JfCEHXKRFfeYuX=
zf!uE1!qZ90fByIE4KGpkth!(MDmQeu`yX$Wa3$A?BATx}qB_rXc>L~Q3b=d2jd4bI
zbm-mRM)|w-rOp*BGj+dge0O;bW9z4T)$jL$PA=Q~{oZxAxc1o-|1(th&9^J9-+$u7
z4<+UO9VfCsOi=%M<5j8f{hFgeiSuK3y{JDZzIWr5#huINNBpwL%@1e@E|YxJ66<D>
zH@PscDq%X)_uRkJPy7D;Su<rbll+f86E;`fJ@WqZoY0E<ZKWR<^1gnUEFE^fBsXGj
ze%|3%y~WaD@8`Ug)hs-(C;INz(r)Xrmk+na{@Rf`oiXoLYKL^0<ieNYZz4l%xUF7z
z)*GvCuNQI9kIQ^hlJtmEe;U*Aw?U6uVzuUM@fKhH=F;KJ-Cqii=`qjQdRpN58>2&&
zzp7m}PI;00HnP<&?D?YgS1Vtnm)iY`j%`p|&3!SaRFEwqW=>mKR8s%u3wqwmpH8}9
zv0FqUf4Y$Ho1nx+vAbp@PM1r&wX{F7Ofq3(Y+FRqbirx$QPuuQ+plWA*=QwRz4qK;
zyVajJ-hag;vtgG2`&zw2imx8sJbTb+wQz)=weIsTmuA`do$%bdX`A=n4col;uG{9l
zcg;3$e(|@Lj<fFODY&OsdT!%sj`Wwm{WeaC$Smd3UUTlK((2C}F1)HavwauC=QVmq
zVpew>9Dnp^PVuo<EPl~e^}5rG`ED*fz_@$Ljr{3Vp_$Wvm2L6nuYPmsc;0TF4X5>-
z-)uR}VZCOrdf};8U*_bL>iI>?ZGRm0{PB#dtQJ|NihU8WEr+ihy}zJj!`)Xb+b;T@
zwq*bQ@}7b^>${svkHqc{*^xY5FYor!_UN*gkK1C|cH~W0Tz50IW%d90Yrm^(tB<M<
zd${<kg}DFPbBBdjfBtym6_d@TT>|`T^$sz2cNd=%T`jyL=jE1|bCQ{oVLRs-AJS88
zyS?;~!R`{nD|)tPmRCQR^TqJI9`l*4rv-}N7#+&_Wmlo2sutzuH>X%FVs7`xu;+<$
zuKtwBE@eCx5!-PwOgvGf^rN}5?3pDSpYM~a?~>edx#--%%H1Ls>C=VM-v&MGiQQ$9
zJ6+E2*3$mRWs(nO#I{*vO&9EosP<3Vbyd@6vz2)8+H;3RR)03U`HIPB(=GwwwR(qG
zL2gZ4Eo_kja%-fweb(&BOy+Nc9<{}4#ccN$zy9XZVS!nS#g~pJ?B+2zq36uA<ur$`
zNpk)1phpX~ri=1L%<cXg_B?U@)t@(#OBu_eV>@EQ#1kL?KOOU@*PWd?<IT?XwTot!
zMV+(!;j})<kE>>zcc<+a#!SCgHh%K8&zE$cEL-{BefO7-XX?%|=)W~OqP@H4!>{@q
zRsM@k-rCIdckMY>`_-Q>Tz^&bW#cY}y=(Pc^jCLZxLp6}(VWl6gsSHh-;1)+onHLw
z_ELw*v0f&(mmU(>edV>x?km|0yRURJ?7p&^VfU5O47;zqX2^c;S+mUlV$$*r$6v*K
z*>dgW!s%CkzQ`?Q{1p+~u`f(~p?v8_bIGqx*I#|HnhtVtMB;R&({F>4X2xpiZ1ooR
zesk$?((W%CPv|l0)NeU0!2QPPP)+vxm%R;FU)DBU-T5N1RBqn|L2+yO>@~+(BXUa>
z!y;o_rizI_pR+Se-Qf78%$;+L59+b5yZBwVDCp6uSgn|i-s02WUOKG0`%B?PJ?5AV
zrv;wBH9BN@dTLMcxt#3tT4y#{i5ssycbI4OXTzhfn0&VF5}3S3?~u!@`bYU1Ru<mL
z=WBh1(=(PoozuLqBy!?pCg(RnNgHCdcs6;9Grzs$+#UN%B4Ik?thYu-3ai?mq_iYo
zn>SU$`@U|^+(Qzpiw$qRvgz4mC9k|z?*PYYZo@mTlz2Apuy`)B^@!Z_Im*-gPCuO!
zTc)|;vYu+$jiramc9(2Ct7n_D)my$k`i;?nwB0-#PwIWWclcJdQ2ScHhZC;uw8$ux
z<BOQv|2IrLVgA+D8`-6TWx?Y0pD!F_TP+;nZ>{@W=FUd%#@l6@g{SpI^KLEemM(kw
za98ZF9cj}U*WF6(&@PjFxM}Nmm1~i64@Ipm{&?b*jm;J-dHywe2WnSy7oLBmbZ6@h
zi|6$*o2(kU!44EITUnm^W$&dqQ!0|DbFF`S>8SSZFCQ-H)$ZAFn&JFgqa((<dp=Cr
z7cb-8$$f2Js6~FM-nq!R?VH1%Kkm88YLQ#2=ocB=GWq{we`}@ZyRMX+teL%X#i~;l
zGya}7xw`sMyx9M`XvxwIQxY3fdAxPhL%q+ah9uU@E!2A^{3?-gp`DnJRD$3_MKNKi
z#7@W6TAM`q^8TCovgS?wcOX`>v|vtRqcD&6oRo-%B8T<-jNd%uIc#^%Nalge;VR|>
z1ufhvWu3E^Y$z$1nAqse;~kS0k$6C!qwM0L4egCQza@?oG#un<mpD|=vasIc__~5-
zlW0o`w^sr6Oot_>NgUj8$fGT`C+WuFjJDdIM2iCvZO%Q(7KbW?c6Yy4DGSe^<SD!E
zfJ>XIn&BFQnTg`T65kA_Cdyxy;4_%}P{3HC&tUSAcMqjH?-m<$UwO!RCM_a~?=V-f
zWSL=qBLDY#{|#~31~Ly64=c(U$~^q|{_@7(JG_#<%i1WG*8gDgmz-8{Y{Q}Aw%9!h
z5&GN`-#&IdWLWQM!nkh29I;0l3#*ua-+mM?diL@wt217HLU%uJe&OT9nx^vns7<NC
zyu`*rp4YubE2EOE7IIzYC^eiKz#q)<)o|tohRGbfh7&Jv2=hpNU(#&Y!qsEubS{v+
zT2k!B@eN1n+C=#b)|A&b@>E+K+0cKOhuz}P200g=*MTlc(<NNFUPpehI9$bgaKn+Z
zHqmW{Z<4eR%WX4wlWcsL(acaLN%^p#*@PQkecZWTN0!(gW_m3#E#mZsLsD(AYtn8U
z)@rL=lVWjztL^KyNAjH4q`T^Uj=xJ{S?PR!k-vv*m00bW4M&vQMCFX$)IVZ8T&0$H
z<G5`b^PN<SBeHGEchojk-*8O2zWIf2Q2RXBd?TI0=ET<5JiB)s+t9w6=l7071r4Wp
z+IJi&Xz5ldlehMKQzf?7;njuBFDlzq&l#>Mn3gD>F7d5kR-*iJ3BH0!F2V`oJJ&nE
zQ8PI-FJ3L};=3v_+rYTYZ9Bwm{Z`aFtpC)f9mKB7!_AWtkrYsQnk!<F`9iK*j?#<M
z1Ni@Pe7!jT0)uZ$TZWp+A%^B-wl5#}{_I-jz<O_*etp*!$Mc`QCJVlPml9FVdU%(<
z#I?BxH@xF*D=jxz^Se3mt|E{7jre_f`U#!oDrL6aatfs{BEKjc7HXFWvpBP%TbZZ2
z-s04T{$w6@i*p4X!aVL4o=M>q{vf|=g8c4ptW(&QI5$wDtgtVU|GDJ1!p4UT;*!^H
zPI<^N-Sdr}-Gm!ob*fsgEKI++n#VgPIpVwu&+Z)uH?+^@`Mu+4LBnmH*O5~ma+G_%
zk+YiwQrZtv`jf|7Cm|wH@vxqlVf~v#!^3vd3}g~C4=YYHlu5Mgyn9?-X!ktV@CjC5
zbaqWj><s16UXym?s8pNnn$#P|t=gE^q+1+e6G~|~uX48ihf_w2T)oEZn9VzNUp;to
zC-BB1KE;rPjG|pSd4cv**0Xj+Jg_}%#i{=M?IE#J3Co3t3Y=oUs9bU8cdD&XOut-T
z>z2{s-{STo<H8Pg**&Tu4|)ZQ`mARB)^1{bW~gI0Ke3gSXLrPj4ehQxza!2RG^p~l
zN1Q5XN!{;tT<_zoBkR<!KV8CSyK`MaM3U-ZuGJD{hLaQdjU~Pr&V9&mS%S}S>O+oT
zk2hTFJ>FCm&GmV8Ve)I0HdQsFH3p4|;*TZ2)f@CB$|p<m8MHkVSS;CR&~@b9Bh}7W
z|8=`PWvhzr9^P;yu1)lt;hQA;!*YBEZyqZgX5=%Jd1P={uy4W*+dT>!U!VM{vC`Rp
z-EoO&7Uwn`;%tkZlXBy*W?Su?w21r@5_}scKjg6Xcw;wj@{O-gcFn!AQ2lx?k9SUL
zL?ZWLJu~C_H;KZB?aYj15||GwUYo4Id`8LSNRE^8nV+FaMZM+gUe|qHZ}m^!@=Czv
zfY`|2yk`F%>RbPd>`d8Ls<nUJiq)BGR;>NGYTYib+5bMI%l<!dVdku3`7$}%BpP*>
zUUfQn<ZR)Fsfo3U|32hf{d+A^X7J#95BHV;J;Mn_t&UT6OZe39Y*$=r8YMaX#<{5V
z8=4cvttG#0=uVX1Ey=f`{h>g$WZ#DKd*rTey*kx2bn8`#U%&0&|5*NX*~8cGr8bM+
zT-Ph{t@`nTwqH@TqMPq0zFq$>_qe^D`A=mTp8vL@Ov*bZNMwG?Ft^>c%HY-alM~e^
zDd%swxFzDb`#p(nL5b`+o=@uC?^(359+Os9=$AdSASOZWsnBMP@EN{4yDg_w&p16x
z)37nIwUcM}j3XP`PxAbpaj2kSB~SZ|V+AcQpMBNn-BWq&gz#GB_XqipIWC>J{g_1M
zB8yLQ{~THmDC?=n{ZBBlu>Umg&jguDBg;?oq<p(e>z>$dmS}u_Y-UQ`lf4NM59*)t
zpA?*MoX;*$@59WZPW>s`UkuzL=DqIadMZ~XDQ<RLHE!cI?LBEXj!L)L?n%9I+`5f<
zPrAhs_O`EKC-%rKop}AWMCGQ^CtnTQR_pZcxq9rx<f9X>U-!smRlLy8-T5!A|CxK-
z<cXg{ik_HCgYAF2U80fs*vyc+C$cFK59%ivGJU#nF!L1axd6SwX+^EYQ+8YU?`-E@
zGgGKtGR)%8hVI2Y)fUG#^grfdw>VhPF`38RA~ml6(uv&}JvLXZKIzS5pXzq6J6`Sh
zM6JF(r;jmcb2Mtlc*K}W&ylaZ-ZSyJn)|)d#hDw=_3x1uQ!cn{F?qIp<#q|N9l1RX
zHf0;?TZ$T&PVv@R9Fu7IR4**xU7~9CvLmu>qVJ5}Jd!&s_ssZBGUH)JF(a8I!NY=L
zv#&2U`4lFpd_GsUa`o9}FXi_;4L{v^bRs&LXG4=f#Oc-}R+|t0oVfj-L}gsjlU##Y
zVz2+4xSe6hG`q*R^5?|uGZXj98@f5jS>N#9dBE<J>a6<uq?km8r+QHu-wi&fU-H@e
zHDzu1jbj_S-||%7IJlvIF3;;+^TUc|#xjZhm9}2W`4{|8PJF(#Z_hz{Nsz~llb=)`
zooN0<U5llyNYU`jn~Q%=NdF5idh+w+MDs%#QENUc=Px<R(PMP($#eI68Eve`BtS{c
zXK74=)Kj6$n)Tr`9CvoloKijG=qydcX^E|cJi8^%Z)oS_`90%AL4zkx`;0RMEt=r8
zcJtVY&(ZGpWIivrbfP+|$7a99r)N^kYZO@C{q<-)@>jl>?YaBCX8Fm=`W}6I+U?b5
zgFIiIV#w6rYs~s{;`f%s#^v^E?hbO+74AC^RG(6{3s_hGVPcW^e2woPW|{^+l03|H
zUb5_CQzE~<B%~D}$@j7CAxHk%*MU-%@pV3Zdlr;F`D)-67a&#X-!oBM#68YG`H5(Y
zgT9_hmGpM63G$V-LeI}lv)xer$2;%Kzn{~OzK<30t)1R>YgX5;Q&~1Tdyj?{{7n4b
zkl6Tpzs~+YuJvyoNIhi=*POn?Y3HHTQ?W6N?i_Z#=F?ft^V(JJu--d^HxJDY+vOR`
zJWxBVm}emKa98D8ufy+}D%VQA+8v(0>ecS+mKOP?OwW6yqUD#*-72y7r|~P{)W?su
zT>Nlo@wQ+d?l~sw{67ZXJ`iuHXV6j9d2ot0&%!%L15VlUXxkR+*FP4jl?Z!rdP8>|
zPxXuQ8~WASm`#jjk{AvPM%AUptiH5E;-B{8sHwf(f??_gmsV{2ni!tnebMsd3jb-n
z-SgZIbMqgnGSK;OR6_hHd*XUc@e0?SN4!soF8kM1D1KSvyTM=aB{PNQ_XfsR2z={I
z6qlF$_MtIR{=FpMhrWjb`I7a0ACB#4kCtyat5JJ;cfzS-M}1Zc&-mJ%(z~{QQCf7*
z0hz^ip=Nr|q->)rd`#yjHo6}Bs%f|EmiNwkn*#MV&M#_Zp0eA*V`sbbnwdhMCBh;O
zZ0K&~d95pbxa#z=4fi$~uGyZ$^ICUy<yx=Dk2X}UJ$3A;;A&xutSdKuEqF2GC`Y}F
z`K#j7$BsrVe%RXX@mfMGB0pySBj+WiQ@GbGi%AlF%B8JYzOku@|8%6Ws+qx>4HFZ^
zXG?tBFf&m;T9R)=??Zvxl6@PF?g?93xbe)Mu++y%E3b0u7-r?v_H4e9^ySx~73a0%
z<0W|YZ5~-3-4GWqaeeuZj`V}}MtTPGi)x*N>(?1fFA^`-_-?TKOJs|YUSZSLxI|0s
zw@H$R<)#_EN#;DvC}s@F`~MafuJwAHbj@Ds`l=eajaH44ZoO;yBbP<@957jIw?8Mw
z$5bk=g2A{ovGF7*kQyXicOEc2rP>#?uArqzyj}D9XO8S;M^xHG)r{UGF&&mWX8b0(
zUgj|4F(a8Ip2LD}v#+l*(c_zSeN|43%m%B*ziz#2`yIYT_8f>?yl+8H%o{_gxC)z1
zvlAO*LB`A7^w@cT?Ud@Z;B_1Nio~-uzi((W4Ng)&%w;W6wsCGE|80qDpE(XQZZnWc
zGCnM5HWOre*ldvLYc^RmPCeGSYSrr9^(HmFqHf1aSDvT|UA(#~Rpp<Jc!lTABi-?T
zJdfLJJ)YT>V>(Z|)p+V%qtL67HosjzCWo@d-CgnW@+EyQ(<`S|&GIQt-L`9$S)ESs
z+Xe6a!!$3P*His<d#Tgp*j+ci2Y)P%i@Iht^VR%^C-wjBc)VX{;jCTxyUZSZ)c5kA
zl(lqS{lZ<#qDz-qO+L8znnCfRxX<rDY}guX@^@QFqP$9_^K_>t-!;W|T(^3D_0+L-
zy}VaTL%-gCkoUTE#oAY2LZ|=Iiaq{seo(*z2PrR^KPycgcz1_}TkQxIE1Ac6$8Vlm
z8sEErYOJN!^~rL&h1#Ku51kb$E>u2h6XS0vZyx-dqd>d<sM8(4jU455!BtCQqGcGZ
zjIY&(#)ig+_x|3m`A>9tm~8xyu5~wmS*`j1a=q0*%Z)#|WO6btbLaf&yuNOI+8@P(
z*S<#zZL9VT{g%x>`}QTK=!m;Fj~&x1y?R$RC-*Q{PWEB1oczPDbZ)hkZrsfKI#K-f
z`lh#G(XDrNp4@mndr|#1vFf^Cv-|$<TTyx|`%Ky@?OjiTW0PyI_sl#0ZQ9)Z%hsty
zFYeENdx`V@?X?Gvn5~J+nR4@1m(^V6FMsbv1kT>is=hsuby>~*s>#Pg>q2AIwY8-T
zzW)Ay<Ky~M70#||tNVLPldre6{p#tHzq~Ks*Wu@!kefeO|9J88=~l5SO}~0gV-4{c
zo;#1|oDxk7UKjp;O7)E2wb@QNKYs1LwPR)JjPJEC8|!n`=G(2_x9)tJ)aDugZLR*j
zcfC4et#k3~-JDxfEsG9*XW`b-Iox}7>#v%fnVEr+^-l{QzS4i@lz-^dtQluRu9%js
zJSjHIV!;~Mo7cp2b*)P|xpk5wl74=#zgz$DzG%Vqwm&O!PlS|Tn_ONuEAQvVDUrKN
zR<C*)Cd|LGF;l}}g-qD>(2`ZX`+t8<UMau3WcB-5uJ7NU_A)(I9B}R6gip?&0}?W%
zym)?Zw3yK?zNYy4u2Z-2es*73UNTc!`>Tikmc$?ZNAE~quDEeM@ksyCeqZyt>ocs&
zp7ht>{#dVHtP-uQEmg|@G=1ACpVCm@ZJ%DhI+btm>iGWGKd$FazbKq||9yA&!jzR2
zQt!jL;;n5%%h%<saI0soldZR3rOC@W%bRhV6Z>IKQ3=NtM?9QF-2`7HnJkn$Ch#g*
zXCdP;L8&C0g@SB<%tSXFX($PNxnSv+*BWa>5)>8+HFJd4OZcqlR&1$~@LJKI*uo~^
zm(d~E;wCYZFJhsp?1oFbZ<nxoC73J}O6CZa@Lthf*z&4YeW9J2fK-CgLPa$}sl+0y
z1aaoejJvD8&tG>ybcWZ8BPLFw%Y<J&y7}KHnEyQI*N;sX81y-LKXzT<SpPp=D}lfH
z5@R*ry(QWoSndgF)qm^@X#L)@>xaXN_WqV%KU^{z&bPFIdo?e$-y|1UCERyB|K;mP
z)+#}*i?ah-?Osl1m6+r=Td{3fVoTzE@$y}jH<m8iR$RNRJMP+-zqy5%&dxrw{Uh6|
z;@sNuFTZndI`S^PvTk$T|4+?PzH`1DpQd&&r*59q_s9RQetiFF!M^&3&#TUcEIc0+
zKmY3g^=r<jJPH;|Gmpu7_u;<PKlQk6o9}JBK23bzojVWZt^Q5_#&x`VyVPFJ6^Eyq
zow|PY`qEeXZ{2Y$`;-5_=hNEdJFeY%XkY$k_9thp*Skwru2}u*%GQnEu8ZxA?0p|s
z6}SJt^fBM_;H+Icey!uZxoXz2n3K|H>t+8rCtggNvPS<(>E@k>@0a}H4qD`0I^Ahv
z^vcVjq2;UBtUsOh>g$rZD=#`Ptaeq4)4G%Q?!$N4|C`sQY}@DUoBB0;=Bs1J{I-6r
z>MdCQ_feHk$=Y-6eY?-f{>wi8KSMKa<@GGn*i~P5c^>bpJ}cXk=-geldd1c1@AYMo
zjeq~Ysox~T{_ke0D4$vRkKKpllw!9nTNNjMUAADw+@M{XOI9kc)Q$@CTHjMTaq(H%
zf4PYt??)|JcIx0mH7yoC)6yTC4{fqml(E?&(a0{QTzEf8<XvP>_inMr5Bc)99C6eW
z;VXEUWG^l^ukhhxO>xF~1%i(Z#RcU|OMjTR_PPg}Tzgp``PA&GVqT!!L$$|MQac@Y
z9w<Gfx-M{C!P1j5305y(y!lpI>Ka<QY}-F=cJW)<N(K8?9=<O&k2#{tV#d`x$-VM<
z??Z&1-_l;RVZ&Y}J+Up}M-Kn_*7ak?^|*-)#kaMElKiS&mCoe7`XGLEZ|F*oIc2L?
zzcN_7@^HNPyoXy(S<S6?_;lX>wZYu4HQTm1sxSIu`DIgG)b3O!pWN*}9hz^aU3~rU
z+o=~*|1T*!&Eb=?z2`_v*=dcQ{OvvW47WrdJA9+;^bd)fc{3T5Z|MprRqRex%E@2f
zbFUyLdwb7;TV<y`)?{w)IrOUR^p1$Dd6N9vw{;&UyY5b9(#e1IVS4Lwt=4*@)NDQW
z(?RC3p4SsIC-3Y#Wt)2L{F#r3`w!W(nk^Tb{Zw^#+H32VtWobahR4*(Zd)=<D)nja
zrs&z$f0jR*JTv6xDGNuF7p?N!mWnNYdi0CX#(jF>61Rg_AKuh-_5Q2iu+VVRy72H$
z+1#z4UcW1h*;#gsd&k_|xpx233tM-uzFB`JC6(29>f8;x*UmD!deg_%H`Zsv@|}n0
zi<|5GY>M6YYSP+O;&$I|g)~%}i6*V{b)AtM7<9hUZ0T~V*^_1@23M8m2kSk%J*A!1
z>}m2sU)G3}U`4UpAuXz6ON)P9y7IL5zij;aM~821<Mz<WH_P{|U2K~6MdAA58rNSh
zntJ-*)!*uvy7FkrK8HW^avy4bTJ^1qV@=+>58<ubUA$b4rry<(`r5TO>`I~s_t&e1
z?>hcIm{wx!9k<GgC(H11(7J|o`xbqgZN-!G^22-X{DopA?-(mxQ@1VK$ET~C#*k|E
zpF4#4mbTJ`J8^=Y%f5AKe92$m(Ovge^y7u?dzI{dZJA+R|9F$q&G%BPF77@mV{un&
z^VGXqyF*MYUZhTEvV9x0s6AGzMlxZlp55)G?JvJ&ef3&<^p5q*jlrV%Kg86p|5$3i
zc>0ox?XOP#SnQ_T!|jvjnUmFZ=OBk3OIxv`VGnof!k8Tu#tmHj`a3^-Z0cq>zmwx*
zPdA4@`v$p*GhCuq3;(GXj+*TEzCY8KOE`1>?img{+doeEE#Y3-aB)hzgllEXM4e53
zzoP7om`<2Hseh0=*Ko!sn<KxL@zqDw+?etFqf{32LMG|iR)6LnWuLA3DuMqnQ?=x@
z8^<>s(rAnANxN}a;*wpbZ;Mur+LfbXPPRF!SB~>JF~3nUIilyJ{KoHcRXzKBwk0bM
z9A{iP;q_yGq3r@X8@m!)r}FHMIJlvGsqV`*j~a!u!4>{1x=WX^@n>^>-Kgej9C|;|
zv&bbpJk`4PdcegS9j?Beosryo3*N+h4!!Q<n)k|PYR$C8wb$EL{doKOvTvv4_HAKi
zuX>_qd?;+Yb*Q9&^GRE`L$AKxIl7Fm{{OlkKlX&0ms~Wq)l>a0zwxwv^!nBB`%bUi
zoMs(<On#z3?^XN5hn`3+yn5<}p2xR<oNVbYT+HFKg|fHneLU|XUME}?-0k|3*Uww2
z&FE>ux~rULHic?#Ji06<XX{FV+c{c?*sk^6aTk`A@r-LWQZKmjN~JGy?xDif#f67n
z+03cmVkN))*X@{w%VFY=dak-!WEWTMtULFL<y`dKBdn`0Kfe1aCT5cr|Ma!zj*G75
zF1Y&2DQ5F7j_bMSN(&CZV#$k|dqj72@Q&P4y>}6F+joaOe?0Fh>yG?VMY)LBmUgq}
zFGZWLzI;02s;A9HtJdXV%ik-nzWhD#{}C<K_g~}+4>i=6bZPuydH;j)<*Tm!Ypjoz
z%{XE)`;)=6l{*^w+-^@fpmD8lPSJ;bH=nWGi=2BTdUbF`a;aQgbZkR-nE1ogPl^t!
zCre~<`=>t=-=<ZnR+m>QbbgIrzryO`k4IkF*le?s?_Z;Lz<KrM@@ZFHC32(%xs-Ea
zmreenuv%Cmqf|&YtbVz{fmbmm(R16?qB->1Zu4EXT)O|Q0srBg_LoyGoiCfwztBuH
zp~JWJM~3tkK|7P>mp%VzFR5i$nP+rde%<*Q_BOZ7cQWPZPX0g1WB-$$_zNF1Wxs5<
zYE)Z2Ib)kuZQ<H;uH9EFB{E9w*dk*a4xS7xKe+YZks|_CIseoZ+SKIhA3dM@u{!>6
z#P@A=(?3TRP11N#^WAQ{Rik*A`o~MJMB*anc3%&B{;>Dz&x-6)#(Q7Y??!*Cj(q=e
z<Ii`0FW7H9*b)2sd*Ck>`GBe3jrYnlFJ?PD%8YqcEyri~Eq`~*f#qdh9yNK(TMkVx
z^V;!Z;+^+X>NA(58+}&U_wl0g&kM`z7k<fY*FVV<^e^$wypv~BU#k~Yo2uTsbmEp>
zLifFs(wbj0SKFObm$~T^yX;i=hn<$awe0gH#4P63q)j+pwfgXeLxF9vGKn`1C$`nf
zBv~AAY;%@LusBrL#LWI%LhQ!r4M*hKM9YldB#9rED>HhN%zl`0oAH|RO+4;9Tnk=2
zP^&-8WG*pn$GHuMOxt2(Qg0j<ZL5t*vpAsI<{Xn^afr1^nf<w>n8oo8M<Uxq&l$XV
zWO7)}&+yG-mBWmF1~N$(8;)$AA+v66?|hx3uQ#;jMzpf#Mu>mDy+Q8T*2LN~xrf<i
zTyEnv+|4VUIPLDMv!BnHtvS$Rw&utevo(jdn62qvS)UuhAAD<ro!a(9W}mFXZ8L7P
z^=`PD_qDCcTRJSESUM~zSUM~*S^C=1HnTPDUvnemU*Fomcx_vv^P0@VZV|cLtL&n$
zwuwgEY?~T!u`M*hPGRE#om<CzQkT85W<P!F5|ekZr=oQIg-@wjo6a4Zzp3Wvh9lW+
zU(d}=6wjCZ_MxvHG8WL7xYs?&;*fgN*SdekhneCfrb$eVnv}7|U{>PZ@WhDxV2Nwi
zB5hxvwLBDXmgqB>lCYOm{@8{C1#PNs25Sr^C5k6Yd^4DqDF0Z3&tTp|0bz+ggNX@q
zcc>fc80@tCDCfi1UNNs`wwAl{9*=$L6BoDs_UT`!c{Xfyd;O&=W@`>?F<ax(Z??wi
zyxE%WxZDW-y|*{m{o0bq{3Z8r+l%XMy%+B1eQn6eI?QEKJlj7)V#1w6PjZsOq+3-_
zn*7Z5&Aq<s)URE;eyzLtL)nqpYRbNxNh@E+M6TTKx=VBI{ZLJ(yG_^DZ=JHBIktuC
zjbHcz_3b7hH|DhIZCkRiy?$-xi{xmjS5GUY+HOp26D(Wy;5y&8B@6G>ELnJDpGnA#
z`E7c9OBS{_XRa_0%anNVA-_AG>+Hc@Y_${nmduFjRORoR**tN-pJQY6{}ef0L*vlJ
zht`M`8(JT=Ipb-#H_CS-!{NHzO#+95bq;Y%e#u>YJ7@Dgz49QRM|oYEcf1Yj#lHui
zIovGr`J=&6CYxW&AN9vQiKuauo9*$y^V5ktPb+38F}*+ItU7O&$HSSOF*fEC+r`yB
zeZ1tt7&lYnNVc$RMY2Mz;Jw)%51Knw>}Gj9EPQ$1QFKDLy4t4?S6uAo&D1#XIbQYG
zhK;+f9Xu)TqgJ4GRK@RqKv?X%-CrvLfBmixl}fb=P1(2Z<g2T43#&G|uZVjsxN3S;
z%d4q!3#%5ouZVjtxN3Ukeyv(p{kR=gKW4Bn&G)L$(B_w!qxt^m42v0`q?tK=OeY>O
z5!UoEpV%#__UXf?>ujGso^oM~o2_v~TG+MX_v;iJru5#5l8*O1k4sj5SDW-BL8-N0
zt?1)PmwGw7*(Z*R3$wmRENO|W`)(5061U&;m~!E{4)^u*s-%y<R1KCF_WWWx(aBzT
z=ZkzLeqXgu7jqUdF!xN;nqz)!S~oY_$AXIrc6yR32U43`b|g*U2%pRx{it|T$GfH3
zY=S%T1UjYJ0(WEzh;Qe4^l+PF%^gFJhTUwOh36Fn->KI(2mF|%vq-$2pGWD#1qGpg
zo<#;f=TACvRHV6LMwWp5Wgek~U5-v?3_Mz9{-45e$hAL3aPQ3-sRE5R*(T0N7HHkc
zR%m!$LGGFKk>gCwED;$K1iE>I5(|wu_-!Y<+OT``C~df+Aat5%(S|z;dSMbr4tq8K
zh)A8l@Rvtu(SApzdK1;=4;Qr~kCb1?5oly&o0wtPamb;0heV11e>3l+<PJwB9#fBw
zM7B-?8%dXjdnc6|n+4efB{Bs%1K9#4as~EEn|Ivnkal^0kayAc`)n7j^P6AzmK${l
z)J}4B+RJF(ao|9T6R#?pq)Xxk#~2>Nj`oFY9}UkaFt$mn9N}oLcaiux+eJX|m)dL@
zONpsA8bT7e0-c6zffCsQ;*WVACGK&o@iFjd=w#zGJgFe4_J7%rU@yy{8|Ky-3u6)<
z=XcpBPI*vef4cSFr6R?R?cA+<V|Ls)-rD{*=7+@r*M{bp7K<aUEr++be|a}YPN4q6
zB<{w=F&;9HogP|<>-iNttWQ)Ew@WJ&OfVByd{!WssP|T|_3Rf0+sN~eof7QCg}8SF
zM4V{tc8#fsIMdp%8p9HC%C#dk#wEh9`p8kSs)l(#!wVEQOycegjnP>1?9fpuJ)1R8
z4;{DCV_NfE=?I&ilFlE~*Mj`fFBz*OH@>(Y!lCobDTz~@%X??R#vX3|)Ac()Y;5Xg
z(B8?hv8$V7b!PvM8*bGu>pibsnDymSimtfO>m30R{^w;AtYx<=mIcTqKF{~s-yi(o
z==XNBKeME5i>KK8o_;ca)1x!|Pj7#Db;>8TRIS;!(>J@cHa*8rX3go6cX6${oHurE
zQVgDZ^ya7KA~Scj{mL&`_b0K<?c;Ix`g7`CsjGHd+?aJ^a+b;l-HQ|4?oN2yS^Vn8
zDk<%ahg%9W7N1Jo7JU7j=v|o}Kj%inObtUbUeRMq7j}Qle39tH6jt`{)#_b3dVdlQ
z>`+(xw<||-^4g+;kL@f1JH9wa>OZ-6es}D>YQw?{pUc^VUZg5@-ct*Dk*p+M>-%Kk
z{LY&CFXn*_YQn7<W`Qk?`@N4T7aZ?!Z?8CD;WynXL#fkQEyyBAN!;D%$-@<$H8RG5
z4Ug5h3J<vm`bmBCxMTY0;_8XP#|o=ti*C6HrTZ)?xaOjFZsv)@mBK%FBrIYO@13S~
z$N1Q^?%!-5Hylu~6O&Ropw!$Fku!nAdlGZ>qoPe6_3xH0WfP1@6X<-(78sEzAg;~(
zC~>M|%^EY022(c9jn@<euc<Z%?3kppXnN#pUZo8O6@;XD7i~DHpl2q1<gi%tj~iJN
z7;f_jCGB=pdgH<N@KM&LjyTD;CLIU#nmyi_bsUmw-f<&afd4noqvZXLOj}ggSH(T#
zG4W_|-0#iu@L_$_CaJwMB<I+8tWRo_a7kL>DAFc*<Y+;2g+!u&yfUv)!W2iR9y5;?
z!;=a%G5%}|ugfP-W`Egw+@jf|$GqbZOY@Ey83O#1c^)Nia%A!`^ypa0)@h(C>Czy5
zQh|y6@?>^aCSx8Y!^;X>n|U53ZF96aW7yHZla15hyn^C0X_fjzhyPFIP&#rpMPOmG
z`+sdu7CC8`N1cu$^Q4X(HEyn`$P<v)=Mj3a)X`~=kw?q*lL|_Ayx0USD<<1$2vuYY
zbe6LPR^$ta%kw;XxY)6##>k`LJR9f7qY8pmf7az(jsB(6*z7%pJLX^P&7$2?t#=%5
zJrJv>@~&`U!F+CU?j0ZMH%#D`@7}?&VMe!r_l}MYQ@(W^5v^*N7eBpFal;($PSF^R
zHBSy5)zY(B^X$-ZDLtk&PnC{X=_##QZo8;ps@wwg*Ss+={tB%<dDQfjZOrmJ$9YdN
z-&taFME8{P9Y1rE{q3{k_T4_yd5ACL^7~U}<*nzhTFm(P+C+4Q=ZYgbPNHe`>KS`7
zd+M7?ij@{0n|(~T^Yd%p<j`gN7>k9h7as~M%1&B4>o#AW@x%k)j%Yr5`=Ias)bOwy
zeD6#W??~>RbUe-YMyyh-^lIa&Tkj|MznsFVv~hchDVN9lxUXXTzw5&9q^s|E*Z#ND
ztH|D^dhwRG`u{~HH_x8JeMc+yB1`#)8Qk@a>M<TRPn{l0itEiQe)y18+^(!hFhN{g
zv8-4yk^ik==j|^Hb1!@=Qrytb-T600L*~JuqmFtuGLH@&Pt;?Qd8l+GP)|u_vF)OQ
zcDV(@w+i)G{0bBcCvmq1$Lz2;+uCj%^TXn_YeR8Ni^X}@7Va(XF?W{UIc$5X_Reyf
z1I(xDoo$xb99n!wdHb!XzmJ|<3I5qryzE!H{rf8~pI04xRG?gNF-b))qUTVh`afl%
z%*oz1AIo3#{db<t%F?$r*5OgcZB~(Ue-GEc_#wWH>1&~1_2>Jy^%Otsnkyu<{+8y#
z51;lQuV1ie+qNabxe;H!muYX<z^lD+0q<&q|L-3=My8e4Z=N&%x@l5}54T|VLYET}
zJjzZ-lQ#7Hck>JSV{@Njt?ZoQTc4QHZ+{U=zx9P{xopXs8y6%_%nuJu{j#b0`9Hp=
z4hhHq@%{IDZ{&60U{y268sm;5QOz1_3_H57o(%TP@n>qy^?Z<&EmU!15{sRgx?_W{
zr$AD&kjsq;8IkIDIqO&RC~df;P_=T;{=@sPX?HQLT$Ayp<!PtW)cYaHGaX-TxT3%t
zF-c|DyrY~!OQ*Yc^DH{P)$vP&S%=(y&rinepLvuDbOqbg_|DDpc+k_S;y2slyn<R$
z;UyP2zZoZv7Yeglq$$~E&(b&~s4UmH+D&n9mPL+IqqEv1iwvc`ZUz(UyZ@?v+Hl^*
z?%OPl19HMIODA?Jy)k+exFbpAQt>|rVa_cQ$9L|?5#XQB^XTy+N2VBqj(Ib9g&yAd
z$5+4R`X1FwE7TV=YN}nBlO*J;x$MII6kfhxOJ_LD7n%HGZW7ntNj~dOS}#mj>iV@x
zr%J`jQ2747_-|9iUrk$iIKA2AL2y%j$@+IK{lBgL1Rk%Ss2rR1O18#hk66Y2^;7a5
zUFUnY?b&<@jx(N2oi{xf7-)0Gobh4eH=cCh_!kup16@uhAKxa9;BV8n$2^K%^z@h8
ztT`4=_uVE>a!5)N((v(M5`R4Tz~LP#9}LYnnR+~%1Qt(LIJCm$wCFvxsCD@>Cw3}-
z;jK4T;#6r<Z#=X?rNGdH(}u^BNxpfq!hr=U92;LbFu6ojF4*%_@19DOTz#5iVT<+#
z8%~vJs*Q&(sT6Ef;k425W0LQlq;TMn3dcqTPNg&cTkafw?Q+`I_PR>n2bO1QjYsCF
z1k9Mb;_~s;NA`+5NPSe=A>MgiaNhQ93l|3JR~WpmcX(Nrn~}5Pe956R%u9doTWI)C
zJ9VzX;)-iOrd`|o|I3l=#>}H>&*H5urm--&Pj-0ZA*3PW$0Xh^q&{)RtctY;svmD1
zeR3q5f3nc}S|6W1d<Kdit&aRS@|xp#x9#NWxi6n2d|ap^7?C8<xs)w1qHKlEhKjc(
z8*DCByev`R{4%e8&z~zhTQBeXr1WuDX1>ssM1LWZgmR`AR%KJ0E6#2)=KSJi#`&en
z7=#(WRApuhapY*`SLbcra!Y|}e%EB*MvoekjzjFtJ1X)8_}}w9dc4+=X^){t$91;O
z536RhesW1F-gMGx^L`(>CBeVBn>}pII}RB)@2JQU;P>Zw^tgVbBa@9$$Guu<l|#)b
z0$j5vIlfS-x38RV?$h^4>^pDF*fUSgOr^2^tEWIhtWZnDY!<<5BEepAM_w=7ee|lU
zAm<&!iAQpUHSZWrh~vI%GVuVfuxE_<#6vq=b{DP8%c;EmIQ7DePjP3~Hn~j~?-X}j
zJZS-=@@dCCEK-FF4$m+7Q$O8u!S{3VNBuX*^7uP9CbAdZzw7J3?#o^j|KB%=UHO}k
zj=`M7&O{z<p41yh1=?)iq}@2K*T(!N#o`EGn{v+m*?qAK>^o!2Pnrd_>+PMI*s05-
zos)Lss9KwCPRfnrY>?$rR&B~_R5yBmQQr7EWlP(Yg~pe%+El{~*KDYtlqjw(@omGL
zMEPz>z73V~2NmZT$UHo@ujCC^t>+u7_E@FT7cONJ6Fa$iwCAMXIBMBuJ16zVamhC3
zIcXM0G~1NtsBGN*!a3=>_g&5<e0v|rp5Ji9qD}Oe(VHZm!*XuMZ<0k0GrAecB(WS8
zY@2+;_KVua*GE4Y1hvN*@ux;4)pH!?YL+ZB>`3Himi%_{P(cGDPkTn1g_CgSU3Yz<
z-R~FJuRJXN;`j!a_%_jB25%ObAC{{!e6v{nFk_X0OcL*5!LZ3Un7^oOWakT;XvNex
zmB)KcLPVnFVZCXFZxS^jOUn!oD~cJ)Br0~=y6_8Czer$QsVp+%{DvboZKCzhjNT;i
z9hOToev>SAm@&;rCW-B^;Ihd#lxuFz*ORfF;r6P7WtowVVQXURNysvwPM+U8swX^P
z_%6X$I6rZ(mHmvUH=aDc`rjVie|vNOGN$X7xmj$t$18_C)LN*wPT<u;qlI>Qf>IBZ
z7AooqNIm>>FXRB1^CiaP_NDXIIn<wjk<K~Q!g<A^OsCj6N>>hhI@QimFgZ}@<Se6X
za_C~o0X??m%-25um{`JEC1vlk;)tS?D4)=)M+^()z6rldmS4#DO-L$<f8noVzU*%j
z1FRBkE9?Ej7d(IG-{MuH67rCFq24{=R}W<u+T9b9dceC-F-}<OVW?GtJo9D7*OI^M
zQ+F}hChk`Vd1See>pVy4$0-5){v2OF&bYwP&%yg~LcrWDml)YIJlWSQfBE|**B71I
z{(#QiEn0UJuN=*Fvc02p<+!gC^Bo0~BQ;LSXS~^Ek{qlOY|YR6hA&wD%(BJnj9N&d
z*h0NDp;w7)3+<K(OC@M6R9q$inm2pEarR|H{nr*7&Dh3y{pvzmhK&KOA6s@wxUXp6
z*z!xlIiulXOPhpiM$5#LyxGa6xuvPs4z6});of66<@eJ<X6qkLJ01ED_jrF<60=bJ
zX;q-#&UU+YPh-`48?I^ZNxgB@y3KY^`i<l4ZOk<(7Dv?ElxyrlsxDkxdT3?G{fZaW
zJ4z}|R@JXM5fUC!+g``k`@d#RoPvx`aN}pTv;Wt8YrWPQ=3~57v^VmLf7tAk^WP+G
zYV!G)a@OtUpOb#FUYGuy^izLys&>c6HwTSWiWbh(v=0+;w#~lq=cfO(?JFHv`=m|k
zza>9i*yXh1`J}62PJ1V>Ss?JLa&gYT6mgze_BW4y3UJlCQh)mC!c#FTrl0DcdNe>E
zq~-S0T&;k{%WP-o|MjU{u}R?7)|9QS0s5-i8ZA|aHD=r2^lg6TU$)yOWG465kjfQW
z;;;68N)9!=!Vc2mSvi|4<iSSA&*}9Ma;vmjcKz~Ll%aTK_DL?Tg?R?2p80P(x!~vI
zT<ajYaJ?(S?A<%-m+$iStxa`}{qvm3=zh|3!9$zxKUxtP_vG|@!_&uOrtdE`_<s8x
zYg}yj+|pJ1&tALo^8beK9`C;MpS~L&o0X;YI(y^&MMk|AH7eh$e=IvyBOSl&+2WO#
zpQcW2W(-rmkW?zfyw)#q!qt~|8?IJLB$e8=Ma4D*hF#~~o3qZU`JQ`y=JM3yx!2m|
zXY^XXb@=s5bj|_C)t99uR|i|<l<M_G%x#wrd;WOWRn{G8#aByrWR*(BSkCxu&GdAg
zcc^bIuiG;Bbe&xxp^t7dR{7Y@lRxoSGcfo?^oOI3cjQ;<n)BXlTlMNz*L$O@_Lsk3
zJ8j1OV)mP>jd$YX4Y;O+f86y}XjgroIeSt4ud6|eHadoX+BR2d*R=94HXq|RzMHf1
zMbKL9%KK%UvB%p>#LcdiUu&;h;w1m^WkaQ)tLDisHd%4ng7FV5PJY>-F*jx3l1V;(
zPbZ&wuDtTHXr2@M{55_L7GL$O*<jWBJ#6{(^04O*w_g2Oky^?a7Zq#X<NLI$>GJo}
zGwMSoo!nV7N%AcJ8P%ERU%n16V2WSkx5)j~GhM6Jd122NO}|?CBDd7;S43>XKC|VM
zee74CUQn-fvZH2_<qR()OF{LSYIQ!gv-oFus;QSuk}SAzMp0>IO4Kc#1^JsA+0ROw
z>jlIeU9ipjg_YkDBah0?m`Rp5{RCrAuMFYp?4F`tKl5_#^b4hPJf8|p^fyXhdBJ^-
z_TEPM?)6e%Op7*r^5EjSqp!O!YGJc?3s;Ov$RnnOT+ca63;P22(>cEuwq0OY&dFQY
zb%8_uGNbn$Z}F*oegdn^&0D-=ltUhBEUfBuSkYd(bZLe5*NxLIFl2M^Zk%<2V>RDW
zrm!8e^O`O-E7r$03Pm`sI8x*!Dkk_UNpYc^n82%KyM>I;1f`P977C^<WiBgJmo50i
z?siG^NPR?0K<CRAEg#h@M>(BrWz?@64|HOdQ8YQC=%g&;!@lPFtd|Y8d!_usA8@`=
z4@r_=$W_fzx^Z#<|835%8+$J>%;w<TIPn5UzE|}*p|6FyvIX^jK65WUuDmuRL1m$k
zGDoOHoki&;hVaXgDo3W8^b}aKzLt&gSo)}HuBZ2T!{~+0w_CXGD26=JTgdgDqqJ~-
z06#y+*N+n*h2@M39R8OWy<@z@uSR~?T*%bzb)GM3<&h*O(P;v&k~9~}O%r^TY`Ksz
zOh770b)nVkWo;RI>kmCG$*_98&M$ny=66y~s@H^8ZRiUSf6e)ILt}uvH0RatDhn0O
zgryR_trEn;FEPGev)eSb@!YQ498+)jtvJNzR4Sx&<*=Sp?He_d19DEzZ&XbV?JGGT
zw{98pwaD`NT}?lZ=WtAw@LF-mz$unT{mNkur`jGBlLHz~&OK@-hi2559I$%5jJa=f
z-maz}?fD#2CHz+$YWZ@KDaJp2qJa4&gF|aWHg78BQ(NXYb*=D>kY5}wlBEW-6B{jg
zyw9XYB+4DuduF^Q+=b_N#EF82mDZemhOG}dCYLWuo<758)iuisp_R_+4?B6heG(!P
zZ4T=lGklY%bJ*^fflPwQVMRB?dYMF(&e%k*&e-LT1()>w&^IyEG3-ffUC6Ur;?Rcn
zgOIHgjXdoci57<#gm!nYR4JP~^ZF#KlB~GH8;)ePiG~@zNm4y57iO>~J)w<RC)wgi
zP@A%j;>PM3Zb{cYs|;5<r@wUN@zzO+NMt<xt1kV<VVkzvGpQB_WZJ%}b<`*B<yPAG
zdxl@q^`BL0E1lKfNb<a%D{z?W@(D@iGYXdGM|_-0XA7@gJ#ngEa%wK`x+(XT>K^`D
zv2F1m+1X6zu04F&7xHmcmsE`J^`Owee)X48tF%gV`_D$Xtkb=IHYzEm-#ty&@Y+@z
zpX)-~pN8$4wnLZy($*)pitGcu5C5OLw*H4!^iSS*Dp@BVuadfxx<l@}&{Xja>;CAi
z+~ch*C#O{}bUh+8_<hN%TQ>sk%a)f-vFFj5mG^aP<lg9wR*lhN>V?J^JLarjb4grL
z&`a)mU9+_HDfvRt!smW7LVqbZt$wj+cZ%85uRHv-UI~?-+A6#9#bf`JD;6`#XGk~x
z6jR<<e;`RjtZ4D}j_^wEFLochj(WswlW6s}J~jX7G@m^hyE1~A#B;nBRW5hcG*P|e
z>~}RRd||zk?URD<Y-{{V8#H(}*IA!^C^Y+z!Rzc&rrI@ri^^AJD#@iud(98dJX$s5
z>#fYbK8e?f+cZt*xa7^qUA9Ng*3)%o;<e;-tzU->r0ZwAn|{bZI>k_^S=TL*!@O!n
zttaoyJ%T@S429<FxIIkHI`G8D=8WBqDF>=&PpU`{=E@J&bUt$U%~`(U8JxCf%+%#3
znwnj)h|Sty%KQ4GRb(#TEA6jZ6K#`a>g9K`S$oN^)q4K5V&)kgR=NF=yAIoACi9jp
zkGd4m|9s^%6SHgeR}%Ao_4JnRdUW3U@}9WzKkBDg?Sk|^Dpt-v7IXby-SUf03#~tF
zxS6xi`a|p|xzmoZ2ctiieB@ba{b7crU*Gk|zMtl47Q|kB9pYWWKF?>XOZkJ<A>Ku@
z7P_^^V%YcjY&W>xsIMVj;kWaM@n&Y%JB|L6ml})4e#(D;&eNyxrcb?VYU!NnXF_w<
z9-Zv6{z*ZNy+y^D)&q-Ox-vzp7H{sB-g|wc#NN9bCH6kvD6#kDMv1-eH%jdNx=~{9
z?~M|B88=DnWxwTW?fyvdz06+byk}Ei`W8$+>hWc}L~EVc<3;O_R=h|yw5y8tX^0aO
zUbOtE%Zr27?hhH?%j|Ximt@G~{-fTYq;Nhr|Mwjq3a4}L{r;Ty+U|P2FaPSpf9{=r
zHtg7GSKE{Kd}^MpKJnFSYEkEHcOmr)d#YWxXW4ek`EA>(!NOOlSZFYjZ%fB?v0a}0
zXM&&aI8by-HEf2jP^;Qo^Ye4WnSSq>aAS5x(Dg2#Yb(!~T}$+Rbj31Gbp5PrLic8@
zJX~*eX!ET1o=YCfoPWWx!!FiFcz#*AQtz{$+i%RMn6B==`F>LPs+UUFznopU%XQt$
zXpa8b`=)-_@zOfjSG@4@_T}BrXYEtITC#99l&npE$FC}$nXJBiq1|HjHm$kuWOK3)
zbLHHf`Mda2>bB@T74PP~jJdegtoyFJ)t}Jg_4dkE|1HyV47J6dF28rDP4q^}yeJjF
zz2|L81I7LJ8eVk1yL!{ktp^%i_%ml^p1GFnoP9IzYU#~8|Fa5KY|ZJsmR$RKn+jX+
z&8}Um{O*^&k9nIg*O&9oMvYqgd)qYUs+Nh__uPuy9`}E_a91Zw-VBdNyE;YIO=T-!
zOf@!*f4Q~(_=d$juO(*{bqY?=?y-q_vvx-MD(TgaO@CeuI=?Mw?|zk#_qv={f1jG$
zdjHw4%I9tCwX#-at$uB=?#s$8=OXu>?z~*!8|%7l*{OpSvxR0P1Pcl0dL;@4Pu==;
z>iq<x;Ey#A5?1+g`e@glIvv6{Z>n8bn$=#3Emoc4Vaq=pdlgf^XR8%|?b^3rE}nnI
z^kw@l0pBq3hldiqr+fNrmt8hJP@MnvoCB(>FE2j(N@QKc-0sz3&mYdZ`g2EKDPvwl
zY{%>{@rTpao)$^FvCBt)UEb|gS5B|C-li3MW=n4-|K^-i#}{8p|H32sV54tqMN+Wf
zJfo@qKm2RHbXjoirn#xk@2t)1`P8PST`bZw*uQ0qX94?r{$Rxqjoh96F&Z_G4joO`
zv#EJ_$esV*9R}N-92dL0Ire7ud+01+e{p*azc^Fv&IvD$w>s79#h4U6TsV_ko_Pny
zg+s0#jWI3~F4ZoHinb2-U7L3bNH}E|ZJbfW@2&BD<CISfr#1LLPV4)}@!spaTs^nV
zyp6IvwoV%k7__Oj8Lu&T<WV?3tW8^Me_=tUwK<cxuG^!gqZ)JW$H_%EznRm0_Mqh{
z)^mCK`z=)8J>U>$YTtRG+)SM7{mz1qecb%{J3oAE>t<NLljCDoH-~;^zsH<~>@Tc;
zvx-+8JlJ}~SWjeL(Zffa;&O7u3zzfiG1=5VQ98n`r*sE2oI6Wy!TUGWF&uZ6n(nl4
z-Pt~Q%5MwD%7({N+AZ8FTNd9wo$qz_bNM&fHr702ox<+K)~lV>8ykwtzpXlAdq0b%
zZ0fy>-cp^3OSiL6a!Y*i@X`5`S5xYi<yW%nvI$BQ?AS3^Q-J-nugZoqE<)bEOEw&F
zu`--pf8wx`@Xs09ix`T1M3R<uD%oiLWlEFt%rQ|4iO3faf6enKajj#`8bgnUP&Uqu
zmlOoUrU=(+ei6MpPlZW)`}9^pVfN3yDhB6Wgo=Ha7#w%eOPlSXuju<Ed08jZ8H2!%
zmug)GXFJ@Jb_RMp-@8&x$Rb0jlUXh3M!u5xZ=WZL_3Jxp-WUcp+*RY+csgUxA*1FU
z5m^FzI}AGdkFs%YIH8~@CZ%$y>FrV9is@bX+556i)SK^JxkW|yl8<X>=&^(6f>!x(
zG|DdhGEs!@T6E6=tL}*r*@j%%I>(NFyHwrb`8aX*(H}Rm3>mjY_;j2V6HW|%DZ8s>
z;*E3CYuTT=#m%gjn=P&5mbCY%#+uC%;@P^#4oh`^+;}Ug<l07wxwSfO>5BI(xo%fH
ze^FU!x!%YB%;}0$y=|X9zD(p6w=*jiOb`}VG%FHJbheu07i-)b$?ko5;**{OleVl}
zv*ORLTL+W61tU@ng}8Oy^jBQAomTyzt$6A87VkyNP92PFV?8(JUi}um<9vL<dIcS!
z%7wEMTZ4IaTb$g`F3j`W;#@&PGEck3se%^emlav7U(3iWh)J-2D)d|9`tKEAo_5F^
zT1gyjJ@CR-^Wub+*ORkF#F><LPLSBBes#tCtL9b`emn0e1?d@1DQZ=GDg3;CU1E49
zcdSsa>HmJY*UgvQk2obHL_MjO|GQ<0%l98@B?b-Ljn8bK?VTvjH!Y&)KvMU_h!jIE
zZrx)?nYuq3+)t`Gvv~$Xv98(?neI-5+2wsM!uBrb12*fpB`iDYab|-=>r1i6Nwbbt
z%*ZmdTNdHda8gV-Y0^=b87HjW7utiA3hTHf*sMR}YOiPW<>?`Jc|E2t&y?z2^!1c<
ze7A2maPHkK(OA7Zc$tCo-K`Rh)?&(qx06Kj-kKQ~ewVTpyqgm7;8>pV_aiqBR-R%#
zw=qj)o$;E2-bC?m$!`U%iSpAW`3gE83W!Vg6)4L3=P6lv@0_<l^SZI#)2dT<=Spe)
z?0lgj&ZK@x$zJfQPyenzE?1hlJM~}IyFFD~bbbnV&7zn`%1^oEFZrJJcj*oi4*dSd
z<%?adOPx~Hbg`eEFW%-QGhbcl)_<#V>Gw&|D-Ybc<b2iWRRY&xrpcbNLd6`RGkjNc
zN48YW@LbU^*}^u%FQX%~#cf7Zm0#?VpXch5<L3H(>=(0`;c@DC{jLP3uIJTNdrlgE
zl&*KNDh<>#xVGm+<+}${cJ4mQ-8ebMW6lHc{A^$0!-pE;c6|kc4-CW=`3eOeCcK>6
zSfps!_N`;y6aEXczR2vK&%JlUQ>R3IalLN^4-?JB?aB%T6V$~O%L)V&t=|f^UfkmT
z<;=d`YfIF>t=*y~zW9a4mx6iIz8Cg=Vo2BIFRX9-#If8v-cbLO)ZF@Q0$LxIeK{8(
zS^8l{Vx#*KzRv3{T6>hP9QAgx-J@{jc(D_+jk3v+U?*i8&(?{*pYCX1*>`&R((7qa
zr=DECR2yXH=lwm%X8yEyv-VWiB+BeDdVRjIar!f#NzeJ@3KR?GbJyyg=`_{<^7MgI
zyrA9}*N0B=_2PP8mOgZfm(}~iYV^I^Qt@NcvE`R9B}Yy6^X}g<q2fgAA!fapJC6??
zj@7HV^HAwPuAbAKM@ol$Zz*$~&+LD(rKI`VlFOG$qb7H!mxxqVc<t<0pTb_@Q`zA@
z#l0d!ue+%GXLj|akO!~i9v?fa|Md6Nx|Q2_xWDNBU6ZRlO~R*s#UTZ!SRR!t&fE*_
z*aV~&%)B7r+v4_OK9}<JX}{i>TI<c9CO7M|$M<77f3{z*T7K$y%mVSJLbjUWFD5?v
zY4Yvp4wIkpd2@?QEbqDei(KC9f6PILqp|;(+a?W}H(MkcWyO>?-c1tO7TMFiR_t+N
z_t76Wats;GB7Hh~#e@@?-`C4@^8XQ-`wFe>%If~O;Z#!1nk_RJv~|>u6m@rQ=qc|z
zR9)9`t}0x|?SawyGe@oUZ1y}obX;1G>CHo>BYApCZ@ji||1jg}q@x@$KC=%cPvaI-
zF1VMZaxSvx(9M#?pYvJf7;f5dV!vR)ti;CPV>OeH^YI1M>lHMFDi=;lY!&9&ZE<cx
zdos^&i&F&+$~^5BX9`-JUse>IkN*~|x1qnNbMF-G7@gX~OQt@bw?F2AQ~Z}LC+zDj
zkGCF()KfV(W#7>tp`Fk5mup@ZpIcNbs(F37VeO+oD&==?lyyFmW;}k`{mK0OGTz;P
z_LQ2a7M-_}m3ip&prHO`x3}lR2TPA~?AajE`CM%AhcihrHd`h5{dJBVPwwXYa3aac
zX3Go?{)*=wGLPC{NWR*4^u^qXM?LOrm1wmVd;DnI(TW|ZhIV;TJ`K`h!jE<xb=h&u
z+WnydsKg1^b$h_I{>)KEJ)1Yr4;{DDV|w#c>4==3(i=ZeffKt;qOsmPGkC^AvB;hS
zPTdnD5)8RMm(QGOvAul8@eK#=guFZXUT#@{p25seWyAKw)|))LXB^$oK9lG7jDrOY
zFCqItSH7$$627Q%id8L0&#<wm_2bKhlje6ED^xTv)BPlTQ9_TUtWa^I@!b`7T(&e9
zHNKtVowGP5G5gn%pPd<}7uHWX;qMZC$^D7?qO+M3=XaRBH8Km>Y0hLer7myNRIWAp
zwc@*btSZ8PZJlML^I_YU%VujM@;`W-t*Ulk(XGFPjekDp*N>eS800y5KQ><Ac<)^=
zu+Zc4+`Sj~Shbon#ZCV7QFwc}=DhbWr>mW}<tqKqRMhx<>HRjp0^2QTSI-x(s?R7^
zG;HH;y%@7Y;%IC8#F!rv2VEN;#<WNrb8T6;R9;O(T*yDO|Al8A$6C+xADeehkT}wM
z$U!e=OToiL264MtJ2@^KaqajQ<MP72+C~3bM*oYMd-d0P`oF2o@YP!u@GjBlshwJ&
zT!PY5MYRCA#66YIpKr_G%PIOI_1XMC&vNUVKC;-hvmTS~zn!dO*c{OMvSrr{w-xOx
zTYk-O%4j&*(l)~-qos4DL6QHRW0L%@Toa8q9rW>THD@|D;ZtFh)Z9lux0sxIveSJb
z-?iCapYJ$eRjhWhnB9A)K*XWeBT0H9*QEI;aUVKr1zDE@nm~W1bVN%}X^n}T)brLO
z^-)r7e%{&{zE--r&z+JaAuCf_xcR$xe%RR2&A`2rV`D=%$LGv`jWr8x7hS$&11gz{
zGkx{KE(g9y6n$zJ79^Lz`c!dRuw3HIojRv<pFNkz=RXpXu<fJd44Kk`35ku&OZYk?
zTeQxoTsd0kWGkZ#AGAtzQm&WrYMtoq{X1A(Xa2Nzvn%&~a67Os<mVr0#%j&!H=K7K
zdM9#z`Nr7Y)_+d-{g3Xue#QDm<iDVV<L~(DuY8ObiMPt|+#@D2p+fiKoQky<rc}IL
zRR3sedtK*syI*Q?>@Ox)$Sx{>RN4~v^z76daqCKzUe2u0y`cOt>e1<SpRRMw7p`9)
zTjRCo+Xussw~n_TdCl-%c)jx;?>&7V%EBXyMYl~gcfbEM|5W$u*}qF4Z9TI3NOr4a
z=i^u(|2^LfbU)rYe&xt(hG3!f&OUy7`V3a?DUZ$3y}quwv-3KWu+VzdW9o6*J>GlD
z49z~?Iy~b@w)|q@^~^n9d-x2EK3W~>`1GvmMt#saTlT}k>si}W<Ggu%_k>9V#m((M
z`&6GfN2^{kDf#DV4r#Aa(<A$>+Wybq@V#QwlViVhdZTB3y<zzJ-Nn0mB{t4-WhmIG
z61nDAhJ5!D!^mLU)xW}nve$0C7L~nrYmWzr!FMM<#o+Nn_M+fpR>vQne_vYufT`kR
z(T8{If7JhaeeD1Lr_bZ}r(Sq>zJAtc@9BE`%YMF%W-I%m6#Iw!*V*#@SFDT9Tfe$^
ze#^a*51Zw6|9n~gc>NcvZn0hSE*<l?jNbb3Z}x1nKIw^Oobt+QPlJ!7l=Rm4`EBpe
zd?9D3YI`fCNXNgVs3!bk@cc97QhSw-U9wJ+sZ~;2n15u+m-_A*JqraVe}2(o#@esE
z?8!;anKH+d=gQnSw7shSIrfTi?WPv@Uq5|z)&zC+Y>s*|=h~tNJH%Ao{n@VH-gob(
zP0y0ry`Nn(Ze6#Ick6lRdnDqXTaSG5p&rw+Cw65yo~0e~HE*x14Nb6L9(?1{;;)|#
z?l-<JJv?vH@Al}T{~6xz>URjl)h6Hlut==Udtt^J>9}i$3S7BQUll&+di8bNbrtiN
z>352pi;kcD(0sdlb6@NgzKap&dqlTotdYLQchr7Y&HLYPt)nE~WhL%h-uif-7$?8e
zMU8KAY>VzGWF&^I7TM><U-hOfBQf$rpJ~thrLH|s{f=0?bMra0x5IO%yrE=0zmnSX
z#3Ld$T{9*fcQg-{K3z0d#(tK~MfJ~%PhRfWXwxlm{BwtAg`lCPzOvfm(j!mybk1nn
zE*SjDPyP5tC-vj~YVW_E{FCv?alTIC(mK1pHDTR7SEHV+xwt4{g_x@M;n%tHzIJXs
z3!?+FYwy{<mNRUV6HK<0sq_1tQS>C`bG<=;|Bimm9E*h;mVQksuD@|3Cu{PZf}o<)
znkQw`58b}?Z!Nnn&vWOC5+-^Xi91%bJ`Jh}{JNmfwdk<vN!{zJ-%AUA9PD*WE-=X0
zl5cjGC)$LqH+o?PkJR?925&gI?nXs?%X)ZYx$B{S0VZs{%(=e8ldG0z`y3bNs9)Z;
zu_>zFt?W<aoAg^JE*#u8A>4c8;-eq02ECclV7{&($9Vnq6VVdtI}>Y;$KNe`q<iMV
zA%kFUd;3d=45PbSETfj3xvG>rPt5Z3R*i@St|#03=Fj>W6rpy4txn<hjgQyg&uw`)
zS!~+6Um<;YQ7R?Zm6o=PN!o5b@p7rFW4^A}uM_D<3<K-UJ}eH8*X8-UWy6>2hYTIM
zTN<O5@LW<#-XLb_yye5;xnin^Q{05qPO^D&AKq&4=E9*(!Q997bcM>YCvI}@?%5ml
zMCQt(2NP<ROr9kAX|3l>o%2(+eej#7`E~IWvAQXj4i!drw`fN#iMguuc$S#u^{pBf
zw>}1+pX+jRW8eJQe}n2%d?resjIz)>Kjr5n7w-5e84oii&!1}?YPX&BvWou9dms62
zYo1-*QMT!EdRG1Nw&12Hzw$q;KBq_Nf1J;$x54nvTzj!kSv#z?`_{MZE@sT<DStk@
za_{#?*^8fjzJABP<oGP3n-6r~y!)}MUj5-|F5Q~Qg{}J9TRx<=ifOWMIZ<!1!u6b@
zE*D>RV9}Dt*L(Jh{L7sz`SI}Gz)CgyJF=G#6~%BL=hPL7+Uk*cS?Tc-G0Wv!G%VJ-
zo|K#^cwBU*p#7b>Q`0{kzMG?~Q@o|%%vGhtd19KMx1NYt;CjwUmrE=&a8pE@O5*CA
z2kpC6qa>zfB}Oi5P2MTSslCM`a;59BdM(|eu<XDMZrzi%8YyeuPGPpcv-a|#4RPG3
z*>q*3w|d-I;(97g*JxYD#Lq$9P2o{f_DqvJc|%Y7$HRBMVr|=_BJO2AtkAc&PH%t0
zYOSh&^Xf<Lck?Sv@6-xh`4AXzdii4C!?DTkyR!}6n6&L?K4@_D#>IDHGWY*2&z%%q
z<?*img=VFb{>k7f?|B#9Kh4?4n9sBSZ(r@qIs5-!`oX*R-(0H;UDv7wb$KovGWc*~
zdbid7Zn14;w`_jg{W0@(VXjWN^07kQB%yWgKBsy+PVSI2wA`+=>_OR)AE$op@aaq4
z;MXU=DE!srpN3VTM<T-9dy;*RsI2LoF{M;+@<zL)BC~oIpM%FbG;gk%{8(bq<j3x>
zb$_K?<(GUhS(WGN`Sepe{cMBUPgtyXJ;$ocwJsy@lhK}g-<Dp@6->S%H|yKdtFnU0
zHzW<G-4%R%LpW{C#=!Ew2d4i`(5?Fr`|{pnCh2dQIXBmDc-(M^lU>x$vgFTcy;aA)
z9(uc{xJ&w(cV48z&gA-sI~7=aTW`BYNr<&C+E}pLg*)Cf^Wo}c9~Ql8z5R5ObeKn8
zq~^}#&>shT8(+U^bTARi3vbtz;@+O^bWuY48r!0K!5>s7i|tFXy8g$;%!NBy>6n38
zlFnnLV}^D~LTWBPr;c=-oFQUpIazsGg4dBLK37kEOkJG!$h|dFFnNYtz2P)T!N)Ts
z4LLU}sil@3NjcLwW6n;&;0t1gs_LahH80o9{t@^v<cNgd>&ZWhqPgSqvL_lkb<dd?
zCDL<gQA$b0-nWYn&FnCo!|VHdMS)%t&vWHtMT$u>;^$4jA1Rfs^-MgR+Nv8aQWg_x
z@o?55&Fjr|Yd2<>I~N`HJ*m50rM&(QU%vn1&-)j*K8(|ln)^RimnVA5h8%AFRqy_6
z_s+YaxHEP6lfKx8?AzbpD*cn{(VkKA@Ao0G-gEn_LCWuL_7!fedi=)mxP0JWKVI?g
ztyhXX1CFOU3a{gsRa6=u{O4_SzO-Nao~Z6UdVTA!Ddn^55qx|$Elz*kwgT^>!*A<r
zeNSc;*ehf`WOvd1ldz!mJ;&A$b7zTZMsGQBW0mVUGhME2*@2s!(~cCmn|*M;<)_QD
zd&`HdYsB_VxvG@7UQF}%mJ>JDyPjjz<>Jc<Eb>S@QW$Rb;qi?`T^{!*GlkxH&MS)l
z)WbgMxy_V44>mqg&7OV#XjM}|NWfu3N8RJBrS)?JOcEWB^9%m<3l=N;BXBW2YW+2x
zS3evVoZoR#F(>bxz%6t2X&sMO^Ce~;kd{5PDJ@Rl{^!ih&p)%@Jzu(f_PfmH?FO%>
zh_u~|ig=dsaK>uaLpHiW&$1_Obm*Sb8YQB0X;I2Y&8dR+UrzS?;7jbZnfzX0TGqqm
z0Z|syvL|kEs_&jMH7aGzC8hMOVyxL)KCJIO(~-RUOvihHYbT9E>(^?YpJL$pY0An;
z={}Qf(sw;!HCEA|S-I+^=-TdMaZOTH+*vP`S1ew?fb%bl@5}mCE1ffR?(=cz?YyYy
z!yWhJ*r&G#9{f1=>8-*^-DcHt9?puz%#ycCKK90|Nna~j?wib7zq0jVl!RN!FSEPv
z7qw0nOs*(#llJc4e9K~*o6n)&mv1ep>6p>eFF5(KX;CigU8QA9eoM}jIqfl*>Hf(t
z7t}xR^*P_M@k^J4`}+>h7s7^`waRLX<BvS~(m$h#Q83sjK>fI(m-_MV=FMMD*0dW(
z%-2cmsj~|{mNn7PuY1nND3N-f3yV^cBs_n+RC$2OjEr0Amkui(Gq6e0QC2!;Xmlnb
zx$^7Dedqp8$<$fjXQjO1;ib$*-RYubF@Y8jR~^#4J}XP?^1eM)u|@WtlkOA+7ad=_
ztZ?n4uROoEiM3fT%$T2;{qXsdsEBv%;-Tj^$a~~fXzYAC@k!tDhwSET)9T}XGhdvM
zXwMsMl6K>~)5U+66&hEBPl&2m*!nQ_!hSOs?$6EYTN8OcD;+a3Pm(ECQcEm5vgAzH
zjGmc-lZ};=xR$y5oZRx@?CRd9Q~mnnMVGyr{4+4o>qvx}drxxE5fz`F8B;g~CmY%&
z6&-W+Ie4Q(Q*!a-$KGjokMwgbRz6lxA244haiy51_m&e8Yh2GM>2ir>1#VK<bMIT=
zLx&?0-`bbehTIT0Y}+fCd{fx4@2;bMeE6x|B4%$wZftT+wC@eDNSu90Gy1&A_w3%M
zS9K>zn|bHmRN0xFTv07G_dlC1&uiz4FaBK5OpI9Fn(A8-So(Z_RMBCrle*ff<!d<X
zchq0}seenT!|nNgot%sR{0m!RD;IyRuicfoC_sO`-W{Q!!%U94pIJ-K2%99XTcBCI
z&E)9)eS3Z_sSL=8kDII8w>~U5jdhRU<1=Y-`UYDKoQn>BdG^Juf?Im4LC%Fko8q{S
zTj>g2%bK{!4bm*Tv?yVzn5uS)o6hAFX8R?&_16z=@Zmlkq$}gS#Uo-(>-_HQhs!OZ
zo_x8wXhFZ2>fTg0nc!4r`@XN24xRt=WTwzEk9kIspL#qeJ<plACt>pw)!DN^8Tx{A
zfOEN{?p>DB5>b<fm!C~oR&(c$NtVg9aQmFReNWulKdjv8oDm;atKYZYs->JUzl0-y
zcIDm!SLzS#2DKADY}@%gryzdIoT-Nlytq$$=*lQ>@sL>Oddfi8s4Z)vu}gQ;!Kf)b
zR;!I3oesKrpqztws{zl2Lzm*Y-R*USeq~L(<lfzLFY3vcON$mP7gK$m>Q-Z^CmnKn
zb<~etPV-Jqe?RH>qu8SOUEc4w%TLzc)Z3|lYj#<b^nR=Qt!@E_vmA9-vy`q8F-dG)
zpn3YdSt&>F)2pcmRn4thI?vWd|Niu95-1nHN;;|Atx~STQ?Zyi^1{nU|KCnOwmtlL
z`L4^NYr`i*%~;-=n72Ij{C!vMV5MUPtAlU;-@WlpkHqob4$mEOhML!v)E;LYc@on(
zqv^C@aM8-(v$qm;>WfRbYSfDZ=b!m*B(8L<$Sz6dxsuw$oFhx-bk69xEI7GXD~Zd`
z&Bt@LUtjVzzrOeRQD0Biv==+g*GZgKXBV87HPO(yd(O)!5ueM8QnEl<_*Vs(i~wb1
zcjaRRDoHx+%Et;dKpDC8&@}zuE2Bc@rhfOk8*Xh@QXhQdQfT7w<$l30%jSFtd^m-F
zu3Eq8y7U|GRll1~d@^nOB<p)Eni*#{#Ahc~h;|+0`Mp%EO*|@M9ymA8_spx%+WE8-
zmYYA$UG*c%B<%+OVX<DxZ_NQF$GU!lv-6JStq=1)fa>RV>s;4Ac|7e($BGP+WZ3JK
z)Dr8DEP2yCqi3(+<jv|yTxIS)C)fGyHk3V9<gUK_*Q$QInew7#E<LH$M=Z9v`W(8}
z;dxWYP;$1iT6*vik({0xlX$Hx`)*rV)`di@_kZTzdi+-k=h~<xb1o=7-XUgre2a#~
zD%X<}KUCdzZ*><;u8^4ZE%2e^5s7#1Jx}9~)LXnO`^D#wyXxHkzC&%dxuWwl-|Sdf
zaEP}xcwPCef9nhH{*0e|ry#WGwCG9M>4$G$e(^^?GjWGRm)rAtNAAOwx;oDfb+5Ai
zzj>1MIgdO`-JOp^ejMyQS08iX=XU+|+gf#{=Kg;YU}E*(bMC(OkNI!!$I0H#>|El=
zo6NGcN9>AWz1M}7iA~FTUYK~U%1}OY@oZ&ge4MNvC||d+?)kf0EqYeml=%HW-#xGY
z6Y{p-y(h8YNCcay`v2?SCi7l7WZ=LZZ=3P3VwvlqVBMg3852K7bkA`=dvn$FyETl8
zJJ-uM318jQ`Z`KtU1s9Ty`fz0`np0@SracgclW%DdQx(EQT>9|Vyg2~+;qOD*3>Qd
zzoPYFv)HunQ5N?yCw>U)o?;%Avgex8^QmI2`dc+B6^$S5P?!F3@$U+;x=V{&=YP(4
zxZEa6Mdpgq(*`lg-&;@IT<&@>PS@+(i5`_ZQ+X$em1QU1SlXJpT&zzvN+svIQtE6m
z$>^;ocCK<gD5~qFce-au{hf)tLG~%x58HEeb;P$6%(<@gaE_Q}`qmQ`i(SuU>T>yI
z1{MXSsXX46GvVjM7;fj)V$+19Ec#BLKeto0@}TcY@4TF!ulCpdwJ(2UU-I%(|NH&F
zpNW6|>!0&#OLX+hRdXz^*}S>l&3D=A?GBR_sjC+BX*Q|(h?%4YEw`QBb<Mkei?H?E
zl_5I<Q*+*IS$^q3=u|^TnfOr2$ISOu7#+*aTP5-D=gw0S(uVsMbxFjBy7sJJqjW4h
zT=22>nzO$BN9}Lx=k5^OH|^4)O-|g$i*$viWlr1_(%qvP^+YG{T<u=t2kQN2zi)Wf
zRyz0FQL74W@2v(p7Y}WU;6ARTD<qaRaZ|lRchAqLCuc4%N?0eRDxT`5lby;Ozd7c@
zA^-X<A1=%5%A{}guvqMRDpS|UFLPpXP<NA=u2)p5n@n~JbNuEx7Y-G~aGy5Rm2uzd
zVX?^dRHCjCU*^Q(fbJ&ds3~uzOL|7=O8=OZI$x}9Z&bv$jE6VYx*pQg4Jyl;xY4<L
z4r5fk$d~JjmdronaZ2mvf$KW8TMfQkJaoQx%ZFll-9LBSx$VEBaWm&NUsp<An)4ug
zTK`#XUfze#?V^6{>=&zhr)+PX-nz$|^|Y#f<h7V7S5_zH&knbHb##MyQ&b%Hs|wYO
zL*9<TaVG2gO~3WdXII=--<VfiA3XEx`S|@ho9D5fmAZY(U)9`Z;vFOP6A{VLmD`?h
zZdUQ1sabi9=Vb9R_C0~lzS+Bid-rqAy7gtv%wy+bxP!MC_`Lff`ux5t_hO}E21bx3
zi%pVHo2$>M3mqpVgbXd4m6s(19GSv%<>W@6OTIO{ANFM~|Ge37Pq)PB+Z~1Vdpc*d
zTo;`DNh`@H&dujscZX($oT2LZS!UI@dy^OW_35+Edo}rI)q_sE$U`i(+(E~(!A+-)
zQ6fDT7p0U)c>ZQP`q*I7H{LGyg_-vkR7e=Mu?r^elsD|FPCO=c`x^J`XL-vu=GHFH
zRNi$ATEN6#^RwS@vG4EfRU7K-eXaj4ap`?Mw_9<NG@Eyxq{`0Zgyr`qXMCu7p41@L
z_HSXvo0u<`4_yf7_MZP?(W|-L_a;eKdFH*;+_~8O$HCshT*u32&eh!8_D*bD#+#TD
zUf1L`KSXStzn+`3-eJoJQ4esbTdjO-gL;zAZslVewUdO(+<Z>;b)38*XJ}b}TWMKB
z_K_($7f)`Kx$668#RkhHp4m#rHW?<#NGqv5OgpmVPRER%*MgIab(6T(x%r$Fohx%(
z5Y&8{u6(S(bH2{Q4Pu(@TTX!b@r=4$-?9TY>4RHONk=5~T9(y@SjZc;6$>U?N*neW
zJMNDaf3;lHY);UPqM$_g*@rmm*K#eN^Ww|aQ&QLG{x3Yqdt7Dv7Pi01$+v&GTv)j^
zKU)6!p?W7!PbW~9$9>BN89q?Qh~G2MLSyICh9`Z0AF`WU{r~Cs{Qkb&z2-R=U;O#r
zvgqQ^_jcD!0#|Hb``mObyZJw@jCBj@UMa7b|AXVza@(d~p8l7Uo;=^rb?erg>Gj`o
zUrRpvk>yxfbwJv%?#7ibi%N2$_xDT0FL&=*f7#r0>8H=zzDn!ztlzp}&!s~jgSuP9
zqn6lQS9&~C%+lZ7G<Eg885s{dpR^r6*qL?D>Ho9k8x_HWIad!|bnkAt7q#TeC8fp7
z#Vl>NXuMeG>bd>d^HpNg+>Mm$7QELw|Kxc6Pyb!5PZx{zMgIz^n{fS5|Hr5wigDcb
zhq52CSLpuvp=bQ4LgVlXxAMwmSq0Y*6$Nr1XVn!tmp!q_uNyobd2!K$En=$6Q{05w
zPO){q*WL1AZ>!k8?H3Mx7mNCF&x!kfbjHJKZrz&vg{}vMb-m<H_blO=$a_glE;F%W
zMeEc0MzOx%Q7U&XD?ME&CRx7a#Ll&@2mN%t%1-p8@J!>~B(^O(@y4>&)YW2rx}P=|
zvw6lD1bmvr==*x*vd`PTu6yjuT(kGphMko+){D!UalTTwY5H}tZvp3PR^Q0$d%j$}
zu`N#8<;n^pv(jIIFWA<v>M?!vW7Vk$*$-}0H?GROe50g3CwhI4#Nm@28@IUjEH+IN
z@^kSy_3_#9N1wNSHPq#C-?~BO;-SKb?iS~$B{El(9yf?t{+?YNpYOA!VChe}Yj+BE
zUe2FX{z1$y^Wpmrv43qD58t<o{VU6O_`Xd{^7xh$maAM33hH|Co$iUyJIS^$O>%K-
z;uf)Kfl(H2SrZMMy6dMrj7s6Ttdzb&jMaIIhNO=1qa7Nc5_5uBTVqrNTgJl|>s_7f
zb%TCoO}yydJ?CDO$d^ltmMlNxaflUEVA^gmD7k*<k}tP=xUP_0>96miRxYR9b&c#Y
zCT@<YcROgL>ox6E&yqRQd27yYa^XIlq^r}tr9kJ3Qeq=`oT`4ta@TV)x?ImP0*#{6
zR36U(6|9j_5@}h9GZ(fd@A~s*&gMztb0+S2Qc@fkw7$((_=-Vjz-bXj*~zTFGgLB8
z9r63G<m&o*QHoQ+$=*dN@x?Q*R^G0Pxv*wqu5DP+;az_Jn|`O~MQZO%?tQ|!TGc;N
zwepzP$>K1UJ%P@;_803d%eURz7I*FC{KWMcdyTIj3ex5Aw))%cu)kX@&Alfv>_|kK
zTTimv5fz{Q8B;O^CmWh36)kh|am+q4WzL0@8+ESv*6<eCB=H<qI#y(oB;&56_ORf{
z5}B?UJ<WoXixrZ%zPbCHw3{n)T<PwS>CB*V$bG&};zBV^?ky)~taq(HXQRvYEGy7R
zeb2pbyj^z%lW&O5`o`NeS1|d8py9N)f{$;A#g!!AuFAiB=d9VbwcF<i+}IGAcv`Jh
zR$A2W#)5)Vzn1Bp+Lj;veZrmT4wHU!c@)Jnv}$I&iK)qw;=A4YFj+&&T3mjM!Jg}f
zKCR1~v*GRSYx%0?dnVrb6jgM5^@sXq>BqO`Et@&_@1EShN;wyA?5vRMIu`L!N8szZ
z7;gWq1~xCh<cI&&i<%*0vywM?hKS*`PQk}B1PnPJE32i(97*ZvoiWEyFxbE<$;*wk
zKQ>pVzqIJj3WK2eXZpF6m5v$NB*`3BQcKJ@vV^B|M$bXP$;KK<Tx@PWCmH6-99N!O
zFJrIu<+}Rk#ZLCMn_Aj`{q(6^;Cif9x9DAFU_p5IB<n<#w~L+b8%+8ZxX?f2mV4_x
z!Q>aBhSTN=KCZa<QvS>u->X0E!*6`>Njx3gD(f%eSFxtx)MCH?8X3K>&;4Kcq>X)2
z^gHi&JFdT!|B?M+*XRAKTOay?%F|q3p6y#U+^OdQ6{z_hc{}uWKArWXZ#B3;y$dc-
zYb`+qs($ODi$CMve9>Q56__9WJ}ZiU-HOET+a^SnalX2tnQ@5EF?d^kcGv#e+f@O}
z>p8X_T+RA47hIxdACNZ8yLk;<qUQHW9A4J3G3U;;DW}fgz9zlJV9oVIoBX)zk4NbW
zUCWrbDXP2Ya@3PKS?9v`8eTl)d6G95RG=Pm)a7B{vf<73LmU0OTlPjRd2>-IxlPRS
z@>Y!*%Un-}%oOxqo%4YGmu8fNT6W^hC9TPe#5jewcu1~yJ!YX>bSx{-z^!}IMI+@t
z;Z2S5cil4+C04ekwutqGMyag1qLkWNFD7|<>xsx^t_Op3y{4V$QIVO>J4s9|J27HO
zYwAL=KGrCeGuM?;=ZQ%cZ#^+{vFpJcU9Ys0JxgRJ^Ij55%Sx2k63cbkL05)(i^q%g
zu3q-KM!&KqUUu(px)(L&%dK@rlL|xF=54(YJWtd3%Y{RiV!7STf8Mloe-f)@p;LKs
zVQ&50>%qCtb6=mH`>*$tSNKzx>u(iJYqIp%UYWM{H(A+n%=!>_VT$JM*<KfqZTtE}
zfw@=faZK^li~DkIJwfeMVNg3&Ehm4Kgw?jS$K87pV~#`|bL&a=Iik|jH)BfR+5V%?
zb6-!4`XRYetj=jw>-_$#hszg7{kXY8tj=ha>$&>5&z5fjRbe@wZP)C4=ySO*%sT(!
z3b8ijs0hC7hZaj*4>{@v@nuZh7~egoHcI5p)kP`&XF8s4$qD$obgEcec~r!`tcMkg
zT@PjJ2E}Dg{20_dM?6Zz=K7*1GtYE9o{|&rw{ogjn|f4)T=v6?C9a2@b%W$GCKkqb
z&#8_QxpTFC(UZP29)~<ZW$E^<AA(bK|A<6#ALrE-T9-Ys$hW&^b<`7`3yTu=iK%{0
zaSOTyYV@ADddR??`*e=3%;qf~Ggi8u;?Xr)mOatfx4UU&)D+NsM#fa$nzKfc+=o?k
zb%eJT_)M5Tx7g`Z)8k3gWwQ0WUR~YrvFVp!WPrUCcl|5(B*(uRIxFNG`%Ohvdvjk;
zJg92kHR<-*)UfBduLT`9AKUh|;3V&1mF;h)-P!2<sb%h@<!>g~B=3G=d7J6piiO+E
zo}RmU`&#rYIf3gpW@aaD7Hji8ZWZn{-<4Zi`Pc@fB%RaB$2RID2~BhJIW?){WQ2^N
zWw+9@gv29L_T*1KS-&yo3aC-K&R6>I?T(FmIwg+3?(nP-g-o}(9(iKZKcgvKF!)o5
z`tgm9>c^)u`+qsPYJT%ob?=Dv^UqHyjY?T_RVlq!jCJ)^jYv_qPd}e09QLsLFO!pT
z%UnawrRQPYk%)J$J&*HdY&^E@>)PD8yFIV}yM8T~NjgtEXXn!T4Ud-{;xrfW+j;NW
z&hDbOx37Wb9H-tfdbZ?I-$nIi5tA}Kx6DKd$*yDPj&kVo9NxNthg*Nuy`2(TI}<ye
zOglKqnypp-j>PpmGu_f1=WkzQJ}lNdx0`WcMvv%U=D_!7wqEe(cD_IP?D=HpEgv*1
zf~1dqFig^sS30)QI!UO^wch8{xsH=J1Pv{3D=$lkJ~AbT_uigc5x-tM`4O1d4eI)v
zx%Z?7AF(iV^EuSk;Tb7!DEV4REj{;$$ePX>ldf7>_T2+_{g;Be{!@RYaPIx`dFG|y
z?jE_QCneVxEtoB)8hhB|mV2uxs3bk~>B5$NuG31#Hkc&ocq<*-Sa0#7^R-j$#6xYZ
zT+!<^-t1UYa7eW^SdVRPW%BJ`O;6fBPl`V0`OZSxPP+Hp{~ocn%L_9~{w?2PFz3pl
zOJZ>$cYkj8%Ck`1`E<vVzGQIODGez*O+aO5Kd3P(pO-yL<I3%8*JodA*3R0Yw&Llk
z1%290YB6FaPlM_&CoRdozs>H-oax_kUw4Db&7V#Oqz&ig-)bt8y?yPu(y;=wB%SnG
zlmA!V{xw%@-?Qt7rvHih(G|}f{~`O~>ssBKo$FiIf1ABIG~Z{-2cM$Dmmj#8<|o!(
z?$6%Neb`D@Cwptbnu|(_Tg5b2Z#faM()ApdF4wf|z)d-;Pjx))tUuEs|6=+n<DZ3f
zonq6DMp=kuPTUaCJ%u$Y<;-=Z=L^JG*|%ui)Hi-qp=12W{d?^vpSptkt6Nk1#rA1j
zIdr`x>c^x2?s&nhhuluOHMT2S_1RP1gyc^4sO*!NlI~TbyP{Q}b?b+z3&i#bTv1Bx
z5tCfJ^@QY7*MlCqUT!CPme|x!k@Sp~&^tdxsx327a#d?`hZtw`Ru9P~uE!j7i`X&(
zFT{6GsxwlS<WF(iB=+l+acY}gRK&B)hcmS8t)K6E^OpOaXI#<tOxvra_qP2j*_7F^
zK3w)$4)4muZ40JFHd&={%`$MlFy&=5qyXIlE<i&+U)f!IyK0rizE$-`%UJdVruy8w
z6=GPD6Ybw6arj8bMxPFe<3Bn)CBzLilV{6!pTB)AcDDT9^S7_fFX2Azt}7$I#iL@q
z>nUqpqkCBsKQFWV{`Hs(xA&~~iD!AcXQ$`$-aDkD%VWHC!<h?*3}d@nGNYFGTvbZ$
z60@AVRb$2y*OM+Y1y3v8JaAn{xPFU4&-Ft_e%!|+bcOgbCl&>C_b^92d2@YH!hA8+
z>QpxwZqRtZn~R4wM020k)0MH_>TzSC>!~tbqivZJH-~mN=|)Y-nI`EOp#W;NP8MtH
zjfybKco?zX^^lcr(6y|I8{N9+T#XW0b7@h^(lZ{XYCzU%Z!tKNGxgAS<*51}buQfZ
z`!gQ?7Sye=U)1{EeanZx9b)_BGead$&QNFmZhD%fQZ0US&vlUMA9XHuW#?ilr*l2?
zh%?%~Q)6ZL;bU9ct{6lGoYruZRc7^-P|P^>;o7oAOE%`(>TqlfoU-TMt&p_Rd)wrM
zOtN#L`KR46@F+U%a8j08#s7tV<*9nHlg4J=^EPWf=#|P!ejWb*_VxceAMLNbfAs#Y
z{q?_{r{7;S`^RDboC)hr@BjPZ`u&LezpnoO_x1mcC;R@toSk3)<z&?LUswPC`dXhg
z>8qJ!cBu9Ge;*gG?~Tjt^*Vfd$Cf=E-p4=h@Tm}+uIX=mYLWg^`?{o=T^lCOT)K9C
z_Mxc1^?&96@0~a;Kl0MYTcOWx?+;yf`n&zM4H4Xjm2`Euw-)GJP)e*@e)`w9{QZCa
zoPS^c_w@Pye>yAI#{XTu{(n2)<)^#f*Z=C=IrrS>>HGhEdu?9-|J(oHPt~85h}r+y
zfB)}~_xAq}-`}G?x9F-OPrr57lXHt&|H*pS&Fx(ne<b3UTTlIB|0612`esat6P$d}
zIH{=0#mDh`hvv&`fnUpwraj!%sn4(fqQ<K2Ww9#HRi)GpG0EnwCnT4+9(2(4V$1pc
z>i@4_`&l1NkKh0Q=k)jgzU@r6sDE@?@2LFO!}0spr&V5M`?XVee)R+WJN9)S=U)82
z|L-63b7g-7OPB8de>(qP;h*|t-s0!x{P@KB?a%J|-_MrM-}isxX@2{y1y*{z%O71T
zGSZXHEu48_eX#g-&t+eFPxsiZjsH7+{eN}E`gL&|K1V;kR`8C0&C@sX5yxlRZ{S@1
z|G=_2znEtiZc0vluzBsbmKV1SrM5mkmisJt`SHc?LiYdu`F{V8yf5artoHJ6{r&rV
zef`JtSK9mR)!qv1ul;;F|6lUG?9bEXE5r@$FYo%g`v14D|CM|m3qEsMes9g;|9iRD
z``1{imCT5*z4&p}<M$2PUr+bjo5l5s>8<~7^x*!{{dGUpk6W|edB_u9q8w~$wM;s4
z-7B|kStVO8+pf8D-u~~`_Wf5|1G(M*9gyPt-?&w#{?&?ARyU8m%}eySEuV4tf&Hav
zj<UBmzWufSe%Swq@xL1dlO+TUr~S+IZT$1~_xt~!-kRV4yZe1-RK*pUXX4jBeBfX6
z)N%Rr1GkU0y{v8J{VuZYPVT;q_O<{0>$#(MT&qdG?OK}r{)JoH>s^6=IqqKT)iEzw
z{7Z1x)~zQ?uZaI+t$!P$Q~CY%_xi7I_x*k<zvucZ{raC%%jd2-&-b)@ve-ShxetA>
zM4o%u|NG(d`htDQyDltTRrS?rdC~Lfda<wg&cxq4>K8jh{Qm#%uk3eR`T5xXvtIrG
zr|x>@+kZY>zU%DNbJMRb_5OQv-{&9GR-c_8FFy0%=k=wlZtYpIYwK&pKQm|7&#lto
zmww-GfBdZ9_2Bvy`r`GE!d_3WO_=_E-_MnOyZ*`BPbuDgS>f8l)s6dFtqU`E+|F!y
ztrK1Gjs4n(Km2RvGyZ8T&H2kbd&67)oKxTIH%MClJMiqyALi%{ulaLMzN=8u{r<?e
z_U(@6{A&{5%SW8vXTPEE@5k<<JN>6y*b8UYe=^WBmOGky?(q(t3Zdz${?>a}%6^)j
z|L@YF#udggJy!PjT<1P6xS}eP`S;cTpTGY9yJUK*H^1)nr*3(#x?ew+cm4f(e184Q
zPr~ljzEA7yf2j9ut+PxBTc$P9Zhp(-wef%D<Nr_ZSU2g>?rXyJRXq#0eqH_l^Xvb=
z+K+EBSoGGvp5LhF&*x^H#sB^&9DiYNuaS6WzWfxUZ|C_>Nqm3K{<P=+=VmUoKR+E+
zg>V0Rvo7M+zc1^4%uBp9zb@+Q_J8$t6H-sjmwz(j*YfLcEq*Tdk2$o`-d64Dm-%v&
z3!nM-pS1kF{J03u@5?*9+RAHYzB-*;mlSo}{IK2UZ=4^#_=#prub1Eddu!nPYj;_U
zZ|&0&s@rb==g66DezxB2pT63fTZKN@zx&(yId?zm9*X+^>FoOZ;h&#ba!Eha%9*)*
zL-M{uoZKRQl{Z&1WFMDYZ*^6}bX~gNb!#)V{ZHDmC;k4}|D;cQl6uTEo2QeWNQ!T-
ztE{g3{k47n-?zWxU#mB+R^R`tzdrsydwj*#Kc~fK|Nph^X?&fX;i8#8Bad{ref`Fo
z{m_l0_NScF>8%1*>)H$+dT|8nwHrJR;waW@+wj1N!}}b2;IEqj2WlP)Y-p)w^xi4G
zp~sr>^iJ6gP0@_nJ8vH8*>C)uDb3=x$efk$&McV7Xu`v@Fx7!W_OJ7d3;HdZwazo@
zFRHg#?sbm1pxvTs>u%<rX({aT&O+AUz9hTO)06{7x0t3~mfFxH%&2YouliX1hvG@E
z%C=s3{N2OO+4=j#-n;Yl6S?!<TCRME3OKFpD67xvTcMb7s@TzZ9_OsjAp!9#xuQN<
zoM~DbJ%iQ#x`0{@*X-prJc-BJ1)lBUPdvC=pnlq(+<jHSch`wFNloj|`0{yf2KQ%?
zZ_0g#rde`Xr)keI@P0UDCRh5I0E_fphgdI*=*`qh+O8vfWn;*N7S*O@I$|ct6Bk%=
zv+71DWSsod5LWw8#Id$gq9x{g`*9I7na~?WE{XP@K^6}u9MWVLJ!i3?;9OlR_czU$
zP2orKW~$V$NM5vnQ<%k9Qh&vKe~wwkVHcVVo2K>ft@v7A(7&MVuH%VqyP9W<nB|1r
z*yNaaysB0Bn&zBMVGnyuxt^~H+mSH!kgB%pn`yC4R%KkXHaK6H^0p~0N7y8N^#ayt
z7TudN0ngt*So`eb+2F%xC!ST@{faLx;6_1Q;%UoP*>q7qi~7YI=9g*CDfD_cXEK-g
z7R{JTT1ngQ2wW+Qz0i`~v@Ax{<Z;&m%jvATJ5(}G9&J$j$2U*lN`VcB<;r&n>jRmj
ztF&@nF5Iwq_aV-^B7QGd6&zD9ZM2jZ)%&ra;AFMn?x!;r^et{ulMys|x?zE2JIh&1
zjf{hgj^5wcO}>_Yn7g2@e!Js|%u@FG8aWkfHawkpsIQ$%-6p`|>Apjf-$l;tTwQQb
zw$(eY`-W~lOX(gllZR6m)a}#HIL7T*JdZo-gU^LY%Nfu9k&RJUkys~C6n6JuCYSUw
zt(=*QHY9I4#5q~SZ{~`EV=S%3$FyS%{2or)s8n{^#!<GI)pv$+#;F`f<FtCtS;oN^
znk1X1`S54Fd;dVfk#}+PgwL<|-UZ*-5T1Biu2puosNapH1*iO4jmtFVY>s`{l*=_e
zM|5Mf8|Nzt&5T1Fj=^o*vkZMM%vsnZ#v`~TrNDu^o_#w<)(x4Kn2_><sfXI8az%$}
zyop#>aLA}Nc$(InjV=%89OV+%3EGiTzp&%(CeMK5ZjQoc9J4mXUFa!odbUP%OG57g
z)z!>VzpQ^`MtJbbx0|-dgq442J=FG`D|(*hn~GHhhlE>$<+SH~^msU@olD#%V8@ev
z9d|!D1{|+;6u!qf>r>c;9`mMWd&IUpn7Tk!zui>#N{H~UYDJSfytl%)=U@6TK}wA)
zYi7N|isU^DI3Kh4N@`~ulW;6{<Bl@$x-e<t;pPV2@<TcndF=)d!#INT+6*4MaTKp>
z-|!%c!+V|Cedg%33wks9PKIe`9BwzaT_BpfaQ>mTeO%GMG~T>eTi~SM8eF9{=c4n&
zIq$f{OM-VSS>18h$S2@<fTM65$1J0W3q6ia&v-=Yw<I(yQ2omswYR{!>37uL!rQZV
z&*<8=!7uS}RI6^bNZFb&i^QpiG_^&~MJy~hSJcWqO*3Xw$dSC8YAcdgFW`)3@x7^&
zaZJsz*o-S`gX4usUm4eiU6{s^6(Q3SvwlHr;EjUF#M7#+vf`qCI~Ek2s%$krr#YuM
z>|v8J*Yr7J8|$ORIA29*WE^6041UHv%h3PAoSjW#X9TyTlsT*x-4fg+<;SLYT|1*)
z#7rjSMv+6}@w!&wZ<=#9g+J`E<$C@mY)8WML#o!UZ>E_!%C2ovn<HTIbjJe8<1A+_
zwK5J0I(qZ5Z;5iO7X7mJD%Y*k2@h6;Uy#$t*|C1Z(|L#bc5|uM-wCvMy6uqUc9C;C
zR~8)PZS`K)eM48DrPM~;<l)Q(n*OY3E0i+MB|CD<aYlVISa6l=mZ>9ec=LqUqFVyb
zzWym{))R8W$SLu7L91|^<{YDthdqj1&w0XjBuqG@$~^n}*W9g5Wv(el3L`JHcsDJJ
z5ixn(w7~K?tFDE5#>txvVfES>%uO1rM7R8NJn@w)%Dj~~R&-kpmt1od>)XYLIORqB
zD%Tervu-WErxo+T?ct>BO3Ksfl$87SHK~0OG+DZRf#hD6voAF>9Qhr+cY(ZmTfil7
z?Si%ZPV2%iOw-JXShXRw^HASWE_IzCi`0dOB)LV-&0Jq_(5BV<S=SBS*Yzx=YeY>F
zdlzV~W<49BnsLs{kvohdYLgAfr!tPbo54Oc=92c)&aqs&;qj6~oVi@fw`k2Nbb2`F
zF_*YZ@Qx=dI_?^I2ORft6i(xqWfXg%C$s69kLZ?!t_7--nWNS&kQKaAV8O97&hhrr
z4T;MSO_Sxa-ljcggYUyBYq{#va{?{WHy>i1Euwc*BWb&g(3Qe~3oXn|%if5aB+p-9
zS<R|@LpkH*yJuS$XIWJghcNJ(yP0lZpLf5~k@q>v);XeA3f(TWTy9!6N66&yk_DEz
zO=4TPqKcGwZWP(J^kpmh?2tM%MO*RA4w*x9ycKnJNF18PeK!34D$y;Eo22?o>)%&|
zZ)x4jCH+k!r*i3r$6F6^=8O1MuHLXdO5|MS`htV(t=@KBH+0=uOJxL29&T8m+0Js-
zLL=iGqa*h>?x;=rpm0)mtW~w#W%;Cv^{wlK&MMZoI}c4u=dzxsHRprN!zstP(rtn)
zo-aJa$}gg~Q$J~YiL`8xd%2@<700Yg^}zx0<y^BaM_*{NYnrx)XWjN!M(;VZc1X9x
zl+OwlHS-C)VHBBoT%}c5O>2&k<HMehT+e%gcO<Miq?+vVW}0=ARUY@O0`Ch`BAe3A
zh?u0eEnvOOsykEcLKbW8mIZBrpj36sp_P|eWZReU8yEc_w(R3t{w2_2@%BTOt3~x9
z>K7NBj1t_Px@1A0V3Qh;xJl}a1(MFJXC;*~4kkEyv$1Z8a*S4AG5<F!C>L6bd@Egk
zXj&|nb(!{@4PFnYOyx>n6JU|P^APK45xq#Qr0qEZS2hM-Xz^`YmLqm$`l+U8Iigz<
zW-m~^4N3^Qf>$<x6T)s;p8l^~w{%-|>&->W?gUso+;vFvy2!a5s|wDEwsPxf#}sKw
z<gOCk;;N+F*V?3}BVdxcZ-L}zma{XpGY-l)dZ&Ss`fdqOQjg^TCG{v#vpE4bieeIv
z8@3AfY0W8edDwH9>$yzOjt2`4sj|DgnfAHK>Kx~+g0KryjGNNth?zW}vVc{cwO%)q
zJ7a6pw)lX<`xKs3v97%>(a3vOblaDJ8yABgw#0EQ{}N`gxc`u4t*G9M<pn3R1$RH~
zUC_6^No|j?$<w_HB;{Go{?y1gsP5>!t1oleRjymBAKb`deVbaq{#tYkJD2o3?VO#<
zH#}Z<h_hV8Z|35HV>zwGX__$x!4D@%DwUnC=XR7m&+1#DmT@ZB(Rd!mtj`e_n%tYF
z+3;j+Z8D1uIJ^bqs@ye)+6=j(-L&6GEG;<X(HiWgF~>0G;haP+ah}i}DIFbmjY0#C
zi#Q6aanCaHywKCx^vp+KOTwN7s*l~wb+3d>?mVby!ovlsc$ycq^)^MBalX2tlW|DQ
zu|9Yk*Q|}c7v{We5_==CB_&;ALuvwtEVI*$3-&FVf1PGrG;guYc8=Jf*P{A*_IkUO
zVHe6cvu@n|(-5|$HI++xnpRHaq7BI#4{>&j_(iTLIL6dk{7gH>!2jW-ol0e=<s4<J
zS$!jvGfrhW8i#St+8lJDNwjI24nHW&Qv*DB>u0l@w#Terur}z%hM>gLT&=RFMg1a{
z6`TrcHJ+w1XLH2ECReWMIwBi??{f;U|1NBj*t|gVHS5_3^%eEZthy1(87E&gglT7R
zvzVR%mw9~}ITmX+Je_c;ubE3-Ccq+f{~^iWBIj<dE;uOH>Rr}-L-#XF=^PQ0g}xW2
zggF}5`*F-Fj=9j3+%!#wH^cPBTcIl(j5&77ePh$ikyx=Iwc$|TKQ8qz!4^x`AF6w{
zpujJ`mAgta=2GyHyqk(El6NoQyv_3U@zw>Bhgr@_YGoW0aP(#arOnrhE9RdC=b2&=
zvom2gjJy+%`?LzDY0NQ-eb|%9_1q_PM?%*j)%wY<Z>HrnS?%JQ^&vCCBiN4X)$_Wh
zXM4oAJea$n?hfC&g;9>PRaPV>2^8&ZGIL5iT+pg3%*OpjVtv6Oi`L*{T5}BD9?rSQ
zCEgRXBjw2hgR=UYO3MFMv3?cTU9msS@vkcPtMw59^#_}Nz2*g_D5Z`?QI67bpt|{M
z@Qn-M^@-l{t+IPX{a!3BIK|a!JWYGfW}k;mOSz`&2yTpS<9sEdm2pVGF_?{emZ8Um
zIgL$XJOW#m)Pw5gqfJs`U>_Qbnw<%_VHBHq+@w`_nbsU5*M~hPxt{w3?MPU3NR_`S
zeOHuYw1&~62!)J8HIBj0IA<A#UzlUrBz8t@OMS|<2g^K@OnL6fi#QzKtFY<Sw^-3D
z1+D?7Qypcev-s}N$T-F7Xnc-)R<YlOrp-;$=5R7^yTiNiu^)$UUc155Fb?CqHiPGG
z9Ng>LH#~_lUemVcvZBeHtiH{1AMSByRj99cx^_XId6U{6F_WiL7f9-}o~=~OI9TlH
zJ+GB9y2`BH{=`*{>&h*hcb#Wk(ruB9b)NBA^Us&2enp+17kE}be4EL^>p$!98usmZ
zXG{HUx0Q-s`IPD4AuG@7`$Hk)RJEh=J<eI5!!9(LH%;3kC%}?ZDU){aqecrSzw?Ys
z)d>v7Rjmfg(>b`SS~e`HHeS=VDg3N>d~b^W?8j@^H*uc*UcXfC_%ZG*N%a-UYZh=O
zv-nEtW*pOSEOz6HGH|*u>0zRnj{YGXi+Sw^4<k8(=d~F;_T(s*Yu}I%RN<*AJUemg
zM`N9qwuNU4uidt*Wig$Tw{P>;W#=^=Wz|`IGlWf+hF<6qZh9sozU9G;1*-0|KZoXi
z<Kf)=KtkqFi=(2Cq(uFp9!AA8FXaz4)hX({yv_4^y{CD6&DP_^J1oytb*E2X&^EUz
zs*K~+4ZVy*%8tQuT(dqpT$uB_N$igBmM3`<8=eMm$g(@nC{Sq8{OvqrqkfBJwR6M<
z^%m9L>?U=4ZXA}c|96}J{@>Tn>;GI^dP_~h$dT)RpKr#WsLIV5i7`ih)Xy_a`qN{Y
zBqOY>mKbzoiBI2*9!<f?#->SJ%Upd<mdus0uf2T8Fs8djFltH9l|$u!qJI3`A;y}#
zMMHA6lF8M#^92ptW(rH$_sB@Z7xndP9B!YnsisHs`0^QEFQj(!#NR&};`V*Ush5Jm
zthULkmV~SLPm2_MJVVxyvsmfa_3QPIx1Ow!HMGoETJ|9K$do;km)$CTrMrIZt;$!*
zmxJHi<@ReH?whgcO^@dBbu+wf$OdaxCut?;`#gQqy|U@AVQ7)UBz5om9fe=IXSDni
zoNT0!WW?s?b8bP0ri6^4>g^>f%Qo`4^*o&5<G*)ysnN1OHfGComM5PoR9hzW>{!UD
zJ@qqA&5#ea<W5?Zkmxg2r|ZedA`8eYe#Vg{GTk$J9t%z`R!ZWEQeL*icUD$-PT>)W
zX(zs1*`1u7d}@Q<GM(4Sr#31s6EZs%a%$O(Q#WLSEu)iGC1m^jRCoHe>8jqXOCD^p
zr<K$aD~~K$(=nrGs^H|!T1i}C?mj1_GFLr4T7T5&QdVzar_Alwt;e<+KAqn&<CDLO
z&rfxwWq*VPga37QX#RIOGNoQA>6E>o;nV7y9`pVP3mX3G?2!2H;?h&Eq;$+)Q1J2m
zj*gH1E=PW-JI%`CEUrHCqsw90^0+f|1cKW-4O3@|1oxdZoNA!DENI!WkYihBoSG>Y
z%xavpDkZI6#ebhomqfg*tIweo9iEc1hLXujYUw#gM0&bsO!{~!<n)rwO2-VMmo1Mo
z*ees<rfrzIQ!2PG+i+@u?XsY}BO%Am&N#JGG?;aJ@~S6(D*n?f1s~6lHst)Qq?THD
zBt@rV#++8c-~z)WFFns--J|z<B@WxpoL3e2t<py_?#Ps<^{GCVWyeDf&70wMQ#x4k
zcam0my^p9&$I3~~6Kx{jx%WJFJfdRLGh>Ro;N*`MNk#Kqd=6gj(5zJR-Fl~5BZ;Ry
zwdz&t{b0jX3)$dl#)eNVq=Px1Cuu#c@kx#8SUG1~r(kG-(K0VT-z$}yWI9tW6q+On
z^||_-`q**OLeS81Z9R0W%hHw8rud)sSY7(m*vR(lqfb|77{zpJp8h(c=uXGVmf40=
zi?o*+<sA<>H+P2C4(VXk?@E=M=JZM&-`U}5A#bQDuB7%j>By6qF38&E)I||z)`+cO
z^O<<)LVcH2_*aXPZVBh>9UE(At?7N7d_<+DW5$&4f|Eb$)h89*bMrYkyF;_mHd5{Q
zCI9rZ7sI<-?4p)@xu&#ux|pTyR*e@6T|LW7<8!tq{q;H;_xFp=<z*ey7aQsJ^lBcS
zF=JCtpXTuuGrS}ugEf_tw2~`)p7M0BY+7g-YNRsB@${9BLZ8kVEhhyh8)+sPsk!-_
zYw6IGkT+C)ykw<S%fWiVWC@ka!R4IkYVK3kOD3Hv(pn~?o~*S{cUe$W@+tlehEt1e
zmT~nR4LKS3^I6E;!v{RrWTz|V3hBA}oI2X^F*V@GlR5n}nu-O3i^67Q9sT8T<cC(!
zvgL6b>tur4+znGJC4%=c^{;ICZ8)_^f0@y{V_;j(n<TG#5~kumt=?Jiv4w;or@pe<
zQ`aLYHvKc^qzgWNE-D!O$pvIw+7XGo6JM@qUlULL9=JXtzC-i$&l#V-hWV(@>0LR+
z*l=p0)v_YLqf_RG9|`fC{f2Xu=<C-Ld92pC`W(92;ki@DP%>OOd_u8mQjMsjq3ZOI
z#=ug+wNVm&CyGP+51;NZtl!fiar$#dp-uOUmgRzzKdB`B`D2q*B<JdL@NkD_<$}q{
zmg`y{&k*Bu-|Atx$n{vFZV_K*;D@O0N#R~0cMsO;@_Y~Rdvz|M^oT^5YfozG5sNSv
zpF^KIJR`*nCB2o^(mjue==9B)<Z5L(ZFiK#yNrni(cJdN*OVSF6SFkmqEWwNo$E<c
z)m3L#wMI#Zt(bEqI`p#gu>yl69d@N-8|{;XzPb9Gy4P{?hOnXKZslbO;YX&txpH#j
znd^rP{kvOsMlCsWQ7L(wnC0dz8Z%b9p5#$o)w@zQN@CmUIah86y;eH5!7NE9Tj^L~
z<|H#tab>lqPDfJa^v{@6EErs1mgIHLr+(7OjX9Sii*v3jC3cHx&fa?B#uC?aZn|7%
z8G)PPI<tgdZQ<H*tJ!L4QBc04Y@fv8g&iAZdL)iFc6fsJ#GY4HdmMS>iB0c}CUwE!
zPZ8?Ji-Ne_XNzGjKo?Y9#k<@+N<wbcoGZ6|&6SQ7*e2;*SE@f&XqqIn&c)}{(~gr7
zl7^PrO3M-|k4(|Id~&1Bg+qn$-7VEoOYU4%dfX>wxqYj~j-{?AeN|VTUAi<%Vj3v8
zyf-T!Gtf@bF;+fisGKCU%-!eImX4D%qzo;8D=$lkKQiUbRnRJ}LmNH1TUw)*=v+`r
z-X~`Hd5gx3)vhOHRO?suF0qZ0C<BF;$84oz8w`_lq?L|sv`Z4Y=IV3mR>#Q*VMEK+
z%F7Z$k4#w;^qcMKvx|CjO^^HO3YBF{+!Wj0lN<FU=jx(_ZZXx_>a}0x_y6OMn^9l;
z{qg?)uWx4^Px$*NEiGdEj=%jXb?N)+ITj`!*u)U^tNp@7{T5Ep`0pk47RkNNGcIel
zu-ZCDTuP2Co-%E#qr<k=caGWFFT|X@uM1e&>GCEVIxeuRh9mLVZ-HlfxDyZF7MQjt
z@|MifWM6@$*$+!E+>(rIz3|DXrB7VZr$X+~lyt>26;g-hs4MDJ$Q+vF?l^^Wb@OtI
z)X)Ppz5)jEyewy~GctY_br7y!T^2597VEur{ig*l7rmC9`qJ0md+&53-Ii%96-#_L
z6AwKRSmwi(c<hM4Gart`gFghO^<-C7uZo@c^I+q@x02FMhi3>xxwT&~)N0{WcAjCR
z)FOG<d4{o03#+qpgpo?erStcing8B4wrFW{RNTVDv+!}ihngSTpC@nx^R*Zx*Vl6t
ze{0>4kk8@$jm1Cb&>e+OQ!E)z&yd|PCz4TnhUA7xlKYo0`q*B6^}*)f-?jhn@a~?r
z?Z56r@eQrLO;TnYS&{lHlIJeq%x3kCRL(e-<yaiX8MPtk!X(ke1JmX{T=*|GN^eEt
z%mveyHvQu4SWx#(amD;9j#tlRn|^t=FQ_|Kuef4<UJIjjSxft`>#y1t)X8bCnD5N>
z>Un?Dubqn*)ZNp_IHu}Ytj85q;CNxu=fne?x1DEf(ruB9cAl|WwS{%IbHt{VKWF)T
zoi$hZN<mrXZ~k31p3xS|HauK$XqqyYHJ|pJ3)MRhXzmp`_hMy%AAc+NF7230xs?*T
zpZ4sq`+u&!RP^}={kzLknWF8q-uzflaHzU9_@3sRk6{nzm~)Bm3ET1H>L!D-<C%iH
z>;J}e7617DzV*<w=UmqFH0OK>c{oL#E8Ql{;`ziwto)*Sl?qAz7OMAJrc7>1+rl~P
zvR1}HPDk%P_ARR<-?N8@h4y}LGI+JC(CLHAwW*I?T6HIjl-2u$StNEH(hL?oH)C1B
zxsq1yG>sS|;|l53QJ>az+}+>1`H1D8+ZJAlr#)I_l|}p{))kyGXf<xrnq%zpu<0Pz
zbRMCN*6%uQ{Jm`vFz4|8US^SR#(AxO=R_ykzYe=`ez)kk8>{sU()$mw?iSU%sXFgx
z%k8FRJz|xoB$PAGML2TTALEQNvRiO9T(*qudO+>@M0WwVzpCwDxumaY=0vXEFn^-<
zo8=q0en+l3RHw9h!~D})Z<cT7`YpM(<L;(dnK$>3E#;D)rjZl5W<&DCL!8{Aevu0b
zj_I}*=V`?hI6s{9TB+=~S>c9y>Ghg9J63IY+I6V!G?#izkj2wQha|;C&RN#4FF0t~
z>V2;3hVVA7S({uh^qg&amLs$!VetZ0X{M;!uTOdwv|V<T_&VJWN6%JrtILC?iCoir
zB7~0RS*rTIy?^ZCBbk4>GRrokt~k`E$feE`W|2DKkR-F{ImrbDj`gkHzdCQos=L1V
zm-|M${@la+$LzSGtF+&|SX$uZ-x^${G3R3J!#R0e;w7Owo?L!p@U6w{quP=!T(b(D
zF3fq{Bqk%g<w=Ia>h(;uJnPo{lDp7;^lajlc%~>Zm+*S#jFpF`sd8D1Y0uf<@o-8j
zSGrDsMf$!&te-{nW=h*kyn4OnUN<PR+(D7GS#(?dnV=hn!4F#`xt9Bc-w1yR?-);Z
zebZO2RQBJ@Pw4rFd{Al;7Aflqw@93GNHbaVo9d!NmWxI8EY=><v;@WK_NHZf1Wg`q
zU0|v0>IWOa4Bza>_I&NW=~u;C0_!zjFF4f3%oY7j`^}Bz1&88VgTHCa*;pU_a84|j
z_?wU&DeWD1FKO|8%YR(f%6nU6TTbYWjjj({&T=i!39?9De8^H-M6Y6L!AWny-H%%=
zZtU;X7csL5zwyZ@@py2n@H~w<pCTUixN|+X3EA<W@sR3w*EgKUS$!?lGL8j07WZ*P
z6+~Q^<jlBspH{hlz~Q?JJ-@t*!bQyLW5R9}c_torZ57tjm{Sz@u&0>o`JB)l4|)!%
zIyaZDeU)8Me&pc4Tp1x9^R%nCEg}+6E40coi~30{DDbLpHU6bJ=W_VNCOfX_U&J<A
z=YhiZhBqi|Z6RY=u@77FxR#fMT09Om;7GfA`$yozCVsBzd-#tneRaBL`;q$D6N-W_
z^hh^7ixJ=QVAcZFaOSAm(vPtPsaL;?-DgX@5+4;Kv}DD<V~>(rb-P8%bV4i=8xLuI
z7Ckp(dBM4uR_<pSF-F!EO0Vk=2OWu9yRxhJ$M(Wyho(t#SufL`W8nL6%1W+upFoTB
zO@~+~i|EbNNGjhWw(<A&!X}IMdg<fZ^*NHuHzcn)#F;GOC%LxZm_}={n^ug0)5A#*
zmA<uvKl1gc)I^<bT3bKOYR9Hm)k}^y?+&W(ESZ0(Z7)~!H;p$p))pMnYYi^bnzPaQ
z;heWz;yJ-PQdXbncsc_VThStBIbk<8c_torYZW%rn6oMFVNWU7^ZGTRI}&;isjhZ?
z)3^9h!hf@MK{r0QB%V%gmF*Ytt5{KRin-PJo%Wn!|A$Sxxu)L{+^CLaGHuJRtoON&
zyxW@$Ug>|03%OC?n0UIfRra}vpT+8eQ<AO5e%f=2y&g7A=9<2x^M<bZ(S!eTb3$(v
zI3?E0|CVW#6&LZ_v7+FVYOArH_MBqZhfS-wrpL?{KK5>h;-ZiFk4p>r{+rzkxS<}9
zcwDztI8S>{k^94*-CV!72R>~2%{ARdV554BxWDr$Q%B=<T(90YEMT3^s=IT`l9$|8
zU&Y;?vt0O~vE$aO&>Gzp4|^9(+umel#yM+4<b^4^P4#Ixq9*CH7qH%D)x9am_NMyt
zhk*b8-iln=;2m%}&r!CT#rKAG#wj~T<8R!viXATepTBPRtGTJ?KYdxZOZVob$8x8Q
zx4rqkp;<Zau2i+#-B}w=a(`~RcPb4E?)}b5OZ(9E=GD8E5%*Q6zIyaI<=M&j6t^c`
zf86H<7YAk3AJ*LeplAEPW!o;#6`NJymT@X_q2%+HXLH1(3LG;|x-Jx*-g3(^EaR}~
z{s(i)<+$%v>->DM@zLVrv;5_x?aJo|--`QK7MgKr>$`)CuG*c6)v`#O_h`z`E@Lr`
zbCD}{BusoX>1dbsG>vtUt9B&b4BG!~%Il=J+s^BX_a|E4<vOZauPvIsCTPy)sKSF%
zM^&>$!`B4IY>q2D%(k&?w)>G=x!-SV$Q}N+-?uB+O#9r8MHcC+A2q#yHFL_JfS8ZL
zg=h7T^6o!cZI{05h7zlB%d|5hQHI_bXLA<v7SGuxUsc<x_N93CitiiEV+s#(9Mx17
zP45YsV;og@P~xa+vS@fua7?{%T;XAsjo+sHdvt7@xnIZ}1JA-!DMuxPMV|YF#u)f+
z^j*-+di&4f8)^YZ%^LN-WuKQ&_}BTCMN4k+TnEwHEUO?ATH827Hu^5;%4G`85nhor
z+u`V~Vz#bw=Yt9hRhwJGcm$)2LoyB<EPpGSzy6MK_WFwIx6>}}e)P7xK54d-=xvEr
z7LRv7nj_!EU8kk@bLow=z@uh8TH7*1*4#Z7SopY1E;NUEWzuX9(c2!YZlnbrHJhTf
zZDq*D-lbi+dZ9VZD<gLME_-zBZN{n_X~9R$=4fqu8M3i=c~`DoXb$tLq}c(Yw>wtd
zNDJ9mCLPpzYl_yDV<8L8mUC@&3|&$0yUOpj_PupnTRpGpE-pWMJLdbz`@0|I&P$pd
zB6@qtsvBydN6l7hZSxG>=)0;bHz+h`@~YVpx3urA>)yJ3@~VoSGO!R1)uP$kJww;*
zof~pAdCTNgNQzi*i%ic6kJ;#4UwGE<sM*S{?=|Oq7qV_|nRZ7ks?dFf@2Vv4+e=<;
z+52ei`heLXca5sT_wa`2pqPQ~tccyds~#PDi^a_`MzMuQd5)SIi<+Mi0y*i<jc>=g
zPqV1U1l7+eiYz=PdekypR6Hg)rYN@XDDP2Ib5Zj<QO~aDO<r|F$!sOpR?pBCzN?&a
zgG93?ue$uLYy0hYGq;BCxm$SpMeN6KE`^7Jk7}M5Nw*1~^VzBJp!ZSL^&;VW!eTzV
z6&{Y>_-)E=P}15LoHL)l>+NU9jkR_f+s?Be{q}Rg4LkXIk=xIklYZ9(+}Ys0#`f{N
z9UI^F?iN*l6F6s6c;PX-qn5u##bp9wiUJCcDjqd$7d4j=%s#(Uf6D{@g{u55VKyRB
zpFJ`TCxa4}d#jpEF~~(_afOFik7_=i&V6pi0*mxTkD5HYyw$YV%~-S}ZPlZ;%+r5w
z1^0Ddy>ZVX)~3GgZLhJ&@-rcG4804_<s4-#7MXq~G{(@s@NCUdUhX5ejQu*c-oCdZ
zz^3hOZ@0*Dov=9@T?)?y9c4W&GF>M;W}{Q#S+AqKtB>3&_Up1;_+ZL~Ntd0p&vC4^
z)ZX%N4k)ayg2QSpB&^Qvjo7PwZ=bf`&xIC`mp_^lU*E-Dr>*yL^}GaE`=h3RMa_8x
zvi&2q^Kz;mI~?U~7Fo^{HpkGV@La%A*25yxdBS51oeIx-9OYen<d*Th?zOqqk25|>
zY%}i*oMRMLc+BFc*~(q(3#-HT#0H}zmhZJ`)kkl$Z>$%o5sCWbnQ=6Ip=o@JSxsp@
zsQkKZyZKw!cI!OJz1sKYX`rNaq%;djZ)@(Vg%&<83ksd{A+GQg`%y`G(dRWmD3*cJ
z^VZu-wDRuw!Lkg7F_7FOnq9vgIc){Z4r#pwPWEqCz>@u3P_lnCrMt^mPxIW)H9H=3
zCCv`G>$s=<=xq^5*1Re^|CaW>zV7-Y>y=%{Gt@D2EW-MYWwS$$-n#7>YU5@N%GUKr
z`5K`L=7Vo6U<YYkQ43uN&IhHL&za_aJKwu{y5$zjtG2iA&3GlZ)qE+}R>ja2XID7o
zx`<{8uL_V3IBLB4XUK})<xaU)U%9tEymEibT;JAP8CqA=f)|?2;M%$~WJT{%r(7-3
z`Yh*_0kb_@Z+W~DpR@7Y{VlQ{t+#Bnt{e+oXx7VhR#JaULeqswole?noNFsL9>_j?
znl<mB<?Fv;8aEznOj+zc+wt$dWaSm^vmJK7zrAMfqqm{oXQqKlS@9A+v9;eGc0X!a
z-xa)1^W2Yh7SG!sHO=qxuG3uiW9^P*@0||v{uQhLwRQO(!T!YGG4&rMwq528m}3-B
zcue7_WwWR_PhgBuNa0a~qo#*N&F2WMuRa#N@!Plczq*9aY4};Lws<_}(VWj++<F>%
zJ6G&@H0jZ-(_PZ*I<`LFBOLW1F5@KoLQ(nFYc)c*KHSW#K6*QJA?NiwpmOYP_?!>z
zkEXD98Ov#%tFK(L<H5#9lhnJk=V`60T(;xk&WP$$rG<~bt^f5d_U`USxvh_;Ozkob
z(>xcsc1J?*qe)x4w6AHdi(J1WvDsP(R0;`X=l@@&FSq#b>V1!<ob58+rg84(svGB8
zMQ`uikYp_$mh=2j*V~wgHMY-}RhWz15sE5`&NwQ&(6qeO>`rn0t-b}j?;2;PU$D)S
z+^hIy#iJI%u3$H<a}rA}(ziTnGVJm`rnOFD`Hr+bkJ>ns-fC7K?Y+JKmA2oEB^Ifh
z9`$H;6))4$o3U(1%C1LUl3m$pT6r^6ug%-&4=Q4Bb_qYz@SC~XB6;4UIX}C&#WeIH
zSL{fd_-NMAF6n6<Tc5uXua7El$T;b^P_(_}nvB@3f+L`y3R=i{8XQ!$Gxf1mK#+v`
zitp!M?R&p=J`S!vdRzLV#M>pHN*QOZ^{7oS>8;tyuC2H4Sp<Pfv&ACId&27H6vo+>
zont-9DlR%bCMc#bvhb|vQQq+9zd<<|p6;RLe<`T6@Xa_>y1nO~XnwthU&R88rwbqT
zxOWxHY3o%i+VN!NqptL>?0MRG6^hs96*+@KVS1NvoTgvpT8reZ)r-HatB78_BVp~M
zNu^!d*R<Ew-(0XGaq(^G+uHZ?x|ZiwKUM=3XL(_BHn|oa3p;9gTSPo3JZ6(~;ZeV%
zrn^PV--u<O->G_!mvwvVv^#=Ph2a@zZ5Q%>pSvx5&)dTDYxX|MT_5fCzH57OmvEo9
zpXEY}$4ef~G3??#rllvjd`HrrN3%G)q}95%E`K9zzHM*er20oKC%b}|X`Gv}$|8N%
zqo$W#-f0@^W~|(iHtkW{&7`-IzmN9j=FeGdk+|;Bl*%sSXWHi?7wkw__-K-Am$sPp
zy2wR45?4l4pJQCe%HBFnMkuN<BIB&!LSA=}i*$u&ZMXw&Ra|y$5}v2&SGmsOaqFWw
z)4RCiH1#Ui?s(K&|7h0sF6n!^pR)ZU6|d!0KduJ(zb;_Tr@+Ev>PIc-i;C9-#(WAb
zJZgT_^uDP1AA#)i5t<-{{vd__g64dRE_A#9?!8^qxtGg#EZCoPoA*lc?Yadw%2<wW
zQ}5XNe2q}G(?RCK+mqUzwB<P0R_bhd*a@nalAYdOKBrp`YV)uj<rEiP9uqXDFtYHR
z=uy^i(djY4F@>>(XL*nEnjgLORyh_Fk<nekdD?zE7h61D_h?Ra7xz1Dy`Rp7M{B<S
zdmH<4n?vE@L+{pCZTi-=ee$D)x%n|Fa)-YiJK7aIP2*g|DvR`)kD8u#d53ANi&(iM
zZR(@8t4VJymmjI$z3{=73zLkUw9j#^wN&5oa1Y3BrjR<b6jYmU^DR77c2v_^B>hdu
zoXy^a2lI}qR*Qtc35_v!C_L=2@!OPAQ2T-}XpTWd;VFTmlFp*fd4gjMVhT@k92Hd-
zz1|aa#~^BrZSr1F+ag&+y(e^zkze7lildgoBI5NvAu&dtg-274ng)xQ`-o<rzp1b#
zVey4YzE0X^+-q+tZAn}Wa#5y}@Uq>hU)EJrzuK4eHumE;A4m-bY7auPBciEzwRZlU
zxQ}MeYxZI`{LDa=4pN;{A5neGXXCfBAkqGd@Y?eCowu<cula*)@MhCkC$VBj+Jr}K
z2j3O1-1{hZ+6`f@G;ZCQ%3G4wU6@trlp7R#W2eLNzs$!C`^}c`3SVWujBBfA=nCGI
zPPuNPS<0&dW(Tz1YS6m!EO?>WJg%)Tujt=s3$DLhW+s}ocx8RSY@gO!30ha41uZn2
z#<g{2NXAj-g{J(iW;UO=w{>2*f2C~Kai?6l()V}oS!i8Z7P!!?hihwO$co-YPPsy&
zS<Nc~W;=`TWW6o%YI~FKLb+UyuNlTG+@&3DZ?W9E+g4e9^!BWG#%FJ9-@8`EFShm;
zdWWGN-eVBG{wDa&hWIsi)dC8WZ$IbL@UvKfqjMp8n?>tdp74qth1QK2JrC4Q3COsG
zW{q51IYU<XE^x|a63r4`0g2FDrqa_d>cJ`Zkke7kZjtmm5sDVimpy8V?()vlUbkcM
zj%Vv0wN-2Xxs}T#>VNy&vEPpjbMpT<7oK81D#<VU+$JdILqy?8;iIDdqStML?|g^>
z*|xFlwsd^Q@eO-FE`2m5yvsOF`&{MX9S_z%npEDUeNTJc&jmXkE{@pkyCBJb17}D*
z!c`aAeuBCpc01Fr#eMvC6I^c89pz*fSuPVYr_iJDT;fqycaiBbp)rL%g=Y(o@~R)X
z6}+!Q`u4qwpu$6%M>Us=rq2nSQyf-!(DJD2bJ6gafSBUI!o#W?zxAvKWs$hBIR&nT
zr$UcPUKe>D6CPubyYxZ*q?cXVX&UQhuH2D$&1?U+IdhY`McxQSZHmq~D!0(Iy4CDW
z@vV6R=gQ8nu~nY)c-lp4)tFTli8CKfdD>+hrg1KE<&K1@k0xF1(!Qp#E^_sb#JLgG
zr(z0|Z%bd-_PepbB6abj9^bBFGi|*ai*`JjUH$)?pU{5obw3yGc(}a6JmpNi@PC)v
z()FF7@Y?=p%Dz{QM!z)Ay<EQ|q2bY_#x89(&2^G%b|iLG+%0~_ts9}RC1v4-E?1{)
zG49-mM_cwj%3X1xB@x_kJ*Mq9V}V8LqDMWRUBznJdNUU7NC9=ZcK<JHn+EQ<WqrRd
zH*tIT9$s<&yMC)48Q$^?-B=Ir)uHF&&^5NnEfLj3G%3H$nV96SA)&e@Wy^&wL#OOx
zT)7g@x9olNc5*eSDK;P6dwUCN#$wokWIyii8%pCE+<@)9jocpvnJRuKAf_m|@Tl%l
z)9s?Sm%Mt`^sQ@qep0tcjd;{2=ZvHNkoN0UQ1c?^`<YwX_nz&LTvC3t{&o<g5sg$P
zfy{$<eY-&I0PHP!)o9W1oZy(vv4w~EV9vU_cXf66p1)x^lUG#<VDCbMJH@d6Wc}n-
zh(>=dywTr_HM9zknjAH~ENXs60M?0}*(I#EMrck^VBs;<qn6V}#bW|vib4yInjSU1
zE^2-!;wh*P8?oeVuldpB8}}-_3s2=9l`I!|ekU}hz`yWh?a_MCdX06J3wAtQ2oC?d
z2{89S+x+WZ{1Mz_n_IKxRN|rJ?>V<)KlWZ|+3xgqvEzzbBaW^7Yzx2bRJdX%)^clk
zQ^4;4fvowQbq6*5|M=$qzpZJKIQ_zuyH3X6IL_YG-jXo?!lb`W+I$>qEj6}0oDg4d
zEVw?n{`N~gZeI%}lcy^#^dvhK_i^i5C~kSO<U&`lQ??&>uEk^VIiJrYA1v-y)wc=T
z^CjZ*spP}2^|mc<PPbK?^V;tBy^{~FysPKjQ?6&>n{ldSp`>xkvoj)52HqJba~6sg
zw_H0TddnbxYjnY>%KZtqPe1$3zF}`p<Ao`$PR3%KXCv!%wj^|3n6%VMdm883NWCqI
zt?>oNEb4=ApAO^ljZig7-Fl(N)T#L1&$HRpH>($_%D05oh(vw%%s8C>f7&_8_uHKg
zvh=G8+lKjkiZH&Je0Yl9w&j)ayZl$$p8kES_S@dcd;Z<ky<vS^TEQfB!G#`2r(!m4
zT?wTvDJw2?B|2rd)pO@cJlyVd${gf4w_hN~O}Q}TqLcA4j<b^5SB{-{H$7=@@vhZQ
zxg8=|#!CXE6~lM2rq_Ju&SUrZ&+zt-#+7643(ah~wmuA8akkGXw?rgs^5Ot#nbuo(
zUWm{6e0cwP?z$?SpHDYF+J1c2zJF`4Tv*@z_T}xx?}D?=-;*n!UtjzF$=lw5*%GbG
z_6X1V7?*L5eIcuS>#ZXiSJd1Vni+C!?YyXeL(Q%Ja#@l{mhfVbN@U5v6}~-AxnQaI
z4Zc19mc2#Ni6n{8w?ARFgzc>(FD|ON^_v;iS8eIMxY)NRHmAO$<l182p1bMye@kAv
z^6umM@7hZ;p++NgL!1OM`0nDM-;Wo6t~>bl$P4)eb0tvR3{rs5`?twh?+5F4;l=e0
z2wyx~BO0~AuRh~s)k0C}mRm<O!V-lS*Ly#Y`4UlZGWld`znS5$-VEWz?z1I!-}ran
zh4**E+xNw5-`f4YcfR{qdHAj0r570x&Oz7)b;y3voTM+@dFpQe8BoF`<DkVt)yJ)2
zJ_1q3ff@COcRkHJXlD3}^>*C0eZRLazW2VvjKlY)hDq||3v<poac|?;n(4a2SKBE!
zN-*nk7dVh>^T5u6hT{LQO`U~T=l?rA|Npx7|3BjYzyJT|d;QP#|KHpH-Tp0|_qN%I
z2^(q;&;NJb{=c>SuL1=PPWI+WMH(8C?ah<wi&ZpO-J64obTmYdHwP6fY4EClJ?43H
z;rpcO=PMVe%;EDoHFH7A9A2+;I~Rz|;rBY(x*#Nm$Ls9U1tw>%NUJ9=-`D;2<mRSH
z2G$yy#Z8k8%{44PHw78kYp8NJ1#L9YFzs%-v>_sY&YV~)kvG>Cru6fPR+p~0x!n11
z-PfAQ-|7uEsAzC{oBw%P|NHZQ9WVK7u9}IpQ-aJ~G?SC36q&iMOemh>wasN^QudTA
zzV-Y;hbMlmvR<mgEqA_;)4lol=H^2iHI~Zs2OaCwcsi#i=-^6?sdM^*j?UD$I;S`2
z@XiG5R2}|p!PnY9AAEfC<3pz@L3(bQkAtQZ>AA0b;5Egoex2LOM^RI<*14~I=(b^Q
zQ5Z{g%E40$rmW%eI{IqCl{K7Rhod5I#mC>Ba(>re)@!;uuiRU|A<R`Xv2;q%H5bj~
z+$lxZTvsO4PVq8xS(%hPCCkipWn%G$xj}jC<(n2Cou;9h(;IYZn?`C*f6%!;4be9}
zK_}N~gudwuIy+Cp^bK$Qw%}uPANZARxgox^<!_*urNGjj=D<^yB1@a@2Wq|4<}ckF
zU-z{;$oId7DG$fD6LWSS6w}!uK4sEx$CVLsQ)Y=eW=3dw|9-up@l)jX{Q}Y|({KHr
zdgFzLYENg-sT&%pJ>5a)erSmLbOfC|q7mxT6?FEAhN%zdw%}6_K(@}Pms;AA8R#`r
zZfTEX;HjU^`>s~+H>ws`I;lNyRfWjXS;qsjDuni2Hfm!zf45J}zxnv)#YZ=5EVbzm
zI@Yc6bWcyv!POd5_w)rFovm?oPjArS-3iuDd3f(-vL0><GP2PSRc;D0Hqzi-oRV3;
z_gkFZ>u=&Y@=t=Q_b2_9T-p*J=v802!_Y&rf6<iB7GA9Wi$Xq`c!{206!O`|i&x+5
z)!Qkb3?nwm>c+A4r@MED2YOY?F6}W7JXI;Zw5dE$t5SYx7k}WYpAt*k{129$`XQ2|
zZXH#_CwIP2EPm0HPo`dy_ZLn1Z0p5pzbNFBv6txnMIoQ9y?ATQU%s94+46=m_db^T
zrBAX0wDz$seO4VHRd?c2oZ3x)yVu{MP9_(v+{>+Y-u>h`<Hae@X9jNid`9DDW!jWC
zHpX6M^CVTR&-YB4o9=e<obl7R3vo%6HajNE>gKtfJokA~%5t;7O__FHW(V9(s@>4I
z$sxV8%YISFX9X|b;->Gx$2!3wzgyFT^YfzmDMt2QlH7}?Y&P&>?OqhJ$-+z2dr`<{
z6EEJ=O>d_Z8&xb9ox|mI^5ue%Ih<Z+Z!R#IGkNLWJH<O|uDvy#ul*#bTD&f=C+N^J
zjiot#LC2<PJk9A1I=D?^YEFO9(LR`qZ}@3yH(TGln3OQ(jg7unS(dQs>}QKpm~*Do
z=QQ<B>fI2yNz%;A?1<aRWj7M6Q`d04OL6bM7`Vy!w#Lnwxl`VpG4m=*lUB9f+&gLR
zO}CThau%ngZv#muSU+9E=XG$_f+=fwy^ii$aAgg@*I~BETc^X8vmHIR=4nv1_=dVE
z%NOij9bxaP`LuY7(LNW==h;)Z_PMTnQawehuHI$kv-Bxabtj8=ew%dt<-xK|i;up4
z@bOK?#qhdI-_|v}6<^x(FYuJ4z|yA1KrKm;rCkRDS4j#jZCm)Mc;()pGPezL-((o-
zRM=QY@$?6s>d;8-=?OZwLPNBtFX-gc2UE8!J~~z7YD{m?;jIt;PBAvVq0F7ex-_LM
zK<ggs|2eb1*E?qZQ1Gt)`S$Aql{*u>-^O<QR`BBFUp(cLhL>dj;whh1yjcAghkVlU
z5<R~-<g=0&uYU8}DMiMh*p746d{{aq=$?z_<J>7lVW+lNy+3p>G*By2c4?Ps;HpUJ
zrER63GA_n_tk$l0SGFZW-X^YkZ^)D&GdIoT;3-9B?kf|#>!)~ab6c4dJtb?K`^rT3
z4Red~*xzqyvUb$mDLtjD+HvJh`6+GoDVh4p_cZ61R_lJ$+j-?4>(TbbQwmhPG~E|Z
zF;w%iOkNyfpy#FfcyWlKqL-=g;wuK4H~chrgJZmCiqJ9_&D5+ZM$23^)2pU%rMaw3
zNt>dT=DISiY>HI<GnU($$^He)zg2f@sGjK#I`u~*Ri`89+#wB7ovxshk2FGcI)lz$
z(lFKG-WGiB-U5*~++HXDEeMg}@H%^Nfr-qdrF&(nDg%VVPAvVV*E4UMsRw89;whVK
zy(DihnzGr{>szG2(n)6nSKSa<I;%HuQ}NEVRn|+-aDx)q*Fdj`dhw-Gwg#Sx5LUIm
z+dJv3SfEy<<kBwDz*UiQs<*kD-cB(z2L<&rcg;k{DM4axn#qAvip1PkCU{Qqn&!6h
zQOcAoKi8EHOE%0cdd9Lep)9~Fjdf{KT0mAB%hJSOVTBXZs|&A5$_kx3bv%n-^m@~z
z0$UAD^QK9K#u}E}n}RmfD{H7)HwSIh)-c`Od})Jw{+ubrzx2v#Zb(mQsde<cDL<u$
z+3}>M#FQpyM@>taDP78rD=np_v?W(PPMLGPd}H&Wj|)`haCn_+*4>q2!{c>s@d6PW
zKChFL7lhdGdY#?8z{KXhlDXeG*1cD`^j-xNdA4_*wz?8?apA*Nw@zhNyVif_-a2W^
z-bKHkiWMHn_LkRk(|i~-B}mU*^Rd^IqIGU7A4E;@TIas<k=vB4Jhzn(!#2zfieoL`
zlzix|hU%Tppi_4>QtxyJo%^dH`bTi-q=T=5*ZPV&FA6a>@!~z)R33Hg^@GS84-+ds
zRFu_72riv6G4Pax=+ZeC1GOZCmrmLkSied_eCe!@fmt&Ia+c3AKWM%7L2iK8J=Ud<
zY6G(DSe8C)(s_HqAkgl0S=J1d>9?e%_nj8sXKwzdBk0t5jnqF~LFe9Uh<@pvm*}})
zBlJsu(AfqJQy!je!Ka>p3ONapr7ga<o2G2C^pcETl#-qlsC7eZ>7=)Tt8NG`oi#Tw
z>qh-n-~8o0ng@?<SbX#yDBo{X_tLChJY}Q4m*wxpAq5Ivs_ctH3N^e;+ZSKiU~t3F
zQogx%>x19`FFW?7kGuo2_OUH}I9unf=-uuooAcJch|`&VYxkmR-zh@Z+%!{Trx;yx
z*GzYw!e!>R@`>9Ntvt7t&%&li<+0z^O!X{Kw~ng6(;0N=uEx?k-9g7LYdp2-oOJeT
zpjM^G(ysQvRh0ru+w7a(PT6PzN`vp*H6MCR3F>pxd>k>QsLy@n1D7dYer_utg-prv
zb6@$;XT#j0X{<{VDg(U2Se7QG24sb?E=}ADa$9=yqyj&^t>v!YziO!NnKoZxulBC{
z;9{e`=$`A!2enhY>|9npN}iHs=eqLY<~h2zw0E6nmp<Gl7T*M_aj$DEt?3Rr_Fd!Y
zpN^n|=QXDO=?XgfUgPSQ-f21RR}-vLV>mz=%KD)FsXnpt#VPJrHB#^N2c44-)T%(M
z%X5~`={_hIS)i$*I=wlluwGTeG`#szLGJ7yPK#xA=dH@Ox^?o=%oyM56*F}HYrdVB
z<P{LKj7>E;CZOmT+tG9S%|S+18lsCK)w=SRFz?EB=6$mAZLirkA3nTc!4e)`uVWJy
zJmKN<I=EoL6doS0qYVqLeBt+Uw%=Q56!)SxnZ1?u*TFY44D2;DKQ~RP2Q?Urn}Q6i
zHB>h@1sNJ^m>M@-GKkHeGiQ2Ly>`V?=aUtG14M;OR?J-Cd{{;F*2KhFe4LNXyG6gT
zwMja9&XAlkCDQTa3|WzC-R2+za}CwcO+kkC8m8P$N%>#S7Cm0#bD@0WVu$|=RCqYN
zP90c~!o$@i%i0uVprWDb+#FPIsH0(exH+laV{f66+N<3+0uxdKgxpwFQ%eGjj<FoI
zvu_GA(9=+z-4wLZQo}U5>C%SK{5f+xtwemTElla+6Adn1F>_h7?Cg+?`a4^F+gsjd
zweFo?Cd=V<=-`4SGF)EA9xiyI!{c>u(Sj*Dd|pQ<Ex4k?>vh;@Z(&f`i`_Q@>k~j_
zCyQ!oYJgD~t7>{_0M|8^r75`qTGv>Yrqu>WnZ4+pyfOIx(LN^W=1B!!v5t3sb6$VB
zAY~4x*SVVuMCNdNo&32VB!<K5?9l}#F}GCA{m$v_y~<VgI$)D~d)Hp8D{n3@Ox(})
zwtt)6>gBiprM>QoN_?*#y}NnR26YY1>iXtM8}&6Te>VpeC}^m%HwP7JXqdJ)Un+3P
zpEG6kFTFAm3#lnB$&Q|ua#MPQ9Zy<HPHDRCs97mIrE9z6%1ZGmZQrXNr^H+?-?;cd
z`vR339<Ni&7o^nid7YcSK%|D(>*V$YAvOG7XZshJ{JA2n{(Ki7t9gmW&Xvwbb!D^4
zUR|i);NAM|c*On^j~{EpZv57~c6fn`4VTxc#|u(yIK9qYULaz_?RE0=f{;BNUT04)
zFxhiST7BvIUjeWG{>$3->#^Va=i$XolZ?zYBtJJzGPc)X<!%bvWS}A1-4wLhLW9@)
zN~`tT_3QFpzs+=ST>9(a8;uP*8k(n@Cv8;Hu+(l2+EA~hp}M*`Xrr2j>EfnK22uHQ
z=2-vI4J`<7pHyuXa_9QOXZ*5Kaw{(UJCW5>wd-yEnq#X(ztts~>S}OaZk}YMtRZRK
zJjqyFgY|b)&?b8gQTC>wVgn7{_AkdgC6~WXa&J8B=xHG|Wy)g5lNMrA<~(-Pv=E#!
zX|m%=3(+aFE<0w{TP!yBJ62IEZp}4^*Xz*E1xx1edmX#A;K>?puY<o9Ov&N!I(lru
zl^iaw!)bd9i_~5O7zDOY^0W%^xwbH^i;p)rG^5^Tt8079T2Q+4iw%`;*&FW{%k6dQ
z>w=U!9A4+nE)coH<#qDyf{;6$UT5zvFu4=_Jn$|5vTZMWlieGC*Mq|3gL^=b9E<AX
z#DF3>)};>$1H9(3EPa$2kk!Ys^x=wo7LvEW9^1J1fZ^YSG7$^8DN}?UPg+P$nG@`&
zX(2mhlCk4T3+XAdiXAg8)|>mC<J-Gm^v#upDbx8xcbBfXx!Cz|oaili*Vq96?SFl*
zxNbdk&wtUcRpC3ocdw{(^sIj=Kc$D!@uZ~0lqN?<O-Y$4U5bt?C8egcC04Co8gof{
z`Cspabq3a4Jse)APAo{V;r?f7dA>R5gOY}-esj=AEe+H4&6hs7?JqXcd%gQc;Dg)%
zp?j>VPiq5=>{wKvCkJrZu`Yd59H6z2W$Cl*0I7X1dnXsWwl}@En)2tu!bi*N`Aqkh
zWc=9sbN&Co?l1cF|NH-cnErqB`S??IIXfP2NZNaRRw>`=maTHZ*DBUTyxp)Z*rcCV
zTh>fd+h&^1_lV7Fnoq``YFaAiJ*{F*#G8$26OXsG@~yVh`7p!ae)1`se#v6nXJ<Y}
z7~D=isnaiNY<um@hZ`I2C*EymmJ{Zy^0BOcV)%H&@j1$J+<jF#md`dm+HriAu$;7?
zRnD)1Q^|)i`!&;T(&l`fQG71>U}eAR?{|M*-v9fv{>{VxsagLw2hV#OdwR!)zw7^V
z&iS(bKkux2|8{-vyWV2!6kNuA_J*oS`tl1+vQFOHxYyoL+mg2WLYr<}?){rOCW(_T
zOzCwp-gmG5+pN#783)rBs?LXuY&m8eu3o=Qe6R4V4`CUnq!&uAZ+*5$DC$E{#!2pl
zqUT$$*$ChIaAoydfB%*=8?mhC`cB?*Tx%;7wmj><UH^Ceh5y~#{@-M?W(=NF;9qzu
z7Bboxma}|umv^4lx*ZF5JlmCUTfFVQU{3Oqq}ht~m`k$2E3yu53-`fTj8%BD<f!Oj
zk=vflkJ=QQa{o)bvB<o?u~|f&CuEM1L!n#!QOmy~;$K2zKHlETULAa1|KPW4e^y#N
zY=1Q6eV6e*&2y0z;ROekj;eNxhU)~zYz`?rTyJ#n+qIZ=7KwPK*&lDI<<AS5v%#V8
zRLxPz&mzyygvJ=S7aq+y_$_VrQj64Ok9s7#ikE3`b8dVzX=Ru8GL3aJ*X&4~^x#{=
za*Kx(9!)viW!$H6&T`d`2QwZ`dfcV$r?Jj*<&K9_9<Qmb51$)0r@*c7ROC^!K<;hk
zM=FnMii@Pjgv=@SEIjCVRFz#M{7rbwX8*#&aR<M(-B@gqxc$+T*e>HTt#daQ?nv1E
zXi{#M_BO3`Hy>H#$NxOr6>O$?uJXBE-=}X|YgSu4?tL`pI%KwW<&H<Kk7hmZlAg!8
z?fR#ck6P5bg5|X9&s8k3c)sva6ML8Uzkry+_`<Wc$6bF%-4o4Oe@^zO<aW{LcY<OH
zf(uXb9u>VVdOariPC@8~y;k8O>M>z+iaa;U`ine|35YQ`u=j2Ex2uvXb|g)BH0xmB
z>2Fshm2SkfE$k|8)6|n#w<D$HQP;$-Y&Xq33EdlUZH8UK$F%$;m)Bb)?|C$bvy1ze
zw%*I-I~J{fH0%C5)pfVt{`D?A=YN#dUSxVrc+5xN!n5H=dE;4bfBUz_;^E{+Q~JA%
z?KI9+uHTXHS@)poY?1JskeJN}3-9K=|Jqf2O;ayo^^TO@M_pIDvcoj<A~bJo`_6Tg
z^R(!4o!~heLkiCc9cAqnovsrUQ@=5w@GR4D-`$DNwe@B!-;uKJQI};`cA9qH4AmQE
zZ+wnw8jGa+gwHYdEj$=<R5e&6TqZE4_)FOH*Q@SD{xe+2dAxPm9D!MdK^f;X7qTvI
zoi;}>sxU0$tmQ)9=X-DI)H!Axa$WeXQOo4<rVDelow)h9buCr4JX&yJ7PFJ|x9Y9+
z+i%+~<g9L8_C|2l#^{W5dJ9>tTc^Ddh}syNan@`hZ}eWX+8atHk01N4x0G+0wnseb
zqhH3^+q381UHZ3N|LLB<m=95fC$*27>a*VF{^V46toW$qd6DThrFW{O?E+&yM-*6}
z)7GnevEzH{A?AZ`E$-i4xA%SCJ8s_{>LyRuUFfN|b}G)}*4?4H<;k)OUD8h3>$r1w
zJiFSb6W*#8BRH!lGUM2Tch!5o`(6-O$m-lWjYl}jFec+H$3kA^y|*T&PPx!>(JA;C
z$5{z&lk_<knm#&tt8uKIp|K@x(uKB@ac@r;d1M@OSZK-I681$r>hk*4d*9Fe#pV0s
zZms>7eW|}xP3j*nzc5GMiF+Tn?oYKXk5*rprSBwdSH0Et!Q=}qYwy~3e%<%ymXb;G
z<_mLtow&`obZ;tbN!oj1R;iQpwW_VQ3B4Cut~v#Wah{FPG)Zs0(Dc;Fdm8832(2w?
zofq1U#^v%ysF)-#yfBB=N&6Z1+L_n?Hha$7{(r~LuIy(Pdbgs_*N4q9@Gd;)b5zt=
z<hoCJ%(nk0GP{KPH1#aj?Re7isB3apwx8v?Tj@nng@+`MTBeJN&k2qxiYq+Idel^W
z_xghOyDxh?3F~qD?o={)yz0W7Y$xtME?rBdEsr)_nC0vw%~!Q`@lD2soWEO_y%C<Z
zF+Ag(+(Opft<&BJMQseuILlYRkoWf9TNiU?UuZdh_x!SZ)A!A9x%EW%idz0c*7vQ`
z_6SFP49hqxy^weP-dlE`y)uq@FSOKe39}K8`n+cK-uyZ9xqK^>OrCBGklx<<%tj>Y
zgTsomx16-!aj)H}zUAS%@Y~GqIDB{LnmnC$p=Y*JaUO^64!tc;W?kr7?UdbCcK>Sq
z-uKtqE4SJv?6}Y(=oIY6byh;vBz?<;CPOFhV_a(`)VHMVxzNTLm&-px!z6jqg*hjk
zw3l(Loq6kO{lBpIj6(?vHJe**t<k-bmb;MkZ|k%#!ciB)GtRz$SG_#vdqaHO?bmKC
zYJUV~eTvOEX1>rezE!M7Fly6!>(KB2Hf)T~I9t!S@Y~O4SHCqlI|={d^nIyslH71%
zPNNey8>g<M&X%N(fZMxUZ{2%)^;^Tk*tNIHUvVttRBm0?BQ(n}D&w5QLe}KgX+6SG
zhH)8ZSr+mN@4aPRI_W}7zxkeJH3H!24C{rg`K{CT2u6L3$~ddNkXL{2E$gqX7h3$C
zg6+7^{-{^F^37=>>;0B#HR4epoion*FDz^MnDze3{n)kD_k~L0&+e*SzD{7)rl5>t
zS_>^#w~DP1jM@~Ianx#|>Fd3>s*9R0wDdX!n{l4Kp<|NXeW7WsllL~xwKw#xsAVkV
zwFaq}exc>AQ}8#At(%z_eyh~klF)Tw(n=@oWt>}sV;9!*8t=JPU6d9+`<tz$cgCTd
zg_^}JX=g-d8T)4(tXZha-4doF61Dln>b>gX+`bV?CaEhg^rSi!Pvh3L(7AFhqBTrL
zG^*Gq<8a~nZ}V&vO&%_}FeTW@*pK_HrSg^sYc5PGcG5n_y>_R<mWPYNtNr74{k$H(
zZt4HK{zt09FUH?lSMPmj>4GIOd|t<<E_f2d>veGJf+;clUPpTuT)D&Jbyzp{O>C{T
z24{KGBqIY2$>yd>#ugf^&P_o^CK{rLn}UpOG<cOuHkSWCu|TDV%j?vO1t~q8UgvHs
z5b5FeI{9Nkh!2O?*&_=~d@ddRHtD3J=M14KQ&u{joFO)4&Pzwl8G=*lC(U$RIYV^H
ztn~k%^1uCi?|td^%X#iApSVuZieo!@@9X+SQ$AaHvF0xd`DErL`hHQ!XFD%m`KFZo
zfBk`*EG@mvblgv>J=3^(Lq>J>+{G!(B~x;``X=>W3fxp_<?%K^c4^PP+s#uh>wB60
zO??%+&q%>bHF|N#MqQ6@c6a2K&XKJT)Vd+LbW&{KsvELPXW0g3-H=}LO=yaS>YM(c
zQ;iy_GCe`(7HZtQBdRLBZ&8YH#FQMK?n!5p95;P`2$^s=t2wjkx*mASLE)zLtDGrC
z=Ui7Nluhw^=CU#=Z%WoP*OiHN6W+*fRq*2EUOZ)!hL>db;whU|yjZ;#hiuZR_Yyt5
zIApVu7q516%KH_eft!kdYg}D3ab>ygfm<3kSJq9q<sG$n%4S6`R_Vndn>4*dXD`08
zLDl2iw#rS)9-RJ*r+m`!l03h7%4a1nR{g~xAGN$p*Dt>ELCxday$bE%_xVfSFADi+
z=kZOez9Z<|agETLuAsxu6>h%%s_MlVzj#XhCtWYr`-@XPyG_Zt*D>j=v}4wD-kDYP
zjIVbad+`2kN{N14@}Xgy={c8`k8-ADopW9Jux3I|taw?+!9C@jAC4}#;aMK=W{I^%
z*(F&K>z908b4%Ed`t52?QorlCaq_zbH$1oNy@e{#EN`AvsIOu9y*cQEdw`c5%hE@Q
z^#NIOtV<tm)cfW(cW3bQmPkj>nX*%QEFDkIl%CR5>8LqVeo7as<H|^hDQ&L1Zp3Y}
z(BSlLnzYG8L-KUfq|G)OtlCXMn~XF>S2qQ1j*^{z>;Hrt`D-qkiMdmPuDPCM>(scZ
zpcwpq>kSE&>bBX7rd+W1(qvvV#n8aZvUyR6kwv|isB_9I-a6;zl-E*XOIscWdPxc{
z?U@*ON>X&`q>F*8B$R{mGbE)|qOb4JQ0?gtI@O|)>eCZ+Zi$AdPhZf<DH@?Zp}zy(
zJAKb|^qe6#Ws0QZMnxyt`fBlg-?_aU|1X%r!{K%Gz=A70TwaG0V&8l&^av1YV^d9y
z2rz16S52>X3E*;LTbdFQpykHCG|eaA&EK`%wRU~nU3+&oC*{9eaHHB<<J&d9uDzR^
zlk(pzxbfRc!}M~~r4Jspowuz^Z27znO<u6XhS%%Z<^@k|_`MExFPO52r)%y!)}!an
zg}jWt^IuJaGrxJ#Cp`_x_sx?&D{8RHHwS&v)DWHD9Q0XLqn<aubmQKC(E&pH*j1mp
z2N>0{sXh-6;HqO^nzFd+`?q~5H$<k)I_sEuV^R0puhGquHt1?--fo_>QCY*%x;bcr
zwub8N=Ae!08m86Fmp1r|-+uj_UG<?uKoB3B>f?ZbB0lz|4?F_A`q-8}iU`Q+TYYIa
z$3K?<AwM?Nry&7G%h>Bx)7=8N(%6=!gav4&u`f;Y3y^yDDyjPKo&_pr_`OawElAPf
z@jAC?frt*D*U3o>LUee&&Td*@qI3P|w_l=;o)MB$ri414jF6o&$J9|XLVC)iQpc4M
z@>6E<I%dw;>@9Zt^>a4W#JGT<XY8uUjsZntY)caY1H8o8mnL}zWKCODe`)uT`pAHw
zY3!=Wt^q}1Y)cbD1H8i6mnQiJWL;xhnmAW)TmE`}uS0DMmgMkw9b2~GNe-Xa!D$Po
z<nVeOU9jK^51-dzf!Lh;a#B-T5*<Ay<)-uqI-Zo2oYEBNxUu-tf*YEZ0a?e`mL|^8
z+m_$Y?{%nU!4e-HuVYIVJn`Z4I#@qt!4w}}ucKQQT=5BC`u%aMq@(9d$tgXNjwffz
zPHEzH)Z8gCrOVrK<xZI?ZQ8rG*hleu9oo8JNesW&vEBtw?(ldWT)SY(9X_w4a~E9M
z!{c?BJ2vNjo!pd`bjOXKFGB`V9xo8F;qp3pcR|P<Zm+ZQj+qrJy5HXBZ<<tKuTigg
zyJ^x!TMbL=rl1YR8mhaSf;L)fm{y0p{2P&+AHemEb!kd{fEFLi(q{<)QhYCysvkdG
zpd!QRb?V}R6d7)>a~~Io%;E4ld2&I>94@c3FBh21xp?&3vCWR27UEN;e0Dr(v3g(d
z+rK{^#{_VlV_*8jDL_k)ZRxY104crKN!5?1)-O<r;q^MTbwNrDzt_3m1tNENyiTrN
z5ORml>#S^OmA&mw=_!+{9arv<7qR}ty7XbA-nR3$JYI(uFIZy3*CqS6dD3SS4c6Js
zL7P-HM5CL7HtTBe-Y(r(o97-NRK}*78XjO&#;%&~AHemEZD~q;fYvwmrOzA!r1)MX
z{l2qsfl9p$pVz603sPiwz0Pf1AR@!>b+U6o$Q&N8vznn*_G>L6;~N!nBC}VQY_xry
z8Xy$Ms`|Jzpy(dUQnZos#d_QR*Km0qdcI&u4X4+!>kFRLaC;s6zF^894zHu<7hL(n
z<#jkeHs}A#)ppIZ%i>>%Pnq-2v0hU`U`kh`<I2iypZ)*;p8sE5`)2&Vm-hd**Z->j
z_fh`;Kl%R$v*z#r^ZfszwY%$o+5h|VK6K0f$Dd+ruP@pA`t5^tp6}O%H|<{bHugPB
zxo>s(-dFE_#s7Q!Ki&L=?Ze&g*8LZ{y*rNk-L2|F-<x*-`z*Xq?#|ZlE%|Kaf1j(@
zJbqU{|KGdw|C_JY|I26o`qNw9?A*J6Lv1(f|LQaU)&82eb8}1Txu9uQrz0Neq;K5Z
z<a*9a%xZPSgEeXUHn&yYUAlD5`=DdH^q#JH8+34%-qbbkf{w1zySnC0(BZEAOOM30
z3ded`{M)YWbt^n^{^pjw=YqajZ1yirx7<DFX62mClVs1;Yi+aMeDi4J;cM?M1@)Dl
zw9DT;rFe-qYy0kyq7~kv?7LH#U8hTZ`>*}?t9|{|_M@+@r)*f_{Y~tB(6LQl>;Im7
z9RDx)_mBGj{M)p@B|a}&&$+v0*&DH08`FR8&pBWJPc?P-;k=*MbN}d1+fex}{{Q0m
z|7o=^-Wx8Qe|*Y5IpezX^+hv2pH4pL-~Vmn(~XaIAD@*kC%y07t93@HJEAL(8Khe}
z&lTk<3^TeBc~l|YlzFZx&x@Q*OKLOsm4r>&vi|Jz$p4>C{geKdcq?Z;XK?E>AHi9M
zks0Sy7P1PrPV*4}w@WP+@+SWc^Z5B>yVJ3l`qOhP<+#(Tb!I-@n6&Kpta?c~>1E{`
zZJ+ghoMEtQ_qlt8<xgwYbFOY#wnl8$Mz<BU7dUhy)VHMUz0k$$lx@b9d&A_uu0`sU
z`&^RBw$FM#L~J->pLQ~+U-Y!iHJ$Gn^ZR3c-d?@+#xT*ld~W9Nthb`GHuz_ps#_?@
z-ttUFB+9@i<7B}?QRS9vJtDUZPU&0Jr>;KUlPg!ety<^C!;LA+k9Wn&WtUaw+_-%7
zOx)Uwa>B<-uFu@M{#wXF&dV*!e8guNI%k~oS;)G%W!f3BC`0#*voQ<JvVXBI@A*2z
z@Lck_ihfpMn`u5@A`DL^pH1oK4c;~5_G;7n$%mTWS$+O?)k@FgVatUnlbwwHIL}(@
zZh27Ob79hEC+%~bYb|ZBXCI!qGw+}#_usG+5l=4q#o36-e2OT#k$hCJ-_+gKOy+e`
z;`(bh-%ZwkbJgm4Z2HB0QP*45?g-2(3eGsDyU=obs~GCa&ClF^mhTN8HyxkTEyt}_
zsk8IV#z$SpXRVf#URSztOL+GF<1O{fcT1<eU0oWKaY%EaW`0ZB9?@B!{W1=sF7?{~
zU`n%`F<<2w%QqVzbR3_wSWdgIa*gG?jSpSKSC=(?pYdVq?sKbZx4z>7_pA3^=&^5k
zW+M>wAt2+V@<P%6)@wF`w?16aw|F}Hc+Y#e;<}10pB?*E_uGWk|M?bixqRN?HtW05
z*S=-hexC7R&+c=(YPY&^Z|!thQJcfP^?dHaZwGa+*zIh+<-R@O_ZzV+`Fl=pFW=U;
zc-nfrXS!T*T%}IM+l$A-`_1-NZFzWg@!55;Id87cdUf&Ho_AKWzg>N$ZL)Cwg(?4>
zjM+HON@{FLm~dgzK_~4tj<u3n^;;5W#Bb=`AZNVqT;Yt*XOj<>_p935g#Gyv@%eP}
z;rEvHzyG>b7wsv^yZ)wT`|m5ar)O<;x7Poh@mx>t?VY2G=kBi9QY_wI_U_Tfq_*R;
zX39yY?F_y3bGNZ|b$QzJcjw-mxjS?2cI(ZX%hJovy*qR6hPA&{?*7zSZw(Xup3B}y
zs+XU}<r|@9lDhLkkEm0z-ucf_1+Ez<Ll=r(Z@CsDeyd>d=f(-!?i-!`8m*W-@A#ab
za@=ASI*~6nCQUp(>!_Ucw33Z?C+3ySui34B_tm=1+qG?lW)*m3oJw3M>E7~8Ml`Cx
zC*x${LQ(aWYjZ?y6`azyc)IO)kF{L!yDFU>&o@4)-*>#r-sYOkhZ`R@bidv1v)oo~
z&dYV1zw2H$U&wjCb=e<*Ss#Nl&gm~?-QPOx%iB4hw?$p_&+x5Z$jfY%J|pwD?&Zk+
zqDJQ`&se_S_@L?dq;5HFy~;H|A8mY?Eq*(HCR^G3n%}yY7re8ozHhYmMc)2<+kNy~
zm+cXn^)V{rob*E0dQj(7IO=0u###1-yz+m;JS5+2cRD7}Z|Q6+X7jB-^3##zqrv^A
z=WWbv-Y5Omy{z8PIe+)-H?^ltVlxi$EYvh^O*<nr%Q!mYpv*$m;?}S;!coTY8P4|m
zA58fuXRKCnX6B2H36qXbIw_~UtYXc~R~r+fKo0v=?IUp^HvN5l^s<Rg!fu?tlDa0z
zJs0L|bmBh7se4mnOVZ>Ev(7q6Z~MWzoaf67!xPEp68c%4ZKm;jjW9fue72yUS9#Zs
zy3<>(CLh`c_T5|YSp^OmryLhba<@Fw5sBL1k#RC<p{RGuH677g8_wukr0zc6BP&;2
zR;6>}>Bf}p$GdFhvcJ_=<=nV_^jq|@r*gt!CD(8Mo|gH_WIbna%Q7FaS%#h~WMf*y
zd<3G5;xdj}EHq7SHS3xAOl;1kOZlxmp>oAx)jAQ6H>RvT-c>4>eXTm@#s!d37w@Xy
zuRCqBYWKN&+kKc@Zv_Ttc-1eI{M+*Ei)dE*Y^Pjdj<pfWThg{(XbY{cJE*B_o7VGT
zhVh-`g9iO&DqkWDk0hT>=;v()`|r}?x6yY?zwJw%rEiirFTnb)$Sgzm6~2;A+-aP;
zGc~s)wOyF?5}X}x_&u{t)A>AO^OfX-Lj7eIzGb8zm&+Eb%84*|o4@~lhW~as-?veR
z4zHYRy<PvRZ$GEG&9a#K?=uR|CZ7xKXAQTR7V{01t+Ms1a&|ljoB8QL<T3ekMenRP
zZ%#~CEuR~Dr!cJecI4r;=O&-Kl|S=bwU34Q*Zlj9y5AnIzR;o%8P8BLdA|5U6Tg%9
zJ?^y?>RX<zz0hV}cd$(Djp5^-<8$uIao1Jq{Cv6bQTy>(@8zWTmDX?kJMHDx<1OJ}
zKmNLA*|lZXhtP~u;tM6`w?3;8iuw=;+R0X?rnBYQ+zV~n=Q{gbt2_M#6#n9J#q+9l
zDxPh8vhsMBx?Hwgb<U4_we#L4zVAKWa{X@UH@=$wmRp8FD`bOPZUwroI9q>t@2x-T
zSDx7~H2crF^`}2br2cMGaNN(e6Fy!_KF8h9dfs-L&F6@ZSCY>P_w)AezOj4S%HL0J
z=g)L21t*OK0ki+NAk5JHakn<L`o7U$p{S3+8E5$y@*e*aw&CabeAe0jZEpQ}e({-o
zzuEuFEkDmMK3gxB`|mX<16_PKIe&ku)uq_<_tDGl);kH8ar)lWymC&r^;wR1)CS*-
zlVJ-*qg$@!h~3(7Qs3g~hT}cXa>aaAIu;K$rtCl7RV(+_=SK43d3xLK8|8kPv0))N
znVGk!#fZ-;^36CFy3jJbMJz@vs>n0rsOv&g@fNc=-?{xF-y1&OcYIE@9QV5_ot=+2
zKH7GCR<xXSeO?(T2Id@Z*$j>WE9;}#ha?wjE^kenBQ&cxDB~dKLe=A~VKTx|#UUAo
zCu-&$)J*>qcEaMV;qxiSn<mS7`&F#5c(?J{o_a6d=b#i@awGZBLP(AjnYF<=<5clN
z$@4AGY(%3zxMZA6UMSk%a?M8M)`tW77Ec!(ukT@&EB;okbL08Ol=a{&399_Bg0hjl
zjoO{};G)oUA!m5&vKYZxg^?NOR2Q;}w@!-@h%)pCRsOuif0}~dRi4@L+VFYb@uu5y
z-g%X4cD&s9tnGN)>)0GfQ6E-5cW?eoHx6G3O_S6q7kVx_6(8f!mC)XjQa|TH*GH#p
zHICdFFU02<T~0p6({Fj%R?O#fgwfUHqayvLlWom-=6~9LKYt7JAC+{@+q=v2;#ZZ*
z316%7iF|CBy!H5;P&w|fDxJuO8<Tb(pOq>nJ#AO$vYg^+8?Q#56H8~EJ$GtOQP{?d
zk!RV`d0$)mTjlRhje2C5SomD_#-hFT7IX8Bqqc^-uh?tj^!BS@mi~l*>eiN9-YQq(
zlox(e;@ld(^0TVry}Hv|PUN@tw8|BWRp`uke=$z$KmVrdrxx#xkb8Ue6)0T^^?%EP
zq|#{@S}r>U`*EJN&@_48a-r$5lXoBIS_`c$&pIx&9j-h0Evs@%`02%aqvYORt^X8Z
zbUyiLOuuQdjoF!ZAcGY9IoZJ_{1)dGvdXQu3|&{qhPK=)bX_4E*)oksAnIa#hOhlX
z-rB!S!F&~GZoD^4pMSh*ublU{iZwUhZcLkgylrl5j{TCl^72RDf?tJy_qg$U#xD(%
zhs_tJe0MUw$9c9=d&`5~3zM!pX~)%buC3JE@~}0&;FN#Aq`l3vn(q-G&L*D>?-z}?
zxmNS-#)p-lYSDPNe&TQ4%RTRQZ~Cr#xnd#b<CbMTqO%O$GR|czWL?}ctw$uv&?)0=
z!9w1{f0}}qRiByh%rJe`@g_|<Z?)<*GoEZrTXejQ6O<mLUKu8OfYU?T`7c@ZllKNl
z*SFsKqkV-fVWAl#_g2A(6=x4P<#LGKGRRyOU2rO4zo-#g<r&Er8xtDq&rJF$_g3e^
z;<+qUTZ#{WvY_vm83vFnXx*yzMqt*a*o<Rl3oWBt#c~9rHbrI}Ra<B(-D;Nef!j~=
zy<zg4<8wC3aUZMDk$k%`Y0B|g^%Lc!-AXq8otC-xcuOfb3r1;~Bz9hyaul*bKx4~;
zw>;}r^IO99h(&$&$~b&lGVh>fHmHgE*f4$T@upBY@35*h5f3+}?L6L=YW)A3%$mP9
zstgxrbDrP*Ix~LNZ#m(2l|DOP8$RwkKIgU^cV4B=&X*e>wH=@JT28vHe)rVnHpSZK
zD_-e5@7&yU{G6AZ<?4zTYo0Z3ZnL+#R_DU|+UEH;;jE13sc&l?{=QqY<NMDqrx%|M
zlFOa;DP!{#_t{HrZs|O|n5Nlp=3TiZ^3+<J)Q;%NV+rY&&2vO~O46PSo_nKnXy#mJ
zi_OLzeOu+-<*m=}{A=>-LS)SQ!z=RZpWm;({9EVO-AdkSdDs0mX@9=V_<R`L3cPO<
zR`WID^U>tP>D!B}%L}x(8?&cdHe3H+x9r>J^a-0MHJ;OAvsnG|{hEdG$8Yt|^Pl_t
zPTi-PH#+OSA2i<m`P3J?^0dk=6VEO7Es@Jz@Hyl29CzvGHn&WkUu?7NH#@y^>hhWb
z?fUZ-?{%KfHU1q_k~Y8V+#BPIGi#NsHcPJi{O6W^nomiZa7=pPUi(y@?=uX}B%ccC
zmvpvy7V~BgYgPTx9S=4>*>k+BST6fqRgT5wn^JG(4UX-edi%<=Gi_$+W}7WHPdq!*
zH|JbVLUG#XsN=WnW9GcGdH(Ia*mA4x*MDz5T5!CDxn3^#TlJY6&kfVpA8)di^De7i
zbK~j8XG@Q_iRVq#RG*tVr(jy~oydcR>8kE?LuCrXif=?7UO2P<*Z2B=^P_%muV>Br
zUHap);3?sGJwGnj|M-00^8I01S)shw6N<dsduCfbxpQIRgI#>8*GpFHun%gRRc&Q*
zM|R~lk5kDzmm0}=)}OD`*!!UI%Ov|Hx4D+wW?FLlv-oVK%=lN<Nk`xA59z<H{QuYc
z<8NE+Vz^HIkKbNv8eX_0ks&TLck13vd%wQBwobq7yZegW>TyCX-l;l?6W$h8YR0}j
zdhb0yL%NKB<%~Hw2PAyXSvGg7xyyFWDdxL<*LcOl7k~Bkf1kK3ecwyT`ni{xx!74Q
z{)iQ5{@dhoL*1iiZ<ERmWsk1AO({22J^Jof6u)`(G$CA{eRq}RjjN{*u8ZT->?=4?
zFvGdendi>zDef{Na+~J;FxN6?3EZLAam>__XC0T&!!89wJ?=>lCMjs@aZP&Iq+ogO
z^Ro<_2MGo9om;A9lI}d6@PMyfWP813%8sLo$9>uU?YAumusB`y=>CN>qAm}`9ZjBb
zBz@SdAehE2lsrv=GmUG~BXvikKN=o=l6x$r(k&!P3OY7)IQO*52<beSkYv}+v%lzs
zVSi)wW#hTchfJ;s|38*KTY)Q@_2Lbsj)Q5AN;yI*$J`u+as*V4Ryi825mq@Kbx)?g
zccl!^vVsnSna(|)GD1F2CM2o1^H^IvxpD45?!mPW7i^gI^+^7)v+{>rABH=cJmX9<
z2%o^QpF=3QPl2;eOyy{fqtO{5mE&g=w^&N8uDH$<R@AYf$+_pLjF8Ub2}zpmJl+;h
zBF-Gh{rvZc--Wkd|DLFNWd28uW066eKyP7_M1791%AqjFq#Qw&gK3UIIYKIj-5iT@
z9-O^6<KV#rt9Fjd7Dr~BQamWar>Rza!l2W+uaoCa*rO^wuGbO%f0jK8auk`yv1nt2
zK(lL;ON53;4{MXk51$Dl^SLG^E>N&!`|vDd&C`SpZO$!MWs=rBnvkH{E~0Ib5^+xP
zxDi|4?oaiV+N`bVYnQ0kJ^r*#fvcS5;tt)8gSw7NcSKZ<@j42{h^rhmbu@|*RXNVT
zCtJ$D@;cAFf{qU}oO|45glwKnc*NMw^WE~vj^hV%JNr`AUwm^tzQOuXe&05(MFtT9
zz3*8KfA~${xX&f@SlUsDM@Z%9^`?{`UK3>EKRwInd6r;M-{ssgQ6{P9!Gr{hc9Fvt
zDH5j?j|;Hn-TunW$2EWRydS(b^xKLy?pN+Omfj>$qvg@k*mOif!K3N<p}^%8Y94LN
zo1Ro0nw>2EIe@E7w|Im1%;wmWGH;xoC0sXT-`!(*Bh+TgYq<rt-&ESPJmu=YQMudO
ze&*Q+>;9<lNeNp@_|!iTNVI8}cx<8aqtUr*ql{6{!wJbIZ>Jn{zNOjBZqi>c|CwRh
zg^$8M%PYGwP0kxkeP+3Ag7D?M@NyCM)`?HziUQh$pX@7GR1hT4E8HX@Bd&7D&@o9y
zROO(cV~~uP%3(#vA{mv}vAbjM3hv2fJ8bD9aZK@803T;tfyc#DiihhH_$>W4e=acp
z#mL9CfAhQ_(Y5UgT(?;-?ojVI80)BXM^NQhuA|T$A(f-Pjz)I`RF0S4lj;2{!}G1M
zW5aak9$OiqH_s*{iMR9YFFdhva^vl*dyhFj)Oy`{|EjHWhf}+P)Y~SB5SGphY92l8
z%{x_g=zDZkH>K>*_UN;2&MY>mU-x01WXv;xheGWV;+85q4k#Y2<dZyCc%pC`$gHz|
z7v8?Qmt^~K_bzePz#R%5$0{9p&T$GoT%%xkj$_gS=LsTjn^H1V@4uV#M8apEXy*3S
z)sxH5K45F-xNdo5$1%l&p?sR_3QiQvbnf$R-d!hGu>IfnwL0NH`sQxm{bhb(K>d#?
z&Bq-0*qAL`D$bod6#F$@M#$#rgh!n1JpGnWDqO6We$;(C<)FDqzd^?{!+pyto7QK{
zd*nSU{YTR?OFQ+QyU)r``dn20lkXBo{p9t}7i{QjJ{HBtmTln@aaQq|79VF=k;ld+
z=eDOZMLLURFW&GgZq7C7-!S!=;kFAl2h(QNFTdgPnMXQvUNZB^yWO*vnuJF<Nj;3e
zEc1LpK~M9sKt48i3m1zsipLcBIQfb^3LBi;?pr>&;cvZY<BVr)-5GKVojyzCn)nyY
zdZy`j(dMw{tmhVvMc;$c<j;zJo8<N*-01fS<?H(=-aPG?%+KC@S4Qd0BZ0@}e4J%P
z9vhpT+v?xS6y+%U+kaO|<9|EFmV4Ii$qRPcvd_95ykKYS>Ms><f|l-#U8z#8pRnyx
zOd@w?Oa0%X*5*U0d{WabBx0TjJY;H@cy6g;aa{3eB%kEDf)f`Vtru;a`HXFLhFqfZ
z+2ouBl}%qw{5SSJle~7x=D5_P-P3j7nuM>I;PxY2*WE&+Ugp_?!j9&Hj(mc3PXv<L
z+F34JE}3ym@o)&A<+2NX8Cx#id0=u@dCsEBp2;Tu1x?R1`!3rYHk|d`!mH?eQmWBe
zQMHL~Kdx&nw$SK#vcRyZ`CtK`U|V5_;S}d4MVX*A&n6^_w##T|x|^t7x|6_kR=H<+
zWly1r{|Dx?!97bW+v*KX&Ku5p@=o;>*DP%v_1+Kb1L~eGFz9bS7Q@H3zo5gQ-MMGJ
zrOJ%6ibr+$B-4scd=!4$am;9zw9eAX7FCn}jWeEcie0oh>NG1o!r`;b=jglk_uk*W
zaAoh)y~~cZJzKU<xA#THYoTwB)zN3|v;L<YX1jdp!sdPD+xuTfNmYG)Q~$S6c3+;j
zN8$t*srx00Uy}~xt8R*ap?c}ZzP-=hMp<ONyt#Pc(w$3p?t5Qdy5pi-QtRwo)BkJ!
zRtuVcdG+-2w6<f<ru}leleM~X^*7Ue-qIa^gO(Pk^ITtW|K-Kscc(pDW>>o7c3AVR
zTT1W#Ij*<j$*5Nikht;gT0nY2`rGSktLxwYvbvMKctOGb%}?j<eSLH7&e?m*W(2)-
z;youNwQ=nuv&Oiki`GW7Zj|58vQgf7m3q+J;|6=R_gb|1UVU5|cdNHaBS*j`xprwx
zf7qW-TWb&O>WaR&zU|xcjoXCQ`Oh@}`l`<~_Hml@`gL-*=E;SH@~`b$7#`NY@XrP9
zUB~iXJguKPYyXvffk*yINxi*X5E;5?!<VZr*AqQM7j4)P^!fTN^V3JZ-Q_dcY_+N0
zYPFK}m5x^)i4{9i-e0@^edYV;brrvJ>IKAZuU_)O;0}Xtsm1@Gr3HF_qJpnV$%?5}
zJNiET6(O;%R9*CSa-#y*XV!}=Li|OpWn_m}74qa>eY|t^%liI@TNJpKvs|?JdCBGJ
zuTAIM=JCpZJJh+sE^b1!*<!7C_S^SM?eD)BTYp1$nc>t~^;h@B%HD3T?9bc#amCux
z9%tVt=X@1hc}{s&u&w9D3CBa_Cl<MztbWbETX|Mgt&5#r|BJ$}f|}(nlMmm@(|XtO
zZI#Qy$5)T&JvI*QJaluzhWgp3tdoLDml$l++T`rJqEF;l`{vh|jqmXK9}2cR!sQ>|
zWzS*%x92F=`NMNB<@{F&aZ3F!6_RytP3_wFz3<}w_thHJUy8iZUa0Zrv$+Uc_UT3Y
zHm1w^{oTEJgDz+5v6%r9GP+$y&KGZ!I3W7%8gJ{drvVZ%n%ws1+y2dMJ@hM}KI05`
z>%o}#FJX1_R@X7a-`GE6;e{6*t&Y`^KPs0^W&TmA*6x%Xq`{;1Yf-*o$x;3X+`nzL
zM82KAtX{wFZ*bt2FPqP5o#nUNBy))0PD$jOb6WkCeSspny?N`ubX>1H8fx{D{bTE)
z&VURV{?>yVH+@LI`tbI9?$&PsH<pKK@U+$c?5w?b=|$Y0`Fd)4dbcko9eK1#mb3NP
z!2k&v4Q~67w~83-Kh6rsIK$U^a92`NxQOumFTVl{kG22l*tg;SVdsT~wjylTPaX3A
z6KdHo|Hn#u&-e@(&enqp_8axPI{8KShtK)AH0j8r9{o0_$4(kN=cc#LuW+<%m|u~o
zVItOgs6L_Gu+ZYM_uu=gYX2UVvyHOLdJ)~<{7%7M>-tAM^SVHdH+-$f<hJkYI<!vY
zjqX|b6C&oZff_!%t;cQzNaPf=2Z`wRzAOEmxc=!Sxu^Y$V{R>WPBeISKkwDKrMBBl
z?7yBV;;{evAs~Z?ul1mSed)$?zkk+SJlwkHmGhsDr=jxoQe3@>3pd=~d-{<79}SUj
z%+4AnaxI4vy668`x_aN@85W;}-+zhy(t4;bAR~vrHFk6AL-l*{d=J#)q_}!j7aByw
z_`Kn|ZBl)V{rCR3-^c6z|Gi)Tq5VH|fHyOX2m=Vr%*l)N3b74t&}3i$VNnK7hLqIe
z%=El?!}#*)`SnZ!^^f0~rfY1~|GVHDKO@6ZZ^lz?s=>hm8KFn57~*XXi1U9q%3Sl2
zA%1RL%tO}jqp`QQ<x043@Kthh)4$$xQMsigQaB~_!!wt9(<wJpy99GsKJaf!n)b0Y
z(#2&*U&4*B0I`D#sn=Ttg=AUpu<)_2<<~iW{`t4|C6|oUH~+GIrd=QKQ-ABde+4tI
z&wKjUE&Hk6_BZF(-8!{r^|t3*{}t2=epz)tKJ?y0gVWEauV4H7Rr!y<J8Ng&j=CEA
zd|K~Co02s(TV1YeWKZ<Bx~QSI=cjw={m}f{r|VAtzP;~EwyfII3szBU-mhAJ=J#)<
z>Y01b-2DDc=e_p(4Kn}lOw#{Y`au0>{RQpG;(5EXCMV9_{#POL?VhPO!)wEHf1kb?
z_Pq7_{QF^V_4oX{bM1oq*9)#26iR0;dD8mS&S?J5Kc9E6`=S5(_OEF(*RSPQm!Ee1
z+gF|V_^a)r_AK@vqC<W&#|Hgo-haI;%2K24`1Os`=CQb(cGBkl@@dI+orM8j?uT9m
zoN#`*s{VsV%(H*nR^53nE_XlldKurUxq2#+wk@3B)wN^2o-ge1i^~v?;}#ZERZ-HK
zq-yU`Q=+x*iO}(DJZGl%tqGG#IU>ZR^rW@s#i|b;b|q|+Cr*4>7%nL}(?9L|ABB$x
zSMHd!rlvD3>akStrY)IAy^Kl^CTQ@A<+XZ0+@r^+sDGt?<&J*sjTPeBcV&WhSytQ+
zeK_&Tj6>QlWtV*Ls#4`zzofL`kanh&e}$^;;^ITj7ma3p@QP~XT))KKeb1!6jV<Aq
zH0KJ%c^>vSbb4XngbyxJDMwB(^zZxN^2=3Fzx|qv&BQXnCr>ZPO;Eq2TW2%T?Bhq5
zS=xp7*A^_Ey}VRl&CU8%Q_tP=bCxX4cilY0cB0<I_6kE2&o`YV87$S7arsNEXRBY*
zzMXS*huh?dua~|E=`oF-+EZmCGH=_q#fNWBT_!b=%fW-8h@nCF$+5JyQ%MefGkz9T
zYTa^STCw4odv{t}=%mM9UjkVKZQC9w3NQ9r=(kMZj{KSK<%=RGEC|V!s9$~blbhbW
zt~AER$thENqHH8)v5G$R*yQErRAEr|LgOh16T5)QH0l4%{AN`T79Qi%wz@Fm;mQ|E
z%QgfX=te(&*_%?KHS0KIjA6+U!C2Lsmz1Xl^5nO@OKiCQqv~Z~m$~zQiG^2ePj&HX
zE=zb>G*{2fz(#VGc4>XnqfV2^SNH0LE0t`|`Pqo<Rf@0Bh?2SQr!ASu?>b#mJgzHk
zp^d)l`8iP)nzN3jJX-o9?8G7Oi}nYE^j)v#2<f}-_PSfG?X*v3+HSSQ6Q(cnS6zJ0
z`Qoym@2c8%Yi2dwDNUXt_<Ze)sUjuJJ05jue-oWA8d4MXMtjANH4%{yoYOZ*UB8jE
zq~2Dd`n&X;vIAf4a#=O6jWAtzQ94uKb=mJ-mw1=G{IX*;@7>A<<0kGybC*OMONp3z
z`i}ct`MGn`yqBFW;5kyi`^1OsrT0B1=Fc^<;d|vOcdhbv$<*gx*?->k>fb%7$L4*j
z&-cQmv8)1h1qCw4A2PHu9O~!IyYhIQ%C5yuljROL*ROFsb}pbTH~v{|SiGJ=dbIR(
zOOB4&3&bXUn|}IJ?%B?*9-HS_HcohG_H}BqLgX_mEAyzT$=SBOiI)HD_UrQaXkUIV
z5%+w4<%Bc0m+8#U?|<CYxwPj@q~t%{!`uFUV*30^Y5&BjtW}ArqRW<A{|!=l>woL<
zy39L=-h8>6nSSn2{RWd6bI;XG&Hupj`O{qSTWy)ExS2QKGVm=u*kP>xI{hbGzd`YH
zn+-LGzRdhCyMyg;ZUOJI4xaMpxm!;hj!4tijnxgaI+h}TB~|Wl(VQ~7>aYuOV!K2q
zi3pii=z8o4c)=>VqLHiSroP$-=Do58tAA}VQ`7nVWyOcXf0zBO-p^V8$6>0J%<-)=
z4i?Vo<S<>Ak<v6{odTmu6n9{s%4&9bhh2eZOebaRb#~Wu7qDnpA(;P#YbD#Uq=RQ}
z@T4{_eEM4DY!KVwW4&`99X@S${q>a272ZoaKOW{^7WwN#?>#rmV+m_6e*a*V?KUHO
zjbZdU$!jZ^`Q$?KuB?!Bm592;kW}CPsdS&;rY)IMlO<<Q+?y7$_*Ac`Aivd#Q{g@G
z88yZ&Z{`Sz21c&g(>T}g<`1j%h{rsWdeqMfnMOZ-U2-sK1*deNgpS!^smz`OQr9K4
zQ;q$Z7dAN`^YC*^(`Ms-ZIig-O^O>AH#ggv3FaLhw;JopV)rfEa&2$mx(TUgIf`f2
z_XI@txEy}qrpT~hNlAS8E1$Ry>DCy(C#@x(>PwEt*1t27Sw8pulLIf7J=mozGg0qZ
zmJILn=EsNfmNs83m46Xp`_3z<S-SIl;UbAUCtS`KaIY;Y-gVSUZ+?B=|J=tBvGH-g
z8-MrvE18~Fd1Y8L{dd9l<Q@Cp7E7J}eLB~yg0+69-|P4%(lTG}T-*2Rm$}6A_-Efd
zwSDuZo!+zmPkLQ(sC-@W{PTO-{+&K^XziKD?;{h-|JHTizc$&$w$5PFr>jnZAHBo7
zk2cx9Y*X9Y;Xf_S+vW6)>8ssSH(x(~swn5;nJq~c>Nz!$-E5_ql@IUTiuzuD@7vU!
z{ChrcuD<<nlR*8Qr3e2u-x2?}uSo7z?!RfW|F_lbYrM5&aeCg<=Xy5h@086>|C*ZD
z{JTc_zbkupMAYqjr<6}`XW4mYdFyqB>!JBMuP<+M%9t**O?+DP{OS97if8`*VCk{%
zBg?~8`ZlL+{!NPtxBOjDANKU!=6Aet`&V!6OTHJFS@gRoTHwvGIdkh9XH?#f-X0q|
zcY5f%b=#+>?tB})_S*T)ulnvA-L9D5>|ylh4SVUj#pjG<j&Hx&`g+T|^Q+Dzi^-PW
ztBl^cm-peP)6Z|8-q6=)b-y4w^tt8Ro72B<e;N~3|L%2f>(d`6r~i0#qiF8(A9{s3
z3)kNGp(6W*&;G}UL%Fa1ytO&}adOs+dbyk{zg{0W|7qvf#H;m|%WYR*OPTR`=IIUR
z*ms(IZB5_WF28fzy=T`m|39_+Q_4~r**kyg?Wp>o75+AN5@s$d`)*eJ^XmMo=|BIN
zR>)k5-TpT*vEq63vwN=&6#dc73cq<>(>9aC_Xyv1#hSW@S3_gB##Y+cb1n_l&j0PW
z{#LkN{q~%0KI8RY*MI%?JbuSp?fQpvx6h4^{5x&ku9&(Yuj3ac<j2<D-2V1r<NWt4
z%%;{#{;O<@jd)(l^7UG7W|p-x`#%NAXIuX{uGczz|Iqd6@3*|;tFM2advo^geQziG
zNU1Jfdb*%q^54EyM(h7hnYY_yYw7&UG2v^^S{<0g^?TL%#r0DTp1bkp&x{wddUZ|I
z=Jh9c$v1C|Q`7d{a@6VUr_ggV)=Het>GhfMQ`aKn^wp@&6`GfNHh%i5bK~#@b?+@-
zvv$h0&g?%IW|($H%(A#Q?R9iPl0ivZ*NQjE8}b!TPkUXnb)T!6c5?cJPthrAYg)H?
z2%Al+cp;~`X;bU0BptPT^(P9#Qr9d|^!*r}vLah;)4iuc^VxFm+IYJ0Gd7zXO`o_m
zPHj`o%c#zE(=wFnJiFHGCqFtJlcXKC=+SHMNYCbdeX&(D<*&Lv)bovvHt)O2zsUA<
zb$+f<|Ni}Zm_Kg6o1+`R{Zq(w%l?Co{NK}m=FW@WRvJ1#{D1EJr#babr9Slvvt~UE
z+kNWX%Tudfu3F^vqL1_3p~r`HtA*#gI9=3y<Hfvv<+1cXl7jO;9`DFJb8nFbgXjfc
z-^MDb&GQ8$?d%yW83J0h1>LV6oGP(o`7g1fv*+E?zNlvRc=pZA#|zdNrA@BO$XfJ7
zWJSA~=ZiefnLU#ZNA|qUiSKlHWbAhSUv)j3ak+WtqL*_I=!f%iG;NlDkbSx9{ExP@
z{R-!NYK~c~`OfRQ@rlD<9?Jm!<Rz!hEjx8?b>ZC9>fNt<np@sYiC9^vdnYT#y756=
zXHv~EGdrKm{@;l+PMr|{wQTmgj(2l3l>V5-2P;_S=H|Rr>2ln~A$Y%PqKR$WgNJiB
zn64|Vsh_%%$K%8%J2STuAvGhdv&&bT%;&dBv{h-Fd)RlGkwV&;+nbEf2}*HJEq}DG
z_>B89Y2Wi9{kzYZJIwO%va3z`wQyzTtetxf&g^G%npyTM*XU`~%_&dyWZL+f%wvDg
zn9~$uJ}>&y$xE!ptqHHDROO0rbQ#`m<tq1G^Ynd*(_8s^t6gl#r+&`#Uv_2L%ZuBl
zU*K^RzreEVz|3iLKO4_Ku-EZgUtTMNqhkEI^E^!nFJE0xVPC&?@85~GonM@~OQsaf
zIaDH(yuM`dO3ruNKhHe<Qa8>&f0p8+egn>=W3x6EXfGDlSA8-s+A>${<clNK8=3o_
zhwYehIc?$#ag&G#6YNduZ38!DPIlNc_uArZr{uO?7VtgKU$S(A*vm=|!%y#SXFmAH
zesJSeTQ!wQDv`&wUk>tlz;^KJk20w)xkXRd?)=XEsxs-C`u}GBl?9KN<SfVwu$`0V
zXEP(m$MjI-4(1M~@Nb!~6`V_%%)OG9obdRqvCwhaI`xTB8REt%E8fVJzqPL47W(<(
z$*#t8doF!E`peAw@{&3C+4r?96Tfo(@w&qMo?E#dr!1^cck21md9G%n`_%ug`_}8e
zb`tuk@yYMjkL(!b)jbQ1*N11XzO|d@{f3_^LMwdL?{Nywx<3Da_?1ldo%au&diCp1
zZv0dZ!GFILr0(qh{L}L7@0#kVb<=kGpT7RHJ~Z{qaq-ulOJ+T*T-ZD3pY|s+$!ibo
zUiF`@zkhoB{ipZM<~65HPmjF%v@(3>o=HhkrGjk>dA>>I#@yoz*4fhB)44=*kx_*A
z<{4{^b<>Z`3bcveFkwx>V!86#iPJPcraYePVNlW|rlymY7kt0`YWecHsTHwTuYWXp
zZ}n>Xm9VMx|8Gyrd38E9^1IOcC%KQ)e{&x<o}OCqcjo@Jzwg~Ux+GBLo@cxzzs~Xd
z(KFa{m7mQ0)6L)i_y6uyXX5vLesx|~bBoiYOO@(I_6&vAyY4?cyvjOj^_o+A*6zHq
z;$W{yj|yAzRI^9D-w(5LRxj09?W(Mus&tmKx%ISQSK!iT*BfK&>-0+31$=MRTgoob
zAiy&5nVZr3y-z*;O}N)GcYg|aQgNdFT!Ky4lqoyf%V%s8xomwz@Eu<)OUr=+XY!>_
zm#Dj67EEL~UKkVJ@Xmw%NTE#m>#Vtgu@l}svFLk!aq15HL%l&Fxr=uC)_Ru+=<*gl
z-nQHPHg~S|MVpoa+3xq98|%}wCH$jr3%;z0S-s)@uFT)9JfbE_KE6yAoQ|vtQx`Ki
z@bGy}c<;9QM$P8lcj87V2|6rER{jQ4W>&3?F*IEv@boyhq;3dX^-dQlnJ+a{jrFeg
zgeoOXeX>KSR>aYzU$J6>p`_6<Ce>%HJXh-!l|`F_<_4*SR51Bk|8bb{x2b-X@ePUH
z7q#^Kk0qtPX=C*|9eM2BU6G(K3_;B@E{sQo{g%v_mGQ8N%}3^=he@~WvzH#cyHv|&
zcvYy(I?A`1y<a%eBdNW!MNlcgtFORCa2oTG29FDTlRIQ=Qy5;fzupzZujKxq$-iqQ
z`|UPynY*WW@<cX$T*x{vLnhZN#cXxG<h6{TX4ZKxR8?jQ28y3io13}O=SIh&Lu(5(
z=awsrL^ZzNc1HisH;HNa)@%7*E(ul?Z3${<DrjFL++v+FQ^D@>WzhtVHp}%Ht~JwC
zZ!FB5uF`SdLgt|OqJ0L>MICF7Ez?R0Fnl1<^rOdJU`2?Q!E;`Ff%P^MoR%KpJCc6p
zQ9T2fj=8{mLvLnv0oG@GGG{ja=<$~@n0eqT>m%d1NClpQbHdj+)ErY~br4Wd7nonD
z$v9=_!8y}=9BPi~aMV0t-y|n6f8!}eL+j_F(hQS$0`@vwm55@<5)EQ_<@;7t^rPf*
zCr*dA!dd4P6x!x))Glebtd}2Q{d}#VL(RO8{Y>>U9D;miW^f41FZ9h|u)bsYzF+f^
z@ieA8mg}{<EJ}~96A+2MWBt6;R&aj$9%sKd6GZmU{d~<taDKXvaFWuq;G;E`@6SxE
zJ+@pm#qjF%&x@SAj>uOWTW`5!-yHL_<uOGLa|6<30#;vX6A6qz$8EK6x5fj3`RRYE
z4rk^E{VBS4rG9J6yIBRznt9EdAv5E0I09E*h;e>(x-vMJcjK#`_)L$+_;a&&T@aZ6
zvAnH(|L=CmN5)}OA8uK8fB7!cl#cTerfubm@7Z=K+C7#}v{<`9H#XPGB%xyCz6Y&(
zEt>qRf6dsjA^ee8MZ{J0w>4s}x?g@CJKS}?{K~sSW|0RDiP{L(XWfoCC_FbWM`|6@
zjVhs&F4ZA3nnZj8IUJ4dM$d6%45?N5)za@WcSCU5w#-A@)@oWCGpby^qP|Ykda<{`
zT21Tw8jVv_89CiKoQ-=V8ClLN<!p9ccKeQ@(w3AB;lFC1$Q_#JJfU}uY3}1n4lNc1
zM}|ZEHi2B{KB`^*AndDIQt!?n-;z>wYthUm<NYW9o}YQz)cSw^f(v&=Y!#wSY;H92
zJ()j6^Z${e2c2a+d==8~g*}!T&cAW2_zj!F%tdw=_x#=R`DDwxc^eof>`C3sw&u^o
zHGBM}DwKApN+-^itXSl`?1B0+AIS=(2|l6oPaI1s@X9s1`}}3gLAA^8g_HF^)Vmn_
ztYA7RawloVEo1RBYkApNPJa2)`CujMyo|P718LStFSq-PoiUi78RjA#*|_p}>}A=A
zzQT|Poqm#>m!14Sc`gy|ox~(E=fF4N<?B}&8#k&~-JSD))f(+J8jc#b75O+12;Wh@
zp<i&nV?lYr<B*Hz^Msq~UM<t@Qs4-F_>ZAJqe+`T=dxYyM&@}PtdR<pM;ZOE+}+1?
z^8UV?4eC*iPYPHk<(d>QrB_aCoP0Zpr()5!mgsA3lS=nDsBgNd<KX@K_Oh+#3bh_|
z{?Ps$rLSqg$oWQOMgDA#BY7uo@cK1A*>d`oZd!Me@UCVirg<E_otxP$7BH^Q+-JgL
zaCFWg`Fd`SP=$^lhZRf*CAALpi`<{d(jc#yC&jX7+0RQd5AH0PajId$Lavls_B;<d
zGwM{1DzGXXVDO3OGHq3NS{k-UMBSvzAhpYpu_<9il<mV#hpEErcLpxH(V`wz8B*<P
z_^|VsvUi1&s?EjhWkH@t(mR3_Kh7)YX<0U7wsM9|T0}j|l8-f~+D>HWg$nelyId}A
zHkl_eJMw-*n7!ThzpW`*R>6yIB!BDtl_t95<YbLgTK$~q9jBNlW$ri{vf`HcU*Y!E
zB5KmXC2ZD>@;jOwFYo-m`cqEO)5y+k^7_SLPq(Hg-u|f)#IyF%w+}b`rtq4&oL{TC
zd-JWzpqnM3f#%i<^|R(J^Ysol?RvR_<>lR{#+EAuoYT@$(#$v*nk5(*n2TcOv1fVb
zi!?Clm-P7fB!u`B)Obj=Iy)M9NU*gqCMZY<a5OVDUDEMkd1xbbsByPr-FyEVit4RX
zPD~M*<eXL5v)upG)tNum%wre1Zx=0P%)drH`42~XKd;gEBW86E>fiqFUwVm`GupB;
zRej@8gKuTMQ@za&zW0+A<LU`pFj*`2)#2quu^eBPN?ZS2thf1+fkIL9WMyW}J`b~o
zRK0^gHoQ_lT3f`NyF_#zk6)U>UfsK0(Qze(y;Jp${Ohw_z?|{#t*K{3Z1D8<FZK*;
z_g&mk`v07z`{InP*RPd-^c1iEwxjI-{vU7BR04O`@0%-lAzA4sS6J+mx7`w+A&=%R
z=$iWOXXUBI%WOnneChg9ZYL|+vANtnK2oc7hd5iuye0Q?oc$Nxy;r>MP@sCGjzS5?
z_BEzG_Gg;wc*1?&>wffc*?3?bqhY%*W7NvsENP;#T+vp0&VAp!wn^;LBo4);3+vZ-
zE@7xX;8tq;r^A&av+U`C@P^4RPFb=Yk}J-CSHk1+Qr{%-(c-srKI{lQSGWEV?@rDI
zC38Gjvk$QCv-+v^mFEseMF87Tho@hy!?*)4J+9Vq7EQV>;USrtnNt>Qbni6ly{|Lo
zD2m^YztFk*Nl#lU+fL)s%0kW*!4v92aus3qtN2Zr9$Ja9D6jt3EX!Cd@yJ$gecDR7
zIGG77>POqujE_0Gyp*+&jf;`ku%lq^>8f*oco>ZzSPMLT8*^T}uzHU4ghT%Ey8God
zeC;ywk1K9_eD>0!AT>o(aj`a)jZd;m1(*BG<>5QdeDv`z`||AhtAwn*eJ_|y?XWA0
zl%C1>y#DF0nC@&}+rtlk7HBWn8=3IVsFZ2qk(TAD8+r}0F5KXn&AZ%jnLg*@K=V!O
zmkIQkf0+5#N`7Wy(A$fzCqHQ8ef?dzGuHXELd2}n%P)9@GnFfkce9_ja@}Cl62kJD
zukXpt(nHJoJ}CZjZxE|tox`?}(KR?kFY=c~%*<&i7arKx`&BW`(s=7+@mbP>xwLb8
zJOf(<`$Hv5`<JXL;q0{=x4%iB5z@8g$4Yj`S?ewwzi={d_Jdb(vmG<<TNg^I%x^62
zTBs$u<*r%|OX~hP#hYX7Z(m`U#8viT<IZ0iwhJ|lUe)uf=Z4g6GjV-(lZi`wTcrZ0
zOIk!6=Q1PX!_D${tm^mpBy8Ehb!gX@o8~uFIv?EI-nc2^QOm}j6RDqS8qz9?WF5R*
z-%l%FxbH<clbp)5?%lKZ-Zc-I9^TB{{rJE;hfLEK5zF$tc&fwFAM7}PE{<!$qTeT{
zOnR)JA#+Nwfa%&9b$KiQJ7)O@L*-+(KYw+?aBh3k7xg18H!7F?`OKT}uzo^)+mvQE
zE}5b^!MDBE#Qe;z7CX3DB1cqdx`XYPyZ;Lm&AcBz<I~&8k;?z!$JO;-HcYH06Yo4c
zY5iQv)aAs-<G<rCb!$j}aXTyIr}8rM`ZlYCJxbeImMmO&f3@4ajrW=&8C@Toe3G@D
z<I6Q6!{?5RKYX2|$rATOW9Ma~1JBQ=*Y64M`o<Hjb3t|8H1o@cHHx;^3QNWo6~$jz
zGO>86+Uhli{mmL1%jI~LWlud5Q*hfOb&!3IYhe%H?QWqZu5Rz2uYL2zV)CkWlSCEl
z1s0dwv3KLj%i(kS^EP}}--f;{>COX&3bxFlXHHz?nUTk`nw_Kfa!lF5P`4+`_gt42
zZ0@U{khjp*CH8lnqvJEF+y8V6N>V?jve@)3=`?u4u=CG8RTha?@tdAjzBIbpbdE<f
zcXvtKf>S=GJenu=op_bU^YFduHv=}24Hsvrv90XQG)n6I=XRnj?&yY2iD17gb6<rh
zwEdS@n^th>IQx^wLVT(&R-B%h=3?`D&rT4qzQgBlQeV&AYVDsmRdwy$Yqwcu$4ew$
z&r{|s4N_Kg-a6sK<WpNbIGxTMIIgx&{Iw{z*vDygQ&;eW8#py~zYdM-{H%75)k3U!
z%aJvS0n1J#@x0^XdADS(Vv^7vhNBe>duJYFIzLl=pYyR_x#2y3G~3K1vds2y9EozR
zS5*F$G;xz+RpH0_10~P4U6l~BR48A+BCN1slkeAnlFY6{akG7eC!KOzZlJrabmfHi
zn-y|qZ<$-T)uHS|(*FMkFT{vM^+de8u;C=9$DFf@Inkc)bM9Vq@-ivd?7!Pl@_6au
z2@5|gTp^oq?zna6L$9BPy0(o|Y)=^UJU^{)b5D6*R>^U@$4qB_GwrJX+`Dv7&JqQi
zSD(^(c9woEnddEWm21Z|Prt^E8Or-OjEd!7lwLgQAu)0JNzS4xqI;HZujoFOWKr?(
zPyO7v|5DdXTKAx3zgNAH#z~R;Co&Ilt#Xb0CMfkqn$tAZ=jq}9r?kQ+Oa8Q3`hn??
zj@qjOikDASr52rfUy$tK%{uL1$p3oRg&&GF_MX0Vsz~~pRHp0H{z+~t80V`jkUY<j
zzNG1<Bj>fxtL&>4J_StK=67?&&D<hw#pxpKkAit-ok$SxWMMiuOHN8~@%yqC4&I3m
z{e6B_JS&}@e1UuSzVj!SxBjlWQYcX3U&x~O)$84(<~xrs@R}8iHGRxxvYg?RQWJgl
zN&V8v7cxrP_O|Wgo^3PtC6BhzzF)#?t)oo4&RhSxs(#F=@N+hw$D{r$Sr2Akx-pUW
zgT_~bE!XqkeKcKGA{MoAj(XRt&MPIn4gc;PyLnAQaI4v!q#uXZ&E2rP)NuPOMaFf@
zO_qyjrQJ_?IIqB?WLJO8M;URRl@nE-Eq3K(ad9ost`BKBd6;L_6KU(vlOl1Si<u@0
zhPsu=eN7YQy{dcLmFJW&^U{T18-FoqFKd1+y^wQBt&XWn!(3mk#;QN7=EU$<%lqZd
zWmB2>AXuZBLsMX>NaZt!P=^~kuX#@7zQF%sinT|H@)7n~8xCqPPIu!!oxYAgc}9xz
zBvt8uv2tfT66ERwSp<Bw7K+PXh^Vfquz8{y)zbMxL{*HfaNag0NAY)G8e{m62B&w<
zdm*6V`|5M`ozI-NmN5owX*AP4<ek%-b2h{C<(o^slI*KDpHGaLW-EWfYk{xbGHK-v
z=9MlJ=koqxooxE0v|~2Qq+>R!XXh#eUgj5P&~uw2X1m=&?x0yq{q=2mHMtS<?w()f
zR};#_Ag<;k@n&+#4z0qQ8NZ|s9qRC$BRy@MQi++(`a_%xUhM7{v-oyf#OA(;m}pde
z?3BYyRS$MsHL^$Po1Ob#YP)~hhow87med@vVDUBJpSm_6M&9vJ?G)Y3k=~8#f4A@P
zh~HxNhm%?Q!K9Y+_G)c0j-~Z`TJ#l-e$JQ|nLf4eQR@x)8OiQX>^IK&Aarfv`m0Q>
zm44Tx-`_9UdiCp^{|hBlyr$j}61I>Mkdjfo8KagFxYtHCP<-KmoBG|ET5Yv;@6R!v
zxNYF$_UM~JzCycTrBIETHrLM^SCpzs&pU4NnJ&Vz`b1jFmGBhTxl#A6?rdKYS+Aug
zSiazROw)~rN(%!|D=1f|&Yd53=6L1h7q%<k`P<)S`P<UV)wg2Pc@deoEl2KkN`GWI
zuV2W}^J(3oKaPJ_vN28aZLDnOaMPZ_X;j5)v8G#VN@|~Jvf;T^b55V1Rurc2KTu<%
zjaN&Cg--l}H}m!_Fq>Kv9FS08C*hW9AhxQ0f}*m7m43eU$=*W?K8bOe|Elg{I{Q<n
z?Px~&dE)||H|I|u627i(Y`N7-d$+sshAO)Y`-M&wpSF=<jCg&p=gzPBT2q#pFS6TS
z|M|G_^p4$OS<BC!^>sFO*K|)<kkrcEYQJm?TXVK@m|T<jjMz^`Vm|7vQfV6|9deX+
z>1^ISwLbUQ!%roWQZ<Zc8cx1B;w8B3PH4*3nT!6sTPJY%%HG4vnB0Wpzo@VsoZ{B^
zJJpL%QZz;=&bhGp&s+Nqiw~)#a(;h!<lg3~Vw3jh?+$-??ul@0f3JdE_^FmlZZBWo
zQCfO@h2F%SVxHF0&)B1KxT5d=NVwj>|5kRH-0`(HHQf19>z`QUUHsB3kmInV<7m^$
ziX>ajlR|4g_v&Z%t(wUq7`XU@ON^X<#E~S$(wpfk#XW7GyLUfe<eSaA@ibQ`v)7C!
zFNvgs-OcH1Z~j<UWcxfTWpmI%@yS<v6e>@<9L?BqXWK#zdzJ~8OlSUW;P%+`IZ#}E
z!;karY)Q>eIu~T8%`vKPTmO)QljR`Wk=_G0cQx{{o)h}{`0Rnq#J4PKDj&+Q%-{<-
z^{C*<zeSv(Mhy{$3Z`kF1huqu95dAz+t%gm{?s2B$CWGR^I+aoH^~*5ER$wgCM$nh
z*gGrbn$iuPCP$es#+NTl`}EcAV>-j9+Q6cQhYpYH@6R@lVa;f;niIf3slNE25!bC1
z(y6|$Lu*#atqrT!W?poFS>g1Dk{WG(p&OSQud;tjQCZ#0|K#Svqs;YITQ)I-erK3D
z$Ft*5tn;I_d3z=>B=2%fYgckKoEPZ+I`lz=jt+B3ue{C0tov_ry@Mtkoflam|KEDU
z6N$2!(cW_fHKk8{_V?D#`qlq7#pF%Bctqxe{r7%^NZ<54FCkbb+1veGOLTkQ<i^iM
z+ILSdiAlM4D!P2g32A%A&@eM~^1*jU_H^rS^m@^h-R}5#;<OK4z7aMe41IFi9RdFp
zAKhN~H^kxcYeoaly|evu81g?nSdj3Co99Kg*6*JVxdQnnUn7OS2PFJBe(aQd`Hrd2
z9O^|UZCzXKRre<~w5xzob+fQb;@_g2>s~4;H$6VZzME*AWbDj2`Jz`uUgDeMpB%$4
zJ_zwx_)O{Eg$&!dnUN+7c(yK`oApEIpLs~`r@ompj_%oUz%rJHoh`q?DNbkEQ_<EV
z%`IKeS&lt+y|Zqg+>E7q)za)A7W?mfU7XVO$5$<(-Z{0Qo{43f(vOnqU!uc4w{D!x
zBb@kW>f|E|jj`VuW|>6A8M2D)K2oO;<z3z$Tw?Y*WyY)Tn>cls?9Sl)kekbJbgIX8
zy<^{=1kH22xQVs6s98e#_%RKIozFkKOBTHFs_M?}P>t2sUpS`pPb!*Yd`--H`}axK
z-Y5E>wK0@D7wE2k&-p5EJ6F-{Ww(Nu&ZZ{W8D8G2r<BtDWzVHZ(Utorzsz6JZ?|!E
z!Re(9EPi)gk54g=X|Xt``}hyL{RwgBubcADta{QNXUxW88oy-1{@rt~P2XMox$#cy
zTRjJzJ>rLhpL`ZC5WVI4LE`6w#y_oMN(GDT>?bTXxqfGFMq%gv2iNKkpV{?S^~u)S
zy;|=}EvH|3>Lh5k>J>-rj+IjBUvmOk4a0Nmw|gGGlecGI8}o?`V#{aVm)JVx|Jy@C
z8$C~jc&c6~Nx7}6o^2=|CmIuZb?4mmTK8{u8EN|)?l%ri4_o)@&Z(8Ba+W>1Q<oPU
z>c`(VQT_2e$4gnSy??EkHErM1dF}P9b6<xfc1P(3PT76y(>|@*i>p<+a@QT&CF#87
zx9c0e_xC1daYgM}*0S)~t)CYS-$pJ<-DzY|b0u)4c)pg<ckX%XjLhY1ZNAjpYglsr
zf-lGK*xqSNr&nHB>aZ`|yI%W|o~bR%dLhOtt8|-1mzI1enXPf@tc$na8I!!re@}k8
z)m6`Qc}3<y=Nam19*4VAwjQrL^f+ae>E)YyOFBjV%wEnrg?rvLCa=^RAu>C?&KyWP
z+8EJuLFCf0oxgsy=?Dd%n%bFLv+zO67ykvmZWdCCTH1XdPP9A_zi=@{B}wao{enPd
zmXr=#eVa8E&i~&m@}E0vzRFXtOWjM8?pgbN@e=uHTfbn*j5qJJilnv)reD7C{m(kT
zsm~5BP?yW~-0!~ez@$WZhp_E|e}8yvdG56`=WpL)(`%a*1;44?yBRj^?1broX%%xl
z$_)7>^3_c;>UG2oww0&0Xts81vAX=9FyUTiIPa|e8A;PtW)##;;l9^%YyH%lJWLaE
zFG|;6ILhw$^T>M9`X&4K-&lM}^o+`-NX<vWZ`t02D5raFnelhivOGPDy&D-B3xh7Z
z-+1*vceZo%wOyGjgs1dg=e+K-bK9bft%3X>|DN!2_~ZG7WyOOh7x`|TOMljx${6We
z^J>ATBV6he6SU6C88S6;FWdQ2f<f}f$te3ZK9LK{kIenbbavLBjT<IEt-q^byYBFT
z8{%*NZf7#y@_7Q2(h3)rMf24b&Cb0bv2(KhnmC)yQwt2&ZrmX5%Ar%aireX;v+09J
zZyS7DE6hYCRxz8Ncg;L5=^-pQk8e$u)A{bSa~`}56{mlE_Dy6{eCS-XN@)K|v&+V=
zOrfPIrHN_RKLwqO^J>%d-EhR%NqBMn*27f^rzQIuSMV<JYn@Txdf-*um0#a|&MDYE
zo^toCg%#s_0o$FIm&_Ar_;TbA;|K0Tog1C^n}we^s+O_edZ)u_2OIGXXWE#r%31yX
zec;{ln{i%u?OMD!ZcIG$ptpVBvQu0xr61q2R$Fu%G2||JAnPjnYVi!^DJsP!=Pqep
zad}cNwwQ<AdWOu-L{|SLoWX1>jycbhvC`h{+I>cDV<ykFpRoeBpEgM_9CvrSFiqxC
zue7c#S9r(uv;Bs)55#L{I!@h{<Mu9zzu{tm-ScZ^NiBXEmG4T<HL{%%xpL`fVo$Mc
z*|kfe>JL99HXix&dFQm$$@=e&^H!<eeB!;kvtZJKkb31g&&xl)`t?WbMVkt{@vnf&
zO-)vO)oUN_m)k04BOj(yv;6*zA4N~4n%5<Z7ys3F_AJ?&sI2IzSEO6Kmh+)e;;lJX
z4Lz=$EAi^@y)bLvO+kaHIYDOC2Ocp;{4rOy`K&bW?9a{j9A^I6c<%qju>H-YkGEPc
zxxjhPURtALr#0*S`f|79q8$CN*tmD)m&7-GoRPOBXt!ItwnVp>>J5FFbuVHkzh-h;
z{OjPPdxksLC77&|)Yr5<d!oawr=c=$RYeE?jq<bSxH-CCu!U9Kf3u5uV#hIKog>#6
zdF5Yy75W~y`s<e^t2UjqnYI0U@mIySceO4Am%kNx8u!lYlCDqB+^VJZSEjHoS@QRm
z^ydRrj%M1w!VUl4)K7G)T6N{cTISamw(k<%vg6>d7q2~!<y?)AdsXh+u=Bj1#}uo_
ztvyncZG)SX4>YGs%Xz<Xow?WNRY9NlpQ8l|-P_yx^$+ftH|cie;-(XOS6#?;Z1z6(
z-O96WVSe8%>6anbK4i%Y_f-3-?kr46K3~tk<NQB7!Gra!#kMJbjt5$oX2yJ<@mDi`
z_ldv#Q88iDzMj7uBlf)0%IUth^sT~TPeH?fPB}sc%KG0-eH~>`!EHMC?1qVI0-rVC
z{`)`u{p(qkC$Id2Edu3Wn3<C|eZgubZRW@COsAh)%@oH6S{AyhY2^wXHU<VAw#khF
z;?o0eF|pROGJw>8SA!<Fh>99AWcjY(cM`~-%gn&QAiw|uETIg%{ag#e%5LbMjJf%C
z&WU3pitE|Sr~cdjuYPTv$L{cgcemfa`_}hhS#6cmZf;>KAI<B2FBsl2JY~3bRnDa|
zQgTnyG8Lstrzh^VXP3CnDOH?lBRla+h1H_-%j=tO-uB(l_V`rYS3B<6l|eqc6Sn0X
zp1)NxyY=g8WwrYk*2xvV+Nh_slS@0o_*!gFp|rHrGEbiN$9q@Uow;;!m7+v@TBvbL
zsooR^u^RW+2Mhl3f19?U$A0~qcH0bFA1k)vuhw-1eg9S~=(j6wXq<Lo(IU_DOFE*I
z9BX95jAu2~r(ZJux%%hXcPnH59$nRZ&Ens*(8d?fj(=nnymp~UE^w!Q*XL;-zHO_!
z<|}1zscYTe{BLuInedA@lXjlh+9<!`v*F2X$D(IHITbTsIrIjp^min0TWvj|pocR=
z<0#`%F|{zh=LO-(54_IJEa_4%W%`)2JL<?v^UR4c@24bk>`APT4cqr{9a}APapU8T
zOYfHUZ(Hb~$;Ul!=9lB9w_E#zI?jk6j$E_-utd%5Ehko{zw(&CHzj$?YSSCDqVFFx
zKXY~NW<80}#92q~-@TZm^GEcB)Vr)XMZLSL4tFl@EiehuP(Ac%{kt>uhCE?sHtMZ1
zOzyOe_-y_^E63uj+vC;B(>?1s&liOI2UvV{lk`)+o)Mn@q3=TL#N(b_R%(3$_qVOK
zwD{__@8UW)%dd7eOD3pa@vK$he8MR8(WksiO6;_|?aIWL4*MrvdFQILnb$mI#f1HZ
zlWexuM0n22@(HnsyIXm2vgpH%Lq2ot<aB48+b`F3iBqP*e)Y3=`Ab=(4tpMwsz0#%
zw<_!XGY^~VcVA!*?<qU4X4fUh=v(Odl3Q$=%9o5tKGCJcPg#<7iMiOH&kp_kI^qJ4
zmc{v+SIa`o%&xK4-!K0(`{7@iFrJgf?_Ny__P_08b;MHowNleIW=r#z{OJ$9-FNdp
z-?7>;V2;<~)NMTbZmyg5#ZT&7&t{*+lBd_z-(x=>@Kowq*7`>UPZn78xLsB`ThYAx
zmu<}KFE1`DvRc$D*G;x*oWW({rrP~&+LS1jyZRd!HP$_lUAL%vUVvnAZtZu*=P8lX
zQn#HpJ5cz2In&t#j65e6z1=qT{k(noO*0(iGkgO(|7yH_^xysEmxU!w=NGTKH^+KW
z-^wF(_rDm_H@7u>-m3cRyYaf!`xCij+$G;GSgkF6s{j6%*f)0{B)i`?Z`velJ8$la
z<fzc84aXE3UB0hYU!YmJ<sr+({LqQhS96@RKlP$3TWWf;{$;JA+<D(!CuP|L-dgy+
zw6bYOlVDZT<8}A`EI9K*+g0_n-p!-;nbscARrFQfoV$Ac>Y3B(J8zWecB`^C++AU)
z=yXgWwav}Nagxf*047Ccm(;I93_^|qtcpBN3QiLgyCj@iTpU_jBo_7vEn=UhAR&7!
zlygJ+(o5@91iP9O^ZpA@bG;hYr1eBx{f`z`Oxv2r^E%JT|Gzx(m>iemxs!)Cx}0Ow
zid$RZaBivSG5$5W`{jgm>KC>gY*97j2x4FOUi<McJMXL=D)(X%o~J4=5Sck8L`L&v
zHB+b68nY)==VWu1MJZ0P=#u|%N|~Y4_wM!LI^G94A^}1koKN`0N+b$dRm4MuI;;eo
zyXF-!Fqr4It(tCUmlrreQR48OCGF9yhZD~@wtfr~cl6^?4z$U7?&v#Xb-htg(h;Y|
zH+KAy?MvQv+Eq?E#n?E3X*;u5PG+d|yeH@Fv$HQu>R)fSMp!%aZB*6<UAse$zK;wh
zx^|xPX}|VT;ggWtLXE#l2a?40OgGE?9J*y+M%jxXnN*Q)_m2F?f43`Q<sX*2UT3;)
zz1cYR$K{3Y`wf?#QJ>7`A~%&O<=mOc_3JVcx$+E>e73fTXI?g$QvA9sH{avo>Vs;N
zFDzO9I+bDn6q(rppUPN%e7gPB{{_eYJ@d*l{_i-n{)1!p=F6OymX@Z@<u<uvxNXTB
zwp5N|jcOV}a+a*WB>#y&*tkULte1zlWz-TjgP6?<?Hxfz!e)N2M57l-8$2m^xQp|x
zp84w-lLsg6RDa*(Rn+M8Pff2s?!dAGR&p7)mCD@Geogv)DKP!Mq|yrcTv<Qm#hj|K
z9g2rp9jvnNS6Of>+1B%V@uE~Yk+m=Gd)F{AFl=LIV31_soZfqjNd{KKyqyy0f7?Ld
z`2C+E%e^K$D0v27y7YDJ1zt5r_FUG<0$q20+>(&7-aL0o(AVAie%(^17TEZo?iT%D
z|NZ;Vnr}(`UF*#bglI9_A8}dLBBAEGmbp7f`)lybbE314Et_%gPs9JMcZ;OHx-r)o
zo;z|m@u9%Z3r}U(#4Z@rJM?O~zdEFLitWY<hFvD=N={tUb%KPdW^Cs8%C5Kdu&<x8
zrsbUlmsaOwo9n2DIK7L?zFsc9A^yn~F1`mU$#a}8=d->I6Lu?PbM|4J^?-fu;;`ix
z+fLs*u}n1NZ}pJ{U$@r8KV#+jH}UE0f70J#UTrejI7jLVTZkHqaBv3exf%76Cyp$h
zU>w+MG1b$FWvk;VojQ-e%_})N6>8SaWNSC_JtpJq#WS<Ok~>gy<$<5Np({2k#hld+
zh}>P^7P520=LJg}TE$PZ>@5wuH+2$!#+Gs|<E0OOUyZ!JTOs-7{EF4LD)0R3z1|$1
z<5aEVS^1(mukb+jDa$<e<LA27doS(UUhj98KfP;-=Bj|eN4I#Ut>=mgDm#ArPxh(2
zBSHQbKNjCzzj?cyfywK`lIL1GyLYB9**Ym|-2?FtQG5wIR)sd+&yCvE;^t!SG+$-u
z)NNs}gIDN8HrWSPt8Y0f(<Q7X(AAi7r^Kq<X;#2;iH)4jRs4Q(!E)~wY!iQB*g7%M
za$0tM&gy0#iD?h&4z<{AaHyTV<1PR9>$kR<RK@SItq+&ltIxY`cl~U;$3;FBh3C7!
z?LHu5`DJeYxeGh*vi3_H*OxyiKJC|>_iT4xTJ^l0{N3_4*Y3;P=c^`%D5xhSwYE%C
zms+#ya^2~}r%p$??a6YUxzC36_OYpIcc%T@exCh<{RKOPw_d;Ryx0BDfSObTy0n7}
znHd<G3_)$7`tp>t&=6=_=x)@-zS#x>HSez{^pqd0pUg1r*QLo(EAQW*S*mw(spVIv
zxebBumN2}YDSLZ&-0VN|>i_-v_f2_MzLHeRG4&G?Z(lEnW1H!8$uGLl=y8<F!`~`?
z);8Wo?SayFI5+$%nysPR<0w%pJ)5n5eX97w#{zpl=keCpwPuRfZfE;G;dh<tx4`DI
z7hg($*>3**qSUr5(zCis{(Bv3S#HV^^&e&`5~aI>^jCC<_w4^)pLgf;E!!h;Ca-lL
z>d*c@ts+40vu>jP%-_>KOg~e1`se8<`=9<vO{(XtKPj`L;lF2OL8rQTr9gi(<5reQ
zeBmvQTV^dc{^xF*QUCR$;A4X(jmGLt>RaR!t&Q`U7gm1f*zlI+!4XNP10FFI?-pbo
zSz`Ia*<w+w(NeiTjwiH!@E@3Kw)BYAZu7|v`<~6Xwl3XZ!rm7juQVLndfvEOV%E&g
zLoMZ1LLuvV@)Ea&u50pL{wmbs&VueWcUOfa9gw{?V_HCr*~ha=%kyGy)`vvKv$*_c
zh;@G26~V9QJUfu-LBp}W>kFhK&Rku?$H#U+gYmp;<lQbA$FmnF%x*~Pc(|kTJmZ!5
zU8&lOTg!{$K1BW0%Br0G@iA}NhMULI_Wd&LT=sdLQiph0diZ`R)f~Y~TjfsQx>NUU
ztNWCQZ4+d#IGH?>E7ezSR@=EP`_;92#l0U2-)?>#vvuapmsbvGu%+cZ{dMbB=6SxN
z@B6R3Q$KaYX#Pu26Qhdx**unE>)*YzxVFyg{PR_2MT<hj{Yr(y8LNY~yIFPJwv8!f
zyM1l#@dkZ$(cf#9R_$V~cq4kTCw#f>zKIuhtdCxJDK~44&8`{jjOT2E{+<i8ygcdC
z8X19lv)ea*r0H`i#;`NE-QGR%n08HidyZ%PIpO&$cdf}P7x^i!5olLh!p-YwJHIHp
zV_&B9w`H=s_gv_|6MA#%kCT?ye%ij(<utw?e`e3m8#4<1j54^w0#a=@8%lX@@%^6@
z!M!h0^U2k#+TYJsJ}Wr6X8NhOyH5Q`WALxF4nF38&b)rYyi3QQDJh-b+w{6ba{q>T
zNzZQH+PrnfhP{W6+B4+l`mjf<>l&$qpNP4AyeR+YyvNUv?WsOg{l;kC$16c0KlxUj
zX6?+=fBrPyWMf^}JcSF4VO~Y+V&ficyqlWIR>Su4OsLbYvR(f|pYB~2fB5p71g*Am
z2lJ}+@*kgV7pc_fD3TGEe9n)WL65aGvK^3OV2G5Sd@xJAKBGJ(6_G_tXR-#!*lhnU
ze)rM4s5^IKPe1B@y~sOq%Z{m!u00C4(=Afo{>ZPe{5mU_i0+n}+V{Wj&V0VFIN#``
zr`q#BYQ~;w#?Se~T%FWd7#P+wNcNp`yI(z7Kv|HXA;yJ);cb3vrE~7RqMsI)uU;-<
znD9-HCAZ#o>+!9>D>xJ%vt8iMig4v{I}szaFk-XS=DDjE_VznPYIuFQAs1u&>h6Yn
z*55TxF8=#<_N(6&-)4UbUcN1BUYK9P*WfiX>v+%pF^*hg;u;XN_oGl|wSdQhjy#DE
z)h9Y`PgA~H<x`k2ZTIIB?Jbj2L`^5U`+2`<*2~<x@j*i4{QAh9!O_Ak;{G9jyBVe&
zb?UO5e8Vm4<+GFD|Lq8MzEbn}@3ONoI)@h9`_J!gS*Nzj;dQ7=;)|Wlo$RXDe9QTi
znCEvrXp`li?r`wdPL49W^9|kyJlXD-Ie0iKTFc5WbG)PbC9V6F>js9zt(vEL1<tPP
z{*rUEW43U8NZ-}2>|4w0@A`MIoO+;&cTT43il=YRysl2EJ5zA5&WLT|oq{W+w>IWn
zPMcUJvf)EQI9Gt7%G=eRF(uiKd26hS9@iG-vR4}?K1_URGwtr`)i;D5q-A^x6ciVk
z!M`Q3??$Sns9pc*Q#Rh`&3Nw3n18{e<$3$dFN?gNeh(Fokj~kD*@lgerLO)`(58ik
z@8^AVWcqJw=Ev~rzx_7P?J<_-N(>1)vJ3}U1eg|>y(`;x?%AFggM0}l2JsK`mvS1t
z+om40e`Cr4=NDe@-E)!`?JUXOp)mVT<s!d>q9(6u`lABYzh1Lr(ib(+y4M#bth;&d
zt<#2H7KtPLT+&@-=d}FJF*rIiTxZqyum9c{)2Ls+?))FczGs;Qd*4ZN2C`3l(Q3P2
zFZg71*UpP??@tu8zrA@CpLAc|+w^;(dP%Z(kN<z*R3-R}A?cm0V|CrD1)=ZCLwBs|
zdf*;BNwhe$=<T!G7XO+Ulk=6<I$Y+$5z03`<_Z^oP?_KIL+0<T!|WHIa0Oh*sVzP)
z*I!t#cvPzE^e4f8wkEE@f*Ky0ce_67)_XrWS{``FMWec9)%8Em75?1vGZgN=`Fi$A
zTg$CWrj$(n=;rci!kcZD#ir-3EGtNP6VvnmsR47xx!ERmkw3-dG#9;E-?!J}X`am^
zgO|m%uU>|m{%u)%fA5d?Q`%VMcKOKgO67daj<VwUwWQu?t3a>0tDWLLXBT<h$H(jR
zlq)9Bmu}6y{LuM(al!jD-`7nvyWjuTxBR_Ffv(~QH|H&a-~SaKNc~qBetl!e$|bp%
zKe%t1x99Q-ZrPKIr9X(DjXr9V)jWICl*d0biy4otuaud`>?_KoV%z!t*yn3o`<?ku
z*+1GFU*7qGHDq4-r+OP1-m-TFZ|)>{D$EYq_G5j-Lf)u73%%2hp1C~l@p;+#zg>i^
zI28NdSG&I4|5knW&wr9-%Oo{-D{oAaQ(q(4H0RgN{|YV_`r5f>|99>3c;H+g#ckTM
z>#f{ew%Ys<IpNt{D|~jf{t2|<S>B?UwfE6hmm^G3tLN`2sF-}nc-aw``Ux7#6*Hgk
zEcyNFaPsn(?3wYG^Md&jC%I=Df0+O1+5OT(AsSJoiF;<v*||vFZsui;c2ntd@7C4r
zKX*Nf!(?6lx;JwF&+M%1n(1Bsw1_jlEdQcSr&>AtJob6&W+nc%skONVyQfd#I3W0G
z-FXfbJFT17Vr@5DCa+q`Ybz7}siB}gNIuL&bM1VGt7VcJhbQarY+85gcVv9$#NU^)
zON8g!l*t|yXY=L1rr_->cXan}j$5p%`Oj^2=f9t|SvJ0EC&#lanQr5ycN5tvGGg}$
z26#MKcsXc}?W1#(`PO;(9W)D^c=7tNIH%ZG^0kxM9xT|`b3m(QckCv+&q-!+uk=h6
zCF{%Pa|@i*IDeR7eZs08`ihRKKQ=E`D)hKps9f+oUpsKsg#28K_rJWS{(q49rG_<i
zd79O;XJ5J+S5Mv@7_FzfY`f8`eIM1QZ2hQy;HtNtkU{m6<GOqMD)TfqCNS;U?37Wo
z+9&no%2)H%_J486=j53$mvrVzqxbR*Vb0>k9^qGn>h+F>xv77X^!W7gwfx?R_fo#h
zs4wI{G4)NF@r-*9bzCY|p4O_B2+H4G=p)4PNaj<U_@abwS0q~QDehiTGWqz`Mme2s
zTb|ezndvq*9TI$O7v|qO>+0$lUE9Veajkz2-{bcmwD>2ay*=*3X7=7^L2z5v>)5WX
zKATHkr}=T)u5qisA;?l|@woeX{9A?tysN(-W&i4DDBHV7eDm43OsCZX4R;x@Th^|A
zbxS2h^jWUEF=JrB#r+Eozt0Xo8<iJ)c2TT8U#ah5xuy=?xHG595-x<T72mB~J^xQE
z^St7xBHLV>-Ht186}8<{Z+pAyfUTZ~Py6xss>$I}*Z04au7Bx0xqd>~jPIOZ?O%9r
zo=_I_UikFaM;_O=+*#H)`z5!F!0zvyq54P8zfKC<=lqrZO_Sg5d%Y@x<${l<R~g>E
zZ>6`Zt0y5{xM<0d%dhqP6c$d|`qyGHn_kncmJ55=xtumVc}Gmv>E3B3rBj>OS*qlZ
z>d9D6R&Q`BH_LTNN}L<BvuS-({jAONVxpE$i<0Ep+mLzZ*5~yC`<dqW$0&0pMyw4z
z&=C>8=9*JN%Ve&wY*quOjm)LBe41O56*Igx9B=J%sGnZ-*=TE7#M8u`%^L;e%woAd
z=PG14pa1vKJBwk7K+Tm8FX9CkU1d|{5x#!<{PFXro?M$M`c|!<f3Kpt*X|>H$>Q~A
zjx)!7E%$kRp-WLg=TZIMzQZnRFBe$bX*bzqB_@QtD>)edGH-JJ|F<?a6K-a`RS^ij
z<9j3T%1hr<M;`gj_<v%t$+JBT>fh`Pwt4NHQsY#==4q{lNXEsEK8wqyvWcC6m7!D4
zcBQ-YH3@KDc)d`=b)A9F#LRU)nk5gd?<fX6uQxoK@<uUz;?6#sE5-ADCiBmC=lH(s
z^z`mosxh%D9|dc6y<D=lsPSXZ197kI$`0QZH|@N#_{T1-{uT9NbNFT|eGhYvb!fHj
z_~g6&_mWK?zs*S0$q+uYMq^jXswKwvP9=qG>f64vKrVQ>@A@6T&o!jGm>8-|n2^nI
z^w?+PqemXSsGnavt8i6q&Bna1|MIR0F|UquoH=p3>_17rM$X-5EVNu2H|u|zKl=^K
zgw?CXPRvgH$fwL8k`!wAD*Hmg!}Nt5voo$G={4zZF@Jd6?9+T#ML82mGqxx9HyZFv
zj+9?gc*~SG#8EnNae@!qSvd#eWP6{z_x#+SJ?Wli<>a{YTp~lgg}w4bc}xEV*HRBQ
z+ph^*C*tp*xvS+Y`@xA$N1s*5OS2T^$uoJMjM#i(%Evmr#O<b=<eB<U@SfcJJyG+M
zLV2=D!M*!ke>TSpiKX-2I$p=ge4t#ySj{rOzDUE8JK*Hc#wp#Wq~g>!EW7M-%cG>K
z=aSgX);0Q!`UP7}^V(7-*RM{T&v$uh(B>mkZXV*)otS&oP(>zI-SO-ll@{&p(>^Xg
z0uJq#f3I$O>-d+H{VdNH8n@0_%_wv~Y_VmtvGgU~)i#W(VmCv1mTo;|+BS7+g)>L$
zo%9VSBqNt!ox!Blon4!<>evpZC4$kC75fA{<t1kDxlUs(x?^F@u(Is-sRr(PnWO73
zTgvD->|oEo@w(;79B<Jpi+T>0NGPw)sVK@cSoM>`Q|J7G{zos8#G;(kLX3o7gf3j&
zwvzRR&0d~*N4c<8kGHw8DHi?y6W0D%=rmE|tx3{*k<IMS4n5js=5Y0n{~hywW4n^y
z5?;&e4os3_yHtBE_y0<*@;|2*9M2Nw@~Ibj9;i|IsrupHq@v{CSGrT|SII1|=-;!b
zZLR^Q@S_(KroR2o#a&faYBE_|am#z-?nw(@$Ui*A;J-5I;3W$W-LmFA*$wB)vd&+P
zx}$c{<ZK_~XZiNs-)iRE*Oj(7>>tjbr9Xd{_4ZZU+VZyu{(EvcW3KCF%WEr4`14wR
zcE_*%Ss!oeWN{`;g#G^BFaIr-OcWOVP~G%q&yz<j7knR9Nia4Y&kHu!Xg2cuWX6-N
zzUSSIt8<qzy@)s1RlIk4W`>%napu1JHR0-OpXvYIe5rMxjFs5#dJ~>Y8lm#rLOK^E
z3hN%|J1})y(d6cYeSA0W@qbpHT3sjMdb;oc|IaPGIjv&#$5f+bPM2NLyZn211XoJV
z^vG7{bykTPIqw5w_ZEHqB`r0<;mXDL$JM-6yq;ck|HX;!4g6D;F3)PsHmH{hbAL2@
zL0{0G2c@>>vpiYbsug9~^7CZds?)wMIw+FR6L($Id(AQ3x}-x3FIg;g`+r=7D`d^y
z&U?4A7ghHNX-9vkYOMcQC$sg0Hh29mIin?&wd>!@GpN||^W53wXLk5zb#8-Vnz%`I
z*~i1rIYs1FvWspw$@PB2&HMYUBJ@*Ab^Nyc5-@DcySQN1`fu%_w+>`VoxG~KZR14C
zyh&3IEwhThb74-N#=oOm9vMVjirw<)+Rs&c%sZDQuVL_;xwl$XQtivZ<@L!nKQbR(
zpEdQB;-A>cvZNlKe^15RBjgIqj7)7LY{K5jYgS*Kx-`1K@J&wHss*V**R$&SKYQNq
zE2um+aoV58yKJw##U%Ito0;Nd^YilZ+n1wDBtGhY<EbuPeNtrMy-n+!T4tSdxb5}r
zp7x<L+LF^7cKN(>Uc6bP(^HYJJ5N`w{*PnWN$v}rwbL5YSNVN&?fzJ_d~ZYHl{vKs
z{w$1R)1A1-Jb`QZW}E7o#(jkm-Cw3I-*Dr?`~F`Y#bQN*)$f=7>W$Y-U&DLosm<Xl
z6B4fPwyNB#-J0mN<Y?mIV?Jj}HeP!7ud1ZSVb;!Pma&?#_cL>*T*>T8K7HtmpwD97
zqjg8?H(b8Nv&87nwpNADUD+39E#2)(XD>f_$B6A1_pIyF`ZwnNJDYkezEmmC%x;y_
zLbWEfeY0=1-x891IA`aJbv-(lHa+>&U$J>faxmx2%jq%)RPTFbC(Qe&JHu4deP@-d
z<&A~Ydkn5L-kZub*}Hh|<nA!>Xg<y9hnG~jG(YitYw@Dqyx?c5)%?3foiBT9H$MCn
z;<HevJGtY)!q;V-jzKPm%x|qN{-J$F-tzXWX-$`>9XL2&&g6}&+S0j_%bS83ex~l;
z;WwvA{6XdJ+>1=^IgvLEIbwG<Jlt){ryQZF+!&L3VnP|OL!fzIXY2k5=l!dq3=5<t
z|48;Ut^E{gbfCK{uwF0dwMevTe$MpXizb(Z?jEV{tdz=DGtv0tFB%>E+Bnegk7SSM
z_RzZU^D3?L(hh!}^;1#gt4ZLoy@K33{p)8P__uDJtADhDj?VGi$-?dLS!Q>=xWQ4V
zXShuJtvR#!%)`4XcH8i6<DX~AZde}1C;R)BxW&vfoicy>m)3kf^Gmtj=;WoH`QO-i
zUOeGmUi8b>%=y?PWi5lFmnY0`zrE|L$ch}LtNKf>-LS9wS2Wc>AnHfJNrq?T+(i->
zGd7F$Ki`}2&*02Oxpd>&Ps{hZIj>^jdAs8HpDpt_6Hk7+B`y4K!lWFJm<84cceBs#
zOtU=o^O{%o2^Wn5n*}fQKIH`;pBq@ec*8E6l3BA(?JE`YRr+`3pNh$?S(9p5T(|u^
z`{GRM&wE_C$1BBRpQ+qXRo-W}`u*=8wpwRg;v(f&U(%J3`>f_`)8D5g<$veJ9gg5V
zxB7I%Te40^Z+)G-_0`GD0G_9+_2LauUR`!<v9<n*M`y;Q@Bb~S>^5V~I|aislT*#k
zZ|ixTHSA{|_uiPv<z4i_<f24~&y)4SB?%hNE0tf}th;t3Z9!yIM`zu(zIGkKVj(MC
z$*0S{yYf!eYf}B05}v!lhPnOFG^te+1ULW5&fr+3;C9Ak{*~E{H~SVxuKiRw<ywC4
zac`sQD*+n{r{tWEIs2-!MM?7g5d+(2@9lo}on^UIe`CuGr+(4j(~H0TJ0x&L-Shs(
z$Wnp-moq1SkN5lZzFmLqVH=+3w`U&q*lDS5eZt!AQOW6P$Jl#L{XQ0(|E#_);LD#L
z4{5f-ka=xwwTly07l|3|dT`hOkHx~GHOF`KIbZ#{wd4BC_C0G<j)fGnAAYD7HA&u{
z(RaUmllzg0=Zja@SF{SL$IV-6$fBwLrnvV{uK7lvEt|zIFO(M9CSG1O<;|>RLN7M0
zYZX0HzR<S$>-DVyHd8K##KmhrYvK-FdO7_~oL9qL)7ckh96UBnbb(8xQInJ6otdc@
zp1+@VaFLGbvsbI5nwqZv-L!JvwcHX3xv4A7s*kJ+l8*}ssrI#f`nbRT^tVlWo<$xN
zTf9&6tp3VHHNU1AcW8BSnW+is<;%?Md=b8NP2e%NKYkzBt}9K~XZf(1ga35uQ^iLa
z8n*Fodj$`l(fgTrgC{Obw`9Q!1B3UamzZCPb@qGAnE3fnVd>2r_QxIUQFm)JV${Pe
zF4eIY?lcY(ZF1SA<J;O-%VJc=R-Y#;X<>f1VQt%+Zm}OTLuLz2lSqDCp{>ht?Uz$?
z?>GD6+M0gV#@KZxQ)aH_$X&Dc@s71$R&hA4Eo0J(Os^6=w9I$QmY1{7FH84TX8Z0R
za{cwG-1FyH3nUB{-<{wt%<B3vaM9}!<;cTgRns>v`a8j0@Qq8ojFH!){q4WGiWfYt
zUw%1#XCsFtpJLL2yNCJjhD@wq6L2p|TFp2iXkE>u|4D%gWlj={Cnv9*=c66fFSPPP
zcBz@So93IV^&Wzo>^FIQjIT&Mu<+|N=W50|myM4;GMRd)(5`l>^j0I&hOMXHY+ibv
z>EyL(e>R=#3737;sJqhY%u0dPC*}IP+#j6WbEUlgUFCc8N(G@*(VH>f{WXGXLi^u-
z+pMrW*XwBGqeDky=Kr}lJ7)DG{(q@Q|9VIMm@M~Dd9R*w&7FIXOZzOoeRlEc2rEyp
zYWcgOb6Wn*HF@4|e%P+^TRV+CtJA-#lfn4+KP|P}{;$jCPtf10skhFx<l9UW$)-zT
zu}tkoFQRf@3e<N!bANNU{ni|1U0zueKb<`q9J$fqp9*(Ri?~#i$J{hs%k5pLaX!D-
zp>pZTC%()uX<C2z*Kgqhv+je;Y4Y;Nj(;~WQrmS?NcwEbh5HA-bH6%zZvFjp4xQQ;
z*-u>i7PM@C%`1PMd!4U}JJ*#rX8nBNul%i~<Xm|A>)$o$+^<g_tv{)<!eYJrQ>jYU
zO(|b_zPb9odgS`@*Cbi>^cFM5_0O*^&`pRxV7r&~<lCv|yB2BQ=6DjZwKLWKs|e3-
zV{5NpGhY@Jo(he9GlkhFzWZu&P^m6!sH{)?!@i%tCTThB3Tj*-ylQdJmP=8htKQc4
zs<AFw&my7x_hsa<i7OpmeSI^zzH$1|sMaT!Ce&3nFMr)DAY9HSx94Hm?%g$2fiI6U
z@#x*wwqEe)0ZU$#iP^1*>3T1t%=+vf$*tdY*N?OCK}l)C`hBr+5hkst<)>JC1g(=*
z`JY$5LTg7~X$?>0on&w0;vGWF``1hT%iOSG3TL#4)CLd!V}X9FvQ<v*f4)1&KcoI_
z@~=|e2d)o%HJWojzwYAlV>h4swas?YoP0(0uIic%LFc^o%&)tiJoR66Lr~OPaWM}q
zHIKE{A7{@_^*eGys^2%^aG0|~Sor?$RZnkgvTZK6Ud3jh`1anE*XuS|=&TJ1x^;Ti
z^Nc3*>E-iPbY@JFbtze%+*NqI;cNVC8=dod^|k7|=WdqfG??$!esP;udz<@DmWK{g
zTfLVbfAVHm@OSH*Ck6li+3MJo5E5|s;;EPppT8RVuWlrYE=^mfY4J?pwEtV@EbrHU
zR{!1RxnAkk`^&FtGuj@m&6d&ed-gETJ&T?Fbz0ghR%@%BcO%>1#qE2M+EYA@Q@vo{
z@_(xppR%OXzxS%#RPwpbXzPhOceCEEtJ}7nSi^iMyYX#+fv)k&Te(GUPk3EBnmbf?
z1%Gop`Z{$|RLkzOnP2ZMIk-}8Zt&y#f(m#1$}jascdgyB@?&c?(~MQ8Lu>Q4zbW|o
z^WFIm?SVXIwcc~K*q@HvtjhARW9i}Ni;nW!9NILiQ%xuD#3!Npxf_M{|A|ZQt-b5;
zep0%*`h(lQg?0q=)?E{LchoF%UgzJ&*M<|*|CGs0w7WIuNgjvhp8V4HSv5<go~ZcL
z9(t$ke2^vn{ufQhyQhCVwmssX;&8Lx^wZ<lnbzsy_h!6)Bjo2TZ~L8V@ru;T&wlJQ
zP^}f)pIDospK!VMmd~H`d2j2PP4$aEMBnzlbA_+iSz7wypFg&Ro05KWzn(PfL#$HE
z+4<tvTUK68Us+x)tAF7C%=KqioZXz|%EIu4<G*rzHtW_u_djiIZi~LyYO{mU{!G00
zMIQ6x_g{<qw{Pw@F=lRmdCFu})QQl^$AY@z=Upj(8=ccqW0o%8C0DuqlHC0$!}_ZN
z4=#92o^y3y!N0ogk%`BJyT6{wm^bOs_me^&QuqAea6SBf%`$JpeZPP2`MG|zXf31t
ze!u#MeV^+#dv1G~AK2<CFSK>dbAO#S4&SY2Ry~Olb0^#0EqNEamD|qg+?(X-E{E)6
z=N=H*{wVL3ymOyjx=-$Qk%U?CAH8S9CCYHI*3U55yUip?YwFj1w`R#!r|<i}@2REA
zDc`@@XRn4C%-R?B@aR0A-EZdkaBpg?v#=JvxcZP)XVjrL?$>WWnb5tV!AW_#QPBH~
z+2Jvv{gY1`{Zmz$af+k5PGRZyjBWE=1VWx$HQnh}Idsr5SI5)9ktKbL=LAQa%t>|E
z^!d`3@L#j8zkRKsXhyC|i%f0&*(c9Kex14%P%hQHZ_97X+WVI$=e@O@zwT3J+oZxh
z(>H%VuC#aZ#qhl6?jnC1wwBdCcRzQE*(u{?{{fM?SM5qKvI;e?+!C~~VDgb?_s)MV
z@t7c?qxa<k%NI+ZjGbA#eNqfgF3ODcc<7fGP@3M-_}#}ytodrac)?TOb*us(mz`^L
zJZtzzIqpcG#+DaaS$9KyUP|Azm3`MFmTwWro@$bKsldQ2J9AIV*Sv}M{F-V5=k`<|
zook(4Vzy_3)45AiG<N5teXFjwP&X=k@TPRvo5bKcIVR0(=kGpWP@Sb3XMb9@YH^8w
z^|@WYqD3SA@y@+=U14SOwt6v5Z^yg66OSeyJMvXEf9K)QUk!38Zs~XLitt`$T)JB2
zTC=o#cJ9Y>_wwAYt-7y%V7JNs-4cwPF`wr5K9ec!<PT4eW)+@!d`k1BJvI54Z>p`@
z=Y6bd|M?Yy_ok>8UhoS)?w`5$^v+X<^Se9#?z+*^|6=L-8ojWK4uKz|^~#gZ)?e5C
zF3Gy4tHGn=OZ`dji2tSA|NAkz9{ex$*Ez@O_x2lK)_=R-^FQ|WkMf`9i=VFlx%|_|
z`4j(tzWUer(zGY?6YK9QM2NC}jbH6ipZz+XTale%2ZK$`H>Gv^xY*{I-OGydJ5+RQ
z-?uuwJ)7LlMJ@9CRm8SvQ~ul5wXP+VPZuaL$=;jvpFhBxkx7IZbj%}og<oWmYoTBO
z69WU+bdxJgisFyonWlp<nzHFRSD2)k>zEiO3$jSagobcJR{UX8xeuiBGBZe}tQl@~
zkuIv|FYIDqVA#RHz#zrI3tA)y#!DLQr|Vy3QZfY@4#H?oiL@$s7$wiaz_4Bwp^=e+
zfniCb6&u6kZZ^EO1~dM(R~2JmIPs8yK?G_mMDwiaH?K0u;0yqsYfRG2zf>3|zf+a9
zz~e1-^C<UkTnr4KBpDdQpca7qwWP5|jbZY~G-2C}#DY{DA;<t)bQzid_=x;uMg|5D
zhPe@9#CpT&=dUqIn}C7^gwcbh;b)LPuM`6VhZF;YFpA~1#?$4lGf83b9@x(5Z?7{+
zGavC~m>iHLDTUj6)9r3BNi$jbO^?38B#YA-R=<xf6%k`#;1@##GQ<NNe$#WVWAy;o
z8IgMBM!RNbGctfMs@JclOkZ<@Ng7M4fapBR*MCltnSlX>QFX4pz&QD1nz%VuUqLjx
zh<|AM$iTn=!l;qPd1d-<d|v(XXmS5^2_^<E4sNvQHorRE=O&XZP6wl&uZo=hx!*BQ
zpLdf<8cSq>^X&8sH<_fFkBhTR->{lV0()YF_zSUE7d;}vBv_`~uVE6$t{ZF(+Da^l
z3n6)BuN=$t-djxaSUd;OidY$guo$AXQjul)!&^*JIJF|?>`^n&+eTGYmgxq!nPhxG
zNgsp*yjj^m=}MeIoWYWvfkDZEg+Y(O)g&m-*|9vctkA>I$Why(+%eePP`|QN+q*DA
WJI%E~yQnlG(zU42v%);Wz!m_%B8@))

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp
index 97494801eb6e9c500ad07b2f9a662255aa2867d7..ba07e8c183a39bcbbececd336016786087209202 100644
GIT binary patch
delta 199079
zcmeC{5IVPlr#`@&nMH(wfq{d8sih`z-ujkHEldmyGdLL-*cmt(Qj!bwDspo|Ls%J@
zzxH^fAH8<Ac#(k!!-vnm!c#2X%6UzUdTq5$Rw3f<(`*L&s#7i<rqL=q3P=CfZG5!F
z_DJXSyUgPEPV4K-=O=uL$QKTnxMzXR-s!tGDcRbUxE*VASzj-?IFs|)@)@hV4lL6=
z{a)3z>P3z8%grlUCmL@qz0A&cZ&LNkZMWa^{@Q-&tD@b!NU<vati=bJURcjMvcQ*F
zhxK6^JJTe_0`6UVANtm>;A`M@UZ9or{zSz-?sT^sQpHgZXEeSNn7(@x*S_!7;o4FE
zGA0+sZJSwI(jMxn*?rYJzy8ARSKC|#W8M`BO$feqoI6Ejhek$;Psy3oA1PKDdA}Od
zww^p?xXgIY@%hWTUUK_-L|rnOq`Xab*^+zWD;60h9$w=a+~&LV_(H|ge$5_^@j+Ky
z^DWOAO_F~+L9Ak`KyLV^m8;)hd!6=szu&ueUgfzPwy1o~{AZT*SR-9*rN^ax_4(Ir
z8snNyo1Wet|M|G@MTI-*?YX9ZS3b2{s4(ZH&~k^6DZ8h}m~L-4s#yE|ecqMEqVK`B
zbAPB8FzE{B3ackASpIX?-YvT8uIHHCJ9W17pZ&c2s%hWOTwSsKrg&c1Da(^Wd&G^W
zUvOCVEV^de1Dl(tOJz8lZC)v{yj=IpS*|s#zWtv7dvoWrnKnLpW){jx7cM>2D%EnE
zQDF5<NhPjZ^WGE72CJrLHCZ!GT+G@0lJ)v?eIvEdsF)P<?PniUNAB6>@%e7#iR+)Z
zO)cV-R<ufq_gw$lx+HPuvG<=drtFF9uzI$r(kWZ2MX7ztvd$NZx?hXuZWDUfV|-fI
zOQ+38_OQ!yt+VRd;>k59)~oijX8#o3!Dpqs&~4Ix5uXjw;uG$#$zOEVD$-%{`J8)6
zpZFJC{CeE}y7ThS;wZ^>@*E~zQ1aY-hDnK$nW?2_@<(O^uz(7S8KWR5VW(TzPCd)O
zz;J?rfkA3|f<KGM<a$=VdQh5XJi%c8KO(0h%+SKsNdW{54a`B5f<ltPv%e=6ByFf~
zXnwqTnS;TW4wvH^`H5?e_N<@HS5RNGcbB?l?2N|46MOf{&sY$WFE7gTY-4>YYxD`B
z`v%feKg{JcIBhPyJJI}BlX1|$l}v^87MFB1D_P1amQ0?K#<(MO?!Fyb3-7ot*{E^y
zi=Atq1-DzH{ZH@kguVaIuYM)>j{jieid^#t3mZ$mWQ96xo-Qh;zV@`ok(rEZe+d?;
zq#sn--78=7Ws>XKj&=M~I{YU7Ikp5PU?PiTR<Dhk9LEL@jmZ<)TvRj}Sb5K`>FHg=
z<8#1>izmkUL`v|AlME=@CVz)$*sR5_#lZ&(zTH+oE}Rf!U<i3R*)U$bz9J<VUL@?D
zo1cH%V%xF%@0Tk~s4WzqVKzzTxxfB9?xS6kO*TF*l}T?t=unWewQ%;0DDTY6n$LE}
zPYTMJG)db|W)4I5+=DX=e|}c{DI+(jJ#y0VmsvB_R{vq&Em!vF-Os0<HTT}fZJdAE
z|MT%DhtJ<_e;Rz~|NG*3DfK_!C2ajO>B-H!^q+f9MU)pvy^HgEH~rtoJp0O@6ZcA=
zPe1u7Hh<F7ldt}s&iN%CeR6%}|GM(O`+rT!vHSgC^HuL%Q9kn~@&A|MX}kFI!}R)3
z;=gNu?TJ$Dwwb4T^Uvo;{q-qY0o!IB`+Rh{>hGEVJtp)2@3itUJC@%6x%G$pr%CmC
z;Xm6y|9g;jWYfv*Oa5FBHJVu~F|Q@im)F|bI_HbQ`6uCq|ITy%o})AA>XV6{J^rUf
zPs<Cb%|4Sd`KH0oZ}VCM9y5JErhBvaSoE~bKby?;M8#%5lV5Nv`rOw2$>+OYetOyY
zzx=0h$NJMZN=|yGy(}`Et#7wEW?R9rOFxyGXKk(jZa(Yif8qDxn|xiL{;4+lX8*_B
zOYJiI&%gUOo>afLb%$-`<Ri!bCr#GO|CPP?=>2D>r`W39pX9E#Z;o_qO}1KH*2m1B
z$<6_}>KZG$YJX|*%~|ze+DjX~<?%PS{xMuA#XmReN>+vWZ2#hK#R6q|hb~X9D7cq?
z*tV>$)RyaaphbPpji);<@7|TS^@sRcYu4Fzbw|}^DKDS)&gbXyN+JKJ{9p2nW^XLt
z#GP($^iO{Ov6>$n%J(pSe%kZ%UiJCp_xnDte<plE`1i|=-|lB`d2hK@YW3k#uC%*x
z?Y&`>UCn%--TyIRs=bi>xxLSI(!c*P_bM_>+V3kj|LKZ9$DTiw-2K1)VAaX_^?qV|
zip=J&N!!2i({B0tJ^woI->aA368Zkk`T9hib;f3|^*=vxUZ~l+wspl5%lT6)CRcW=
zttq#Xnpa{yT|DX3k*KE@ldtm%FYB8;xpvvt_4D79m~>PMKeqe*y8r)Csh1Y(&CNFL
z-a3(a&&0gXU#<oIvGo5r<I9%7J^$<K&&wQL`@Qk`OMz@QiG#lEv+AD<+eJ#)o5(LP
ze7|j-?V&(FspwmOOTA@xwa(_reJkcazxbYRO?%$L!UGa{Qmj`6_cShIIoDNGDU^6F
z<AK@3>!pEP+xz}V@vB@o`saDF(eJyzAM5*EWH#5$$Sb(L?x5YB1oPO8ybt?go0)eU
z%&V8Wc;$igZMN+X*mi3=1vekx7MsMMw_&z{iRq7P-^B9{^Ojw1f5sO5@6hjp=DSlC
ztUOqLM|f-22gX|uu1TNpI_(>_!RpSJ7VqmHi|6Sd4Djl9<LTR}6?Wzg`>dJoKfAJ<
z81@Rdl=b!=IJT?PVe|W2EA!XAJpW0|p38jN+d1(^r`I={cet;eaX$b0<@W!=^Aip7
zm@e`NU)^cB)nGO68N2k4BGW{VIW3mGyKCi+TitmF;+`y%K5PHyrQGVwyxV^sE`M3P
z$Lq&-T{HXr@?RcLl>go~*Y8aAPJ=_sB;1~>83o8z=P%%{&f9h1`J318KiB-4kTCh%
zyu~giWnIE{Td#cSI#pkAX5Z)3cB7pvubwE`AE~(GvSQ}))7KlP+5Br$&(PMe>v*IV
zcvfTiH;u-uS+<8w7*9AaO<MjfcJ0!SUrOhRop4r7N&nZVdw$-bV6RBS?o;OtWXyH5
zkNrGRpXfd38=JdoX0u9&Q~AMgnQ1D&yAuB>TNWHr2$=EBQO&$EJMGoY`tohewQ<pl
zWfC;rng?9mHoGgS)WYjI-|k&Doe?JIFC9P0zs5_ebhl`5##ZSMs&g;$POEX0J-=-B
zxmpF;9VebYF7+?#*u-+oRkhUI>D8K@J8th}6n^#K=)~*S4umbJzq(9lZ*+&wY`eP9
z9J2{#pZiQq0@;!m3mvdtVV<^m=cYyV7Fxd^?_XazKj&qQal!J<zDqlr4>14Och|ot
zR7_OmqhOnhYH#w1l>2F$dyhsPb$Bdh{j&5(*km`0*@+E#R-9Ki{%2#__FgR^Cg$9t
zdzQ@AzxFMjVp`U1kaN4VyZz>6o4CD4F1uV5i+7pzXGiE4_N5$b?rO8DecGkJE_yv<
zb8dZW^&hEx?mCH{MJjHdstc3FPlYU<;&#VvX3~_9A}P7wrQIhM2pz4;{q1aJI9Fkx
zRlv&%&YoT$KVNP$UZ;1Q8s9!I{ktyv&GFNTEg?-(a?O{0%!1cEuf9~Hc8AAAe(Dy!
z=mkGNO?R1@8fB!T@Z>6k$Wa3)^W0oD9_L05A4BeX-LxHrKY5%2d6aAlg1@C5vcBBr
z+p^Lx!s1P+Qy`CW$rtTeoUQ-c1@x|1WbVAR>IOg0Ou2xchTAn_S6x177_m{-%l+wz
zp1@tLauVyd%Sy=EEhtLJ3)mI1eD!u&ujkKpB$V#36o`=R6N+2sJ?;FBs;>=RA%_Dj
zT(>QnHJe*FzTV64sJhmv#FmgnRmzW?uicH?awlSLh}+j}$&U9Eyn@{IYF_PHVze&)
zbV5x?)2VQk(%rn#VJbT#@~^OkUJ3OK<a)e#(iQ2;s##Kv+PRTIZ^|T}3A-9t8F?Ok
zc}4Z)K9l<u6_?g3HNBqXtQEW0nSH^#t=nHe6TgvcUvl|s+OFvODSqE?+%CN<{Oub5
zU6H*r`~3AJ=Du4i{;{v?twa5{3m2znEaP^xx*M&(&0TAo^UD)4)0362+;dr8{kr|@
zHs-%Kowc^B+K3seJ3vKV_T8BywuaCAIOFQWfqTm1%9r14_-`1dI(5+}&C{D-No)?e
zQS<4~qN>fV>-B3Dm)5f!b5mVfbLdikiet{~AJ^|cT`Y9jz-E*5mD$s@=T#Xl)6SVO
zzs^N%sp1`d(QUpd@85q4?99Bsy=SesZg{xjqbUJ~!t3o1&$y!^vih*^i3L_Q=Y9Qu
z*vQzOf98EH^4x(9P49P}^e(QKxcN@aciG1jGtu7~J9phw3R~8=O?HJCOTD7(*R_&C
zUn;#%RKLyX)lb^nC?=(Kx*;RPs%m$BzuD}Nx9VYLjl0AbPGgo_`Q;0n!TralHq3uB
zzwK{|qClUQPd)>)-OC)M3?Yq(N68aT`MMqSy0e96%@N<2A9HLfqR!o5)}OrQhPw{?
z<o7#I`WCO}IBIJ-JMLrZB37+aXWyTwkIy#MXV5FHJ$^<nETpttG;8G*)tbs8jZfc2
zv<d`Y?0z<B<HAd^$KnH4c1On_In%Y%eAh0?qjO)c{t>~*qHpkH9cP*j!(ZoUhp%33
z`b^%AGQZYZa9vv-T&eg$ui1avl4s|>-B_DbvUdLdHm37uf2Vln9=cFBMKj#wlxyh|
zcCPv>id?R$lVwg-O<1|zwCifxliyrd1i79rn`9R7joEwWx`XV~LjFxS-yWD<+ZN$7
zE5YSf`I*JcVUL!bO;GI%%CTTL9<jDhGkezVV-YP8Yjz#mTlY99r)676`@hq+a&N!I
zUVdUyEPJbXrJjR=*#=*|ivC08XHF&`EKNAS)ROUNZoSdfuocOVXKY(O^QBnArIPp-
zgXmjz%T2_1+?Gz*e&9h+>i#{(tEIS0FUc4)m>S<@^jY<4`uDU@>w`Wazbe>$#8$mv
ze>7!Hp8A!iC1;dV4zloQg??rh%)I=T#dvQkpY<~KLt2GCFO$1!+1E_<5_zAK>=3`~
zS5|z*_SYO2rmt+Szpz_PG%JP6nKAYFlC@ut8o8t%a^2^u+L5TCredx3_Cn@FHs`Iv
zt6Funbb0#U&fHSN{b<gHQ!THpBbAmY8q5o+)xRFPYHon8%IS6!rWql%`ppKb<|e3t
zRJTgpGR*uH_he1b+m<ZF$X`o7+^D;E`Ae)z-q(*H*L~UNdaYjNzHRQMdZ&M}=|T4o
zYOQSfusFZc>~Ct)9IHEbzH%w=TcaObaw2Jk$|ThwE9Mtpgcr{?K09aK`U?-6{HH~~
z^pUx>ZOLVSS+VCA51+XFw#`c=cA9E~kG*cx9hC<uyUr#UX)UzbYWpxq%4KGg_iWi$
zX3kG1>3qGk(qnImXIT02?)u3u&6-cHaQUp9VtkcX*DtO8;>0}T5UZ0yMspcc4k>U&
zW^Pu#o2GFy`bqky`$~?J-_Kk4Qcb4sz^)ZvjClSkum1PJCi3Z{yhooZC+oS{t~2h*
zYoGl#X{iZ^m+IW)jayTBXYD_dbxZ#1jj3K5-Hi=-TwGy~)Y1}E`*v+Q?Dwg?K4q)N
zg>{amx9?y5-E(z!f#<&i(KC*JpFMA3%u=oX8|%0mOG1q8<R6ww3cY?g@5+<x*7T(b
zwvC<FYmUdboee1ey6(8yil3Lhw3x2?DRiW0^7OPd(M!~q`FCCu_SO4o$?;4hvp2zf
z+ispk4_EuhCOQ5#<=FU-r)ANlbA^9rExi|2zk2%0s<5CnOHUV{>N@EH%F_DzNB1%_
z=!H-IC9?cq$p)F-)~|!rk~hs42-kn~P^#E>*~CsJg;}$0q8GFt+RJ`nRi+D%iOCno
z87-VH!PjTI{u5{B?G>1?G~rQ3Df^Rci&vgD+UT0VV;acdqFiG4>eBb?i=W;SiCkkY
zYVgspt=@H7L1vFn-%d-*Sz?n}9gMX2pZKY)+N^$A-QBdX^H{t8#FCxU0vvR&t#}e*
z!f>&3lFr>rYiIZfIK2HaeUjfr2AAdDn|i#<H5QbK`J6P*U|2NyS+q**r~68;C%vCn
zw$eIs!@*qsHGN(l`LCL1U!C_cb5qCV_x@hn1DI`Gw`-iPZ^+1V>I!`{;|s@{BY}x!
z5hfCI-@9FJF5cj@O7j0XvrotOKiz!d;u1FQE2U8zxnid?eO&SExAg6j`<osfHJ(#C
zd7+c}=Q86f*Ok0kOjh)F<~+UpWmk>#;qQ+$Zd{lAdv|%N8N1@$Wi`?#Zq3ZrPC1*o
z?m~9)iLZMnElSw%+vNO(`gyO^EYD6be`F}Y5VVKySJsI~DTy!G=X?xE&v~16V>PE;
zWYz*jj}`LIrm^Qa^?wh&|6=tAJ?Y4*1&*~3O0^f(ZQ1>1#UrLGjgB1q4n3Z{ltap?
zNKsBFl%u;jVPW}+-7kL?z1XGT_F{(GikTbu&#f>$zR$Uz-{rnU$A_uT$5mYG&$c9I
z$mm^dx%O1|+qthl)673_74dL?dV%N2w6&&pvzA>DJua-|KR>=gP@wnJ%$Lq>(U~hx
zOkJHOB{Qqzj=J{|lZF?Yetu8q_3yK(bm+aUFZ8}vbH*l<6P{1c@+{<3^L~DdK_@S}
z^`2mQ&h+TjS}Qd8nu01#$}f0aF@C;!WmbKNokih3|7mkFIUd%=uN3wzoOS7P>O67A
zi+BD=Y!EUJXIiCF;D4#4OwRCQdqQo<t*YInLdiJ=#g&!D2|r(1t}L0TBd|IxG$Zvt
zf9<}U%-m_Zu7(q)dS(9pYQ4v7O;z0DPizS<K62iPUp}uwm;KVNPW_0^*=$WyEx0c5
z{Wh1XkK>XF<~IF4apGH*pYiKrKAPMv>3Vd;ZF}+Fk0z&$6r`^HvENWz=4)2{Exy)x
zMTzO&8UIi2WYh3&>dAAuaK^$#a&`K7<&6t$-_P!N&==q^ZBJ&x?9gXhpI&u-F>(7h
zGxJshn@u`?&LaN1%_5=-{?2&3OrYcWvh4|~c~0zMkCxTzKkEI_;j6B#!=3(Mx2A9L
z{vBKA&e$Ajb2V1DRD8<|u~bQcvw`=eF1l?q)Vi4S^lsayp!gGaKWRjiS4MKEEDpLH
zefuuY#TV+VzPD%HFR)#h5E5m@R<*crmD5Sdor=x<AuN5F{j)B*Z4=&*Q}*>l<de0|
zOH(-odb}*$9n!CSuTSYpemJpw#ZmoJNfNS=UdyEugbeutMJxrK=Kr=mSk)RY#{BY5
z%I2AQJU8;Depny;;YzYkwpk=cOEve-GN0`%VUIK}S--J4uxS<x<J63Mx0b!VDz9-j
z<)}{o!o}+uSW|v>9J}Unt9gm*gQrv3YCfnJoN-|Zd$cSRR03{1e60TT@tRMTi4WhL
zE@t!NTDP-Fs@hqiD<gENd5FJ#XZ|m<nYA~IcJ8<Nzhnv%<H}`UK18(02|DN|&!3Va
z%=gvw#3$k2zqf2BYt6|h+f%B%@PPK|hKzhgmA=a6170$!Dea}z3!)}Iy>7WWUjIkq
zO?f+8U)Ljdy5~2&-1hzcY3|!q^??Fca&P6c{e8i*>nZ0CtAIC4CpYK_`?Irr)fNk7
z|Fw@RN{rP}_UU=1EoF%p8CFGw*p@~zb46V-bPX_m!J2gOc<1w@m*2A8{c@+&Q(&@J
zxxPU4KC`;nmaAv1FkLC~Be_;0S$kQ2RB)_W)(lrqfy=(Vxdz$d$Mzo!S-VnBYF&NO
zqH86e*=HH*Z}iii<d`X*c<OGZ;JpCF?jnW7i>)u6xWOzLJUOuMbb!o?s}iY}6DFSG
zf0bOkW`qCT+5C?-8eHznSkt95F-U&<;&TzV3ioHZ&zN}X_shh~eH;3xac$<Y?26^S
z-1p$&iw2#YLGmd+zQ->0Rfk$H>gmgzHcL|9uzsq@w~LqR#a1#N@>r32bkYOG4+jj-
z2OFpIFY)p9S~;<)XfC^tE@x0srOn=7oB5+PceY-+{LU-Gr03Wpf$mKI4=1V}rafE5
zKWUlTN|~8PR%ubfX-hs=Wbv=gO?~ohrRNW+uE&|nWDhp~ZA_lDI_;R;i5atcjvDSc
zu=<u@+LBKd^;KWPbG8{3{gzqPbJTXx!>uPZPB-?1$oZdNzxVyNsfMPKOJ&=y*In&1
zP@a0cn5&BUj-5MK^2a}-(<k^Q1#ea0@O=7dPUD1?j6YXRp1o&FmLtz3o!d89SIBXH
z{BV`6=yOw<#MV{ET;xx3ow(E=dmyg=oMvxiQP|tg;-H_l(;vL4Kb*Vdt%|5WZ_A@;
z%QC%7>@(+|dc1SZeg9jhX3Xko4eF|%wM;hA&8VM!PR)+mEkD|;Hk>k1kz!Ev4lm<m
za|jX++O^Jf!X}VAKG&?-AF@ewlC+2Q(bac)g|`R!CNByU*rbytu8^KpbSf}%JKsUc
zm4D_L$LL<w=-{})IbC5zeV~^K*Czj`-)dMSgPS*&R5wXYb&)(dX-@2c*8=go-^Sk&
zd4F%-6&JP|6E>%5AKnOoqQz2acX+5*q|lNjpDO$gau{!)AoXA4v-&JI7iakt%kzF8
zGeZwchkQ&C6zD&7e&YMs+m8$9zZJKhJp1n#P$E5bdE)Wi0cUcpCx`mf7o=Y@{2XPR
z#(u=cWbI11Hx~`MCn=~+T`jybA<z0+@KKkwo=@MN_%8g$@aUG6Y!0XXdh%}2VEz<X
zY4ypoph4gC=aWy)&nKrE*d3o!ezqpIf>-i|f84cO`VPsm>t24F_4B~LnX_uPemneg
zh0Sb^Cy%#X%=@s)kl}Ji#)4*@<M%o1IcCn9;Kg%biwckD)2A*8UnWX>>|PPG#$3zI
zC0QoL@}Hl>zAH~AYxj2jO|aA2ug3CoNA9*G1zKh<$<isD|N0z{T}iofB;UvSP)~?l
zZ;c#d!jo?+kL7Erxg3^C`T6&WH4{Tz)&tM=Tas)=`gL~R=X_SieL3S}Ptwx-D1P3Q
z3H7PpUN_A(HvP~wbzi2fME|MTmW+FI^JeL)7ip=vES5~M{I^RXIm^f^ec35+%G~GE
zv0m#+vDVX<kGps;8f&FU{_Atxcjd|I_|OA2A^Xl4R-Eg&?PfbaXwKagGx%+1IZeB<
zp83&Iwz$1)hbltkeAuT{<Vl&PZLH+nmE>rWQ~z*A)CD%dyZ5dOF69doOf}@#_;l8d
z4T6DovMdUEb@`bSyFUpU9d6MzD7vWRy+mVuHgnC&li}7}g?3i)Y}@8flU{aBIJfa(
z*R<qqF48tPYOY=}7kz!OB1MkpMMm-E6&JhC&fMO`da%Luw5j(JRwXszm5mz5<3$6-
zm<3n<nW0y2$F_C0>(^ufp3p5PghI+b8m@SFwck<V{Mmj+?ZVG08@ytQP2O!dSM)LS
z-_J_Zlh04HMlX9Le=|SFDssc`7TffbJ+)EmZan!oQMA7GsYR~kvhXXfUc`Le{e1by
zAFqmzSPNwD%{Tqyn7sJTlHmN1?_Vlv&K{e4F4Xztv1>at@7nYKlSdsd->wQCVBh>o
z)rXN2G+zGnbIi@7lkL^jz%vX}BP(;}92LEP^K}0Hy~j4&9aC)2v}AhnVV&&lha79Z
zZ`i)EeD2-S4GW*Go~+Z^&8f&~o_kib&nb#)DX&7|3L#dmwOm}GmLgjNmvXK87r1a$
zfJ#)SmuCjURz(4gx7Eh?x9^@mn<alr#q+<<&i>x}{n^>sh2LInIQ{itc2fP>A79RV
zx%S%n^VHW?-qFjpg<4$>wq9=L^LB;KYoFMyZ`<Bpp1u9e8=sP+)mD>@jpusZxwv!h
zrp257-a55RwA)5+`s<QE&!gTQmjBqZWaH_rzizTtO{hull;bh9m$Cix>f!^ZAM5|N
zcV<{c`ptTolvk^k&zHh>_<>MkQqhq+J5uYjs<heFoRYof+}c=}6|BmWC+IQV?Q4mM
zjnWKlo*6rxtc>T(>1YU-@Cr*3skv678SInp75Je$!Lh&aqyx_^mn~Ya&r39PL_L-g
zTk$e-zM(;Y|K(d%OifCQR%QoqC`RY*Fqq+O>KxIMtJ``+A!u{zO>qHHL3I)4xaaHU
z316u1$=a4JrXmp3mb*5sqjLjmW(wn$j%^{Erg$wg&eV>*y7JmC&D*741)I%EN=!Pn
z*^X^`c`2bX!-nN8lZaV_n<Li&|IG~y?R<ip(%P=|3T|*{S+ns{Mq99f=(O({hT$BC
zodg%?1_v4I9I8Cc+FB6NV<vk*NO0Bl4u|Cis~+;#yF3h8#i-qN$bn^F)8*1f;cL4B
zwOQu4-8M~#GYXOL)7Ir&-YsT3`*hlCwWI>KwLufAmdrTi^3W%?aGFj+=2j&g4Yu@1
zOBtQ`NuDA>PoEU<oD;h^YekW8Td{`l5{sx;*^Q}9*Z=sab1@4qe!C&&h|JU_AI%~Z
zTbwOtyY65PtM9tD^HtU|*<CJc#AaqbUMnDe)-PtJ|ANYPM&V%P1=7i9lV_~53VQme
zK>7>E#ABA~GOeLn-pb47bvvz}<UQ@oLVoj`^YnYBT7J=X&{hy=xO%AlK*ToLbE1Y)
zQ_fCISvf~D%Iwwy8%2{iDZh2+BMOY?^oyp7=8KD_8OH=TKa#4CR6g`0^HR~$>pbFC
z6DxT17RgE*1v{Utu`|wjWOq~{(t7^1#Y}9g+BZu1c(Eq)Jvp`XVT{&cMO~+D4z4$u
zBoD4`*s8-6sdFc$Zg0_|J3P(j96n6ow`6uyanJL=!v4ury{U1{QU|qH%r6@fFGlr;
zZnf;`xDc?bY>(0eaktDx^(H|lE}!BMX)z4(T2>g;xmab{<ZV07iLG3h9H8<_aPy3l
z%i6AMO_i7;Gvl3dYL;{aZ?fmpc#dNmo(9w|j#rFW&st=}C7!Grc`u1;k%3;5#U^Hs
zLoFGnM9+M@sJKT*PteUU`t#Qyq3nHicg0S!Gpn`A^R8T0I@9>`%`}6#Gu`W-NKbj5
zQ~PZB9FDZQ=Fi_wKe^0!L!;(!^3xnMhS^s%><=wea>`1XcIs#hSM#nImf({~fw=*C
z@(pJXna61?Q)AlT`orzmvCV%OXIxvnkD(^GKg|4~=%HXOKK4X6lcjCPUu-GZ5hS@>
zyDVYH1Re8(VGj>-o3Vs*I+)zEmeX%dsE-ak@FqT&n{&?1JZ@W!Hyc{(M9Q=lS}){@
z2^PI@VCyyqwso6XjSBYaewog*!|}&d1ED5qU76hW2WqNczG)mb4`(&`qZ{q<;8XtU
zr+aOc9e?y?Tsr)Z<BZYQ10CwW3Ts*NRtgth&%c_KBzjb~@%Ag1IeTNfS3eR7k$9JI
zBK$&q_TdfQ-d@uW&-G#X$|&c#tgB+<-J>h~wq9W>e|*_}dXrwZ4BJCFwLiZMIDhD^
zzIsJq>r&PN{louq=k8V7*3uIxcj){<Mb7VrZ<@Zvn#6dlT^haD-~!w3nHkqiN<Sq1
zkQ0_&G0*wg|9H`c_r?!(l`imnf6Sc2;B2<G$?yTY&yRYx52X|O4>J3>Oj_DmvucLq
z75z;NHXYvX!8?6e>Q+o{-F-2B*A8a6q(w^&qRfgbQ*@Mtr^VhmlV<scBY0`K?Y-H0
z-?ks>pZVZq%rO~RGxsx3D%Q0~=C#_IZb?}zBX{g*#>p*lI%_|0ES`O7<^lnmQ!bYy
z>T>rL6yDRFDShj2LH+;9>t%R%9h~1#d)~fmd3*VX+miKd7tf!UsGsn0_K)r!`=dXU
z{&79du9#te;78oC^V9Y0@09+}-g1NY!6UtwuS#ArzAmZc-Tr!q{hbF+tI|7Ph15yB
z{lMIl|HR*T-m~X|SJnPSACnKSzn^yGr*)Y7(~T=<*g6z#SNkscgD3r!dVR0t<z()_
zBb(>T-+2AJ`A_Y!<v;FA*dO{4-4lOe{Ugr02_N^b?#NHJIq==!-|NRh*ORU=&v&gk
zG~Z(nPnx~jBW;7mbmhBJcE|SqG2${m@Oa8aiTdW4s`c|ORSS99ekkAdr)_%OdW)Ov
zRvVbo<}aSI|6Ij1dzX^w9~JK%{%-tFyZ+ejAMz6VMfPtGJ>63<X+`L+n>Ls4vi|N1
zyfWiz<gxhFKj}R?=BMxY>~FAd()}~qf2Q*Y8=Rbd;u$CRsq#-vhoZh6o_&6gmv^gt
z+Wq=Rnd{}h?P=J?bL0DTeZIg(1DSVqeC<5i^_quGecSd$3uHZ9^{cZ`^L5hSd);@O
zUz~emUoSgtU&YF-%=3@iZQ_$UEp=qeBC0;h-M;kZ{d=1~snhQ8dCObr?5*XQzI%zZ
zZtcI7o1T1p+*shf-t0!6%>HOCrce=&2eGf*n5Mga&}Tmw9olqUe(!m;1YV&E8J7QL
z0)MuO9gN<c!{p!0V#j_^kp1An==;l4ln?IqzmqLoA+1vX!J5OaOyJL-*6(jP`a-`S
zXlL11DX=20gT>d|wv@$PmPNkq%O=r-`{w_9WZQk<z5=5Khh3q-o$rmS+?6Vp?_&4m
z6=IQLnQ!&|!Q^JMgO{&zEO2Bx>{xKd@k4s8Sth^T+INl%9Gez2KQLxL`1&`0<m^B1
zF7Cei(4A?qW7CA@2ld752j9JtJM->VuDd|a1cf&WKl+;=EZ!U1#4f-2yTTO($0Lpf
z4<6e7oBYlF$uC}6`|o$ymfLTROpS>>ow4WU#TR^5J@U(YAAgu=&3E>?MY)9iD}%Z@
zbv-Zq?W}!jGwhDJp0#*XS5dUTD(vfzt&b~~{(M{Qd35QN5C!!ai(+1B*4MJH40)}2
z>MiFo4L;kY@1;eT%?c`%t@qkmVLm}<YhCNgxc3JFW-MO(POkUj+$EVWgo+YQSh~BH
z*7RQVU2r|{b$P;%A2Sv&mfCr2#X)VK(h~Nz_scA;R@rCw{Mz<xvD@1NcOJ}My!h6-
zV=H8{dw#8FKD_;NjO?prQj7Ig8)mKMt3Si*TU@%rGplFT@?$HatqiK(pS!s5-g3iN
zomO^PO?+o~8!fF?EzRtSntLcDzc?Y!;Mt26eoGBsCCuuNn#Nr0T+r8-<sh~A*plmk
z>O~289A_`yEPo<!^BQ~heckgD1icqLUcYs<y8Bn@-mB+W=ce>tUG?ukFne}b`QAwP
z!qunhyH)>u^e;7b|8^^T%JNqqIdAy=``A`hv*`J1ktM6|EzY{QTx*Hv+h~W>$tKRb
z_AHqmH%D>r_b=x{nOa@@e=mJE!S3=VPF8;pcm0F27JgG?zQ&^(E;WsD6^G;&mMm4H
zl$C+Gr#6^6OKU1mbEx%l+d9i@(GxNLxz;y(nx?<z75-O0^VBl$-)h#9*VUbv3wZ-h
zW-X9zoM2ZU6k#%@OmeeMuw5!QXUz0@aofGtFLqOp);*pXoHAQA;OVKdO%fN^I#-y#
zxns5L#mgm`#+<2crFNQ`sS)3~AKtVmc2(C4jrG&@y%-dFq$+d$w+6HLvWRm*y(d_=
zJ&&0DNkpV3M&4|1{W|xh4yEF}CB8xvv%0%9*1ickK9yT@vEp5~r%K)n?rDfeGfiC^
z<J9xx$CtzZc5gWKBCqnJ#}6juyxR1svu^E3J$F5%bKT=Q->Y5w^>&J_ywCHn*H&Ma
zbM>v-pD*I1baRX?tk(R?<}1H6=iaVl_3x*iel6I}`umAxty@iS>hUl2&(@~hnG?5j
z@1~n~LT*}^8gJd1RJK-S>C~yOwZrpfublC5>T91l?z8Xc|DG<LUUza=Y1gry&uTOC
z<}A&g)cj^PzqkBGl?sPvubfq;IGH?|`L&>*mHp|>&l~4n5Nt_Vb&q3Vii*zktB3cB
z$xQTqo;>>-Tdw<4hsQTPeTwwL^^MQfuZV6>Ke#9Fms>Sw*X3xz`vqs8&aT|-bEW!L
zYNghbu46l^)hd1FUH`FbpXWWL>u1!Bw|LH&88&lfzu8jRt+$^f)OM_1BXIj^<Yz;t
zR~as+avnE+_4~wE>G=3{nC~anPk(+^xc_dwH&<!0u3O8lIlneJu<r<%x6JXc?J_p;
zlb22FEyCFKrlp&_OZl!6uXLVQGGNxdNX`2j)*h;jJABl(<3;{iBhGic5?}s?mYbI=
zuc`m)a3fu!?(6(x%5z+MADsEp7LuCgcAMewY+rF>nfHPEl_&OE9C%(~{{4mH`b!Jf
zK6z6Q>k2V2fO<YLMV!eZJPZtA%)mL>EMBO-ASDUDTu5W9{@(=AfY1bns{8^;BY_>E
z?0^14!D0UiA6onLOv>gu$6hzn^!)$-|L<=le{XNgzkhmNPLS5Cej`=MO2z`lRgAH&
zO4kE^H19jU@x9IIr(!kJ{TE6uI{bUp)vT>iYpc$$4$+$GwKQn%e5<*B%j++{EYX_k
zb#z~d)>O0oCQ`j_i!Ww~aJ3rQi*U8-*?;+2V>kc&^F6BVwbE~H$KU_<t1R~NDgVu?
z_Ot(7pHTny$JL&A-L<cmFRVO&@!YvHXHK2!n&0!|<c=RRg4djs;rbuX)_-9Cpa1{m
zdDxl{DhP0}Fr`LLOa1x%_uslgnd5~rhwIl*@88G&Kk3KU|6ljb-QKtFf7SWQig3G9
zwvbhpJa0~W&vY@nFzNKJUHi6gp1Qy0SXA|-|2GcDte$&Y`Txf6?#ba3Pne4QQwaEX
z^uz1zHBpgy-}ioPKKc7eaQY6JPy4Qwzx(}Wcg?FFKI?nOYx8UKZ%?y+of+#}-o7>M
zvCNT^X6x!(C+xGC|LG3j{q1vaZ+jb`E%_<n&C{tTZqN2UQ&p8~yk*(jn=I|Tvfsjb
z1QO?7{^a!__JDC?`P}cH3?95RoDtgcV!`!By#oT5rf-|NdF^|~ea35Dm%iWgH*m#!
zpZh;AeXCt(d1Kc5_^dzuKjliaCVMRX7?D%?iG8AxJzKlm{mR?*pQaU`vwW`me9m?U
zLlD@Qy!mF1*=#qp$tP2cc27I~v}os-dHZeFCC;ArTwV+WSeQYeuC}%|xAtV5(c7nA
zt14&w-czUlUo%;C%@>34V+Ggz|2<kW|BJ!-Unj*oU6dwz)Z57QAAdaUVup!S@4aFL
z-({x`&3&K8%C)b4w{T7Q+nRD!mUgFmu3zSguZ-RIdC_~Bw`X+D{8i%H*|x8E;rl<;
zd|wLh6`k7|wlP9StQ%yYO4a%QVPDvL=WqCX?cU!Qxo7X5f0}pRy#A+8diAFN3)^?T
z-!D=3H|)RjPw~U+12jaqTJII>-s)I+Mu@vyRKsV(<N$YZ#zS|Q>)H41F4}jRx29b3
z4!6jWgQ_Q#Dy$p!M%}%|Bcfp7(D2@dp+T!utJi6MneXPcE0->uJ9Fy9UdyAi&MbZZ
z)_<mpTrXqYuMamDtMkvZE_-!hrt<OKezOc7dRa|UcIy(5R8rxXAa7Uo;l@Jc_UglK
zyi#||<(Q9gi|a<L37E)qlvB7~^_xeJfZ(FnwI3c7-8k^M=DlQ1dD4g2H%;$-ZLI1W
zZps@x=iX7r7;}#QLA)J%{|)&A)$`vMKG;)ux$Uf!Y37AFhQV!TBn%S|)O@_udRk03
zazo6<pw?3&nt=;!3NN*s6jJq^AY&NRaza4SvEk2$i_ORRWGxHsYzS;V#-n$5cm3M;
zhU+3MUdysCey8>QQCZ>k9g%mm^F+(Lq>t7<GAo?E<9nXa_m1czvX9sVuQu0JRBf=n
zBJ+a1_<P&VXy-UK9`O%4cS08!9Q1RNjb-5|=9f8Pn((0d_kl*4GRKzHF)VE1IV{;%
zcyE0(Nf2Mbd8O>;>xNYfSq+*DnWkQ?e)H>ttfQPahwIM#{qIQJ-5qluK3a2qjr{k$
z(=G*?y|@*8)^GJijSFQ(*RyYLo%?tL-=F9EX5UQZ-c(Q+CO={O=cYBc8FyH`4hwp1
zT|2?}<GO3*pWbbGowduF<N7vhX=(A}3>>bP*BGl!bXWawI&yvL4O87ax7`=)S>I|M
zyEbU)<tnNAwU>fde))Ish{oMZdwR}advjZM`qYPy&X@lTnPt|$=Y6vrn|R;cZJ&QW
z3D~`E^~2qv61HpFx8+_AX3fn~;9c{27Z>|cyG^p0v!<n`+f2^(G&9?_;)^bG$jW6g
z(b21y-`K8U#Jh6YGPAAoJbN>aZP{Y7YK!kOgRQ40EPvkIXn*Tl{hGh~K5xs7zL&H<
zY`fY2i+@hX{=c~Axo&JNX9v^fV`(36-i!=&Sg0K?Ieq5L$SG_NDbL)u+lwDM(yH_0
zcqfmb5KBk-Z+`iEZ*42ye9)W8_g<*@Lxe@%jTIL&E4F`^y!SS?t-Q1F-r<8kr=Ryf
z@cj?Vzq3jepXbSc_;)mJZC);0{kygC&*E)QzT3P*%s%h$rnNfhqFL83mXzm}i!C$b
z$nKgrapKiW|GS^wHH^6Tws@L)ZT*@3r8V_E4X>BH&e*lvVki5x?aak_MIy|fr>+iL
z6SmT;_0^P>K}*~^xmaIKUhX%?Eb~;$%SlVUrl@&#vAmqP*sVuQ)2rphgoREmY@A&6
zEHC_9=B!v8ICsjTi7Kp`DK~g7ODl-58?IP#<QlUu!=WCX#-y$#23)R^P8=7RTwR!E
zdNgsmxGYn9DNySxTdmuEJgt7hednXHW!kTfxj*N1&<It~&Pv_t<+*cP`pZ<0<!fHd
zd(pCQr`)Y9(;WpJ%_->>WgqUav}o)KRk)R@RR3ej#s}-yGg_WtIbyj)@zWItK9$Bt
z@+KV>rV8RSIXaFmXgsl=)pE%ZCml9k*L`9~6jcSDPi*6eXD*z`*;H_@fbC=OBjM+A
zE7BCLL}u<<yfTm9=k8Lempj)!KOOmYrSiM>tf^}fwU;<JDzCqB;d}YCXd6pAbBp9#
zR_Xg9eqLBye^&2>-;)=!U+c``>Rw~Jh;N0M@WLQ2rN^^nTvr9P|8V^)vRh<v_Nuu%
zli%2I-kErV=f%2xMh(9VIBiv`e|`)-?-!>Uw|0WZX6^@?UN6+PH)|!%Fw}|(dhU9v
zI{ore2g$SFdCz?=jGlM3O3}I7+V0igX-A5mo24b**tPdsrun4$yWzLBPW@ll*7n(I
z!^IoyfxpC>I1LJSZN6Z#KBlnt(dmy4V$0O$#mJ`=mp(f4@t}Apf4s;fS3}!F+`)MU
zmG@3?zt1^%Huo*RbZJ-KX<4zC9E>Y$4>Ne~R#pqplb6w4En5_qEpp+zKG&7%_FJi}
zkGT%F-CFH;Fs$(xm%B&myZQ+&zq%JMD=~e%VY(uZ`*!<s#jqa_yf+D#xAE`OvHY1-
z`DEs&X1#O#vu?^ct7&%JyM4R;l=GqWe>eJGJ9JI4qFKD6k^k2P?!6cIFTQtkHaZ`|
zdo@JOv?s(!s;qiT*{t<bngTd~&sf|hYjwp|R-WeqW0b&+W&2;P_Rs&n@MZ4A`ol*n
z{-$d^kg%Kf<lfx38y=stdVZ(y_@&Bc>W|r?S8U+mf7tN6{^QwiNsk3B1O2-Cc<!~_
zvh*%2IIvywe4~KQ+>(?2FXpWO!#!=P#JqW)lJiPLKRmnTDaHLvARvfIi6ialyOsCW
zJYkgD#Bg-a)sw9SbsN+c=ZYpOm!Aw|Sg<?0p26p&{_3~&a%sn>Mn7lz7x84%`YTgr
z%fA13-lg|=edAt3*)Qy?y4JTku<y89X3X^Q*=oMjzg`IpUGG&Ceznczn8%)16}v*<
z*Q&(^QfmzM#ap&qi*Yx<9(Z>5nMP-poeKT2hj$vhWnZ>DV)eqik^kA)o+aItmYC7~
z@;$rL+{cdft=@b~`O-y>vnuE{@)t;LeU!d^1>gBkRx9}9w;f&gysTwk+x&G3?H9J*
zh~`!?dLmoXrTwqLLzdg_isi$9GiT(;M{*d%2PethKV|glf?i#i++2awr54fR3?a;`
zdA5FM3KhF@kDF(!LkH*2#gFd%E;z}$GA?sJ^Ks^Lj!&B|9jlM{w$ks{y;On4CnqnQ
z?h@-NGSlOnRZv}FN?X=M7YmoXs>;{gPKG~bs2=GHXifbmC9}V7Creeh^6mK-+j~As
zNgSRj{??5(CNV)bMX|f(-ToZKwbCwk83Jt0bh=)%GOXKYH=}LJp?c5qM4qWtTN^iL
zvay!z^K`S17AT&oFR55Bwdw!01t%3mT&5egG|m#|;?i)M@GbVhidDK19b#*>?!CCS
z@zv$kj6x0x=`u|3`}TfwZaDK^-b!PSX@`9V@28xkFTA!-It<&{3{(D0x?V0XY~XMA
z-LrW?N?XxcBZUbZ2NO)5ZT)%Qj4_W-dHH`E)*HgIHLI5Rr~N7UC9InNyxxh!#=~gG
zjK)+q9<^=1pGaD5O0kdM8-I#L*!uUAU&~9T%82e*vpD76e`7tn?X{=-_|8eN9@Lba
z!r^Rq=mV!x{fD>8kE4@~Rg5PuJ;9eZ$z0p|y{GxA#iuL||BTrrd$3QYSMT)Ec@D9g
zoUf#?tvtzhd75m>nwMr0Y||Fisz=NY-nYAkNwAUY;`;Ajw|y^pGJoDvy+@Ziwp@7V
zr)t>nHE@aoQ(%iyivo)x%Sy-7FLw8}@m0l6Ht1Xj>sdhdCTYo=DEyUWVE7@+z#u$%
zL$gSIMIO9c!T3X#{Sope4zrXL3(zJG!<1BmO2?AY51F59_dh%#dEIl<p{v_$;#r;w
z)mHr9TKGb={OmpXGq$2XWFrgCDOraLt(Y6Us5kWH9f=FJ`d{lTWJKB-0+tJ#-0D5|
zIBqv<@7vtuiCQKL&YS4h`>xu#@Y;rKfx6Y1N7ppURqP5|Yw)J`;Ja+6;={KNO=dX7
zb|RRE%W(Vd<qNp2jz)^BW%o)sYnihZe-Eg#JmdUE`rf^b*E~;b{cz!`rG4Mqul=H7
zJIZII$({S0?{lPvas51HLD%a+|7O29ptRX->V)8=7B2@IQ~lT_H$O2-F8W?yz3)d+
z{G}(`R_5IbJI=;i@Nv%->%Lc!T2<^T_-Cu62e?l?w7W+yukGlE<#yT+B+4ItIko;6
zvt34R;?~S9DJmh~z8zcq`)q6$m$p=#?1OiCGrc#QcqEhcK>gCek~$yeNWGQm_dTz_
zZDMm5NZ!;aQupB|2VZ}^7TcP>6D8iKp44Y<oyHutt?GI3tMzl$jDNCM-&PLJtbC`&
z*5GllY*+NAw>na1=ho|pS<K3Cduy;-cAMEA29NBj1#bTy)peK7DQB2mKC>}i@^Y`$
z_eO>P`=^{fs~qGyZ&@e5T&bUlc#^X2OSWB|DZSTMr`hSe637wxb7Y;x*ME1a4)>IO
z+p@R5es75ek5NP2^XR<!%DVDZ@8>X05Vg{-@!iaD?tV<eRp<KU1qXj|&K2eff0{Ne
zS8PZ9gygg-IhX4>W~nzF4cHj*L+G-ln$+Yk;b&z(o`1X{{zS$8a&Em>3YQnYi0ld5
zenjYXiu)zUw8p$NVJ2JFr{^#3F?iFab9=$exf{GEsyEh4d^{;L?|IaT%xg!q0!n8k
z<=ySp%GCDX!y4T5a^164Hv|_b+ighyvRJtFdWg5-_hbBuXBkVJKk4pTd0Mf5%Ql0G
zE~cAbRyo;ReOG+y`|cT6>n46#7G}$#qV#Q_&a=x7U(?GzKWS5BF?z=p&G_$8=$D+@
z&p!+Uv~B(!nZtgiJg5E>+r4WKm=76<nC{^hVk@*3*k$%pY4Qq%#;E-|v8(1hskb|I
zMQKX+?0G+@Eo)oC{{88U<!hK^_qfHao0oYbG2m7cOTkj>hg+NN!_v%6EauCdfA4$d
z!9zQv(3NNO)GVSDv+uu(dnvL^YTeY$YODX;3NP6|fniSfrz>ap)_hp|CZL}A#vX3P
zwyR(JpUEwmVc@-7w9eD)SzdXW&WoVj;|Cnt+G<s<Zu(yK_VI5<FQc2md+Wr%o7HSp
zRgC%cw@jp1{nYl8ffh=iE3(|0Snt^`HC+(N@ljFuPfwq4t#bJdc6*+_x%_*w+49bI
zyidtu5PLi4pTM#b$)-S?r>R%G<{VL}Km0kUWu3v?D>bh#o>soRD17$uK$}Mg|0v$)
zV3jc3cVpI~nrUervo9y!^Jwf^P{Luk@i9;S@^|~wYRau8zcnvXKj?bv)_k9^645h9
z55I0Hwtl{?B)s!|UB%`|?w6N%^CzCpS>o{G^D?1{!4nR7yX7&?$=fpJmB@?m6<fUL
zoW8!S{{P<=UODDjUo?LI=5@Wadhr65Y2BqB|8o1%-L7|LPN>P=AlLdUlwI((d!mf3
z#Hq-G51w|;xoT$>ap~AjX49t!?iDsw{cGC2|Kswg*$uw8Kb&7Qx2-7Y;Ok~l_9rH}
zkH0&~+zN|6)x7vpz^}r<#OCRrI<v1<@qcr1IJvs_@MMPivae6?=2dooTD)m$jJ(wK
zhgr_c?d~sQ(p>Ira;IjQ)`90=*!^Os99ej!ub}VY{rwsfo!_=DO(;>2YB}Vk_3O!+
z_mdu7-`^%ucuU^#-iymeyo0U&B&0F7Ki;jfT<hSTn38bSIWo7Wzm(ZIDPWiD^Ct5<
z)ww_Q*E0WAeWEVv_WW4=`m6spzkJMkyunLn`^M-0=N3rqOs#r(t!_vAse`@G%=RQ*
zysdRq<oATEFWrw=PBq+J`)QWwGSlWMaof8KjE`OWIK7Kq<+90BbB;cr+N0gd%b2J1
zrOI|aTrIqI;>UBBH@vexabu&EblB{RWfh0l^lhx#wwNRN#os)abMqf}skNQ2-}o%d
zjQglhk%6<Dm|@L>%tI4&?Uaj;Fos-~XXxdz6LRy9oz_3;1AF{!*V<@5xhD;$mWMw2
zJ9p<?{b_F&iudk#qWiS+^=5_#rmXY7&%OS$NWE!tl+(Ti4;of;8BVo*WICf-qhzve
z>Y_Icv!>aFUOlq9TQbQsHK#rM@R{uejT!ZvEFEX3XiYb*c3!sF=tQoO;%hS&{o@j?
zzKvDMCH1c}9@pxyn&#?rZm4tfn$**}(>3Wl=hauKzjtpmc4b+}bwO$=qxTG<$I^Uj
zcgH+WTy^5LQ8e512~2O=ZTB40e>g*9X3>ni7mnAzX0!b|T&A<?^5rs3*{iGEesv^l
zE^ym&yPoaylgWpE->H3aOUCGK%*mVcZ6vPtJeO}Tsfk%}QDLb{^Vzny8R6%@@;*8|
zFX_I}BFSH;^d6p^@k_knuIK*$dy1I_<o~%H7dR}<yW++(k>|7HelAx#Eo#s`Ig;1R
zDz4OLpNhb=s8pjlTGihjrxoqlF>9sWiq_(tKc72qkhA?<Td$M$wsH5A>i*Og|5ZoJ
z!rttZiu=B&HfHbn$i|ahkAF?@`?xY7%1QTrtGbBr<g#r`zvX=|u`F2miz`GW{m1&l
zDR-Mf{RO1mXGXo6bT9Y4wA<cdEzLKY7pf<|EV#RK`?t1_7jL~43Mp~iq^xu8-G}va
z-1ZeeI4$2d@5f0AwQ{ky^^yLWYrmL3EDH_a$;5u;=h>{<DhaDS778UBrq3*7ZNK{A
z@*|H7?GWKe>5%v-0f&^t&KxMH<riscWU)B=vS4*x{-4Re#M$hZXwE(z{;YAyri_i3
z4)!j$QvP|=a=ujdx3BScB7WP~M6v6b8?tZoXEaiN&m1OwBF9VBRO+7AO}_dcwi6ZC
zvfuu7Y~5v<@6IhPcQpgP{Sv=lX|pNEXF<ys|65!W)ik~;{ADRx9S|#CpmZVl&ufFb
zM~V_;d5#^^?02hOxjO&VF>~Q_O-mN9xp_WHW9b2-=XWPBW$sSWjCr>AwqxO<X%<Nz
zlqJJ$&ZR`0u9V8y_FB*Rr7&}OP+U1rPW=LNX4_A(JDjdET3!xRc=u+)+M6yC?^pf{
zWSQ9N`NFdMo%`JtpJc>en;bV`sy}ybueg`-X%4Acv+!m6m+(BWOM2<Ud-C#=ZHAxE
zFP&2KZFiBp!nrQzytjw1wj7xJ<M(#SAn8As1m){X`b^lrhdn4w+|s?@C*#+heyLgK
zo@em?u6J4UOF&8>-hILh>9;(3%m>?c^Ut3mykUoOi`2gEv|z^DKMH@E*L;-AdwO~0
z0ijfvitxV^K0gle&!1gt;dyeU^w}F-U$4EF+14w!Cv0}>4Qr7%tN|yQ&Xg};OPaNL
z%2$^8lP1`=UiGe3(X~l%osrC{vU*ckbM@i#>(?yWx#31ieWRT6lD#imllF5R=zPC_
zO3<IBvlb_d^u5v#K3h@#$RX<AthUI-DfRmv1+TK~ihQ5?^F+eDxSV)ab+tev-MTYb
z;?v{I&KR$5+8$El{djq%Wa=~KX9x1aZsnE8W>0@%{QPpabiQ&b`wV&R{R*3ycC1>M
ztz2<obJ?b^$1V0+DJIm%od^xsYnAFPRjFZ9>1+FX%9q*`SB2kKrth5l&hk~GNqO@D
zuMfTE$JZPYW1sW#c5RLoOB2`e)CD%1gvBIeEtAi#Nt*M}_8$A@r?QORyJuS7pL($C
z=x@jVb(y@U7BR6sU&O-n-&4?McgSuD<Mr_zp}{L(e%O@$t@_A^7Yo)dJySnfrNY%@
zcd3@Uq)t@9%RgT4O&q+>T12t-9_4%NXg%ZDM5(+qhpbw=_9y(-oQ#o$nv2<YD&78h
z?!&eCN!73A_&wLmOKs+KFJk7J@{hYCMy9BJb%^oIn=%YVmqR(&i)Q}Yq@LLz@PFFb
z|6Of;CTAzSKJ<HC0K-YqS-#6d4sK4ak5MU<Ef5gcbLjhGmxoM|5z`l|Uz0w*xl?_u
z!{>94&3ro9y{1oRF}*kO`n<Bld0dPu_p9;mQJ)tgxL7$R;r!nPXP*93R(cb*NaV-?
zJ1$p`Pj%(pA7|Y8|I@c|k>a}elI?O&q;p*2lq4P6a$hW}5j$LdS8smTr*esa?Z3P)
zD&4PdY|YP|lVHQJtmi(n@Gq8-t3RI^?me@$<6`f-IkBhCR35sz-u*@F)y+G-e+d5T
z=23b)<$3vZ=kKKxIve>LW*!p}d$OQ3*(rTZV0B}G1^-Ky+IbTv2}FOGYQZ(_ZO&4b
z^8z7zEGADstkm-JipmzFLdoYc(w1IY#>dXcriT9Uma2CxS;1kxB`GRtM`ZB!qdL!8
zCV#Ls_w%jd(8>(!eaRTrV#N8}(beWt6t{U0qm%Mo6J?tt(mJ<HI*(m=*J&9&T_ssf
zZsn|3fj1?e>f1`LHlKQMk=jG4DX;bizsTKi;1Ba<9^32xr{D8jq-!IeyR-1&pFZ7P
z-7D{^9X(wAow@T<{cq=rNBib(_4Fy(FVgBdu_iNX#;UnNUOwqgGmfov<or-n{QV^J
zS*B+$-Fv-47*?NLCT%u-`E|p9<R1&yB!#_xaz(i%p&(#Z(egx(NB=w9vdnWzT?-TT
z6<01W5!m?Yj97U4?+VjK^Xpa|4%wBt?Ma&wejTms;yd<l=QM}y6C>-lWE^v9zs<g-
zVBJpcx(R<*+RZ(!a^{=YONIIWJ$je}1dVm3$f~clTKf5$m4krC4hNS^0}bVGa%R3~
z76t!Kk`c<<efIj5pHKL`iUMbL>f8EFo!@j|_qOagrIY{nGu7)>D6Bhv{QL@a6EV5c
z$Z5hSnk3F{d;KeAfyVZ{E2)!Sr_^T(<SN#0)7K2FDr03`uxXc}fTFp43bTnN!<rR~
z`}IFeIH7NDWqZOPIv`t6js2I@$!}E_MNNN=);u*Tooo?QdW%7$E-{;Zp@ZG891cb1
zx7>f*w>eG?Z!T<oR=?)i&nEl#o`%O&Ub*bcO|iOO#ipY3%B%NzdyyUYf33=jrgQbi
ztIbu;+C6JD*mXI@TbyAY!(YSKyY4j}tnoa*^Ud;VvA1r;$0|<TteKem*6P4#6E(p!
z(NzUppT2t<+D(uYO8R?Z)*I*IdAU4Or!+S&y0B<Y6rX3xBEyAi%5$E1=`m@_NK5$3
zv`nj#sa@ND=!|7a*44zmHOZSDct2=2s;+h5uK!cbe0yco5ofFT?KQSrAN*hN_orm_
zER&Y2NA_}Vyw~$!>avC5JNSYcO-?+DSDgM@YT|ASz2@sLFDR>Dt7)D7b@}QZll;Q3
z#rKS6T)Cp$vUKyJ{UrySmL=pzsBMma7NtGe`FX>E9p5JYeb;f-WP$I7DGzS%`EqNE
z(x%61ACsHxLhHF0zJ%CwXJ@oMvfG?g%gX&U=JY#7rHbG<#fMYd_IO!pE>XBRaXD`t
zORuH4{mrbYKQnj#IiS1F=q2x_;*UCeo)<R$;rRYb?%<udQ)5E&dP09TYj!DfY+$wy
zSiWxJYMG-VdY4|uExm5GugfQV&P%P(9VdEu-x{5I=fddhvFV;mz54kV968@oU%Wl<
z@xuGmjvq^&3L0mpIEZc1>a{odxpHdTe}$5ofY}$%-VtB3SnbLguY(l^lMi|6?X!va
z+|uz(?cnO_BPS=M%x+;<srOZo?fJUk#{Tqd!;5V{`9DM+%uYSoba~^x-`pQIuHZLZ
zdvMpc>+fzPbj%c6m^#^_?S->vy=f=A{eyGMCcMj#Y_KlX`Oq*uYEMMu#rVsj0^0*y
z7z{RBKWJa`nB`kOm+8iq_Z7_Y3OU8>ORF4yH5LDvdA-@&zdq@JhR1PT)4<GfThFVX
zniia#bvat*&8um`2Or7R{thp4S;Q3fM#eSeLrFmTYp$!2OAJ+{Rk<T_9|S1fFRh=K
za(~X2XAT)(S+)tyXGvI9RCl@Q<CNTG&cXLStu~v<Q0_A0gYNOIJzmRR#lKmp>zX=O
z;d{u*KWj4%2RiR+X<2tKVk>vhrGoj3B_=cfNPoEXy~wmwE}5x*J~waQ6nuB!d3)o3
zDXB9PFO)A)zHI9+qhfILmbi(&#nNrRW<7lC)mQ(e!Po8Xb){8h7yimtNOkH?+Wvjr
zO_jw44tsKy3Vgz~*|(L{78Yz-@h6E}J@fR>GiRGvRv)*$(k|VYCl!6a?q)@8?)|QL
zxBgA!t@3*3Yci?5;&9NJeEY1X1yxm-!<%h8^3rN@dCvR2n0$n9!|Y4z|KHkoyKAL(
z-P5A{LtB>0)jxZi6n*UVe7Viq83r9r5>BhSe7piv<^~8_6_xMxwsX&(Bs{%;+NS_d
z2IKWSbGGC!2>0J!X5`-d=w?88-H{DKWg9zoy6H>XS}8MHX~@(qF7vzmFx-^O=H#r*
zrf36adHr_RS9WjXigqor4ceTYTO8<M#6InccZvQt-u3?b1nMWgmQ#$X_CMyN&&YPK
zz=O%}i`;b{og!_8&YyQrb<~+es*5m1ek+}M#?*I#tg^1mhmGnf7dLbyNccxIWyO7A
ztW!NJ##Sg5Q1d|~@Rp8fz4JvUmoB}Mp4U@9uAgoF>sFxG&JX9lO4}t#>#zhQRJ1BC
zDlT}i=)r=PUG^7xk8G_!@J1>-sKD}k3y-dKZhnqfYxEjd@5R3r+EX|DKfHxi;z#x_
zg_>Vg8y4SjSgO<!5@u-?wCmo?wJ8gN{@sz9pY+ObL!Z*|UiG_b(M#Ud{?=p@2{H8(
z{oPSHrD%Ue;&ZlG`@rh%T<(&-cJ0`lRnp$Br<6^v@~zpxb?DL54bfU*^-8;E`U{sI
z(@ZV7_IGlD$3C0RHM^ckABc_%Pgnf(BY5?9!!Q3A`ltoOau}B`aQp82`!r*`gG%?S
zS3kKTi{qZ$s&3(DG8Oz6?eg{I#bs|SbhdcB-gBV$%T)2XLS}s8j~G`gEHVxkGkiWj
zTdrZ<AAb4S-yXz=&HR(-wmFrn_|D{dub0-j^1FWerJGGG{9#^GBX=_W@9hs<{5?Bj
zf^N9yKP!1*;wT%CP_MIlZ|8fzGpANucqtokac$2Xb9oP&WACQ@om$)U$KzUSV3Eti
zikF|x*&fz75D{{`^*&$0SCzghz0z;lcZ0Usy;)bawYEKU&4pLrZcD{F6mnjlpZdfn
z^IAP;uZcd_5~bgn4_G%k-1ro9%r1rL;2g8)J+@vv9feAJ<~mwDcW=0=6~4i}&>@(4
zr9gQ_!{uWeecoD#W#3%!@p1N5l^aqE)_tnk?qgbZ?w9w+XNyiOQ=flG|L5NT*Vmui
zeWcE?PWqa?#CqEtF+<z!6SDe#Eetrqf90R8(VlnmVf76gd(7_@u2Ew8w_?{<yES(8
zlCz{)-)s4p8T|-&z5cecT6bPw@?zmTv*#xVy+4!KpmO;imvysVC$lu0$N3+M2cn90
z%$-yGxIX^+uZ_0fMXK%{xgz$2OY+s!FYF$Ff}YB}ZCw^!U~o(I%~y+_^Zcddfk}d{
zpV)ZICYRX1^KP73Z?gAOBg=(_ZVS!cx<#ZX&#XOWZ{hLrVa}F6M*j<nuAKj;wvgF6
z*MMo_=8v0GuUQK)>7OdOzkGfYW3z8wWO*!eMZy_%U-PrIdAtjY%<s$Ch}vrYh@Ib-
zc!S}Ovux*^{FCn@pRM+<F@4v!m~YzhEffEE<bLb1c=lpZ`t9Vs8z<Cn(s<XnC+N!$
zo)br6_f4Gd^u_My)}OwHSNolQbWeAcKX5|->j%>d`p;ChD##nJ5xsRs=i(emYhCYb
zpQ`K6Zxtp@NLb=IMN?qgnlFwUcWyC_b?&W64lZLkx}fvU1s684zM|Oq%T!H-`@|n7
zZd}u|<?YR)P|*h^^3oRXd)3@+x7I&Rs#aN&xTNC7P4k}%%2-t1$N5MIUSbp6I@f7O
z_g%>ainnyc%w11xGFLS-vATKsiusKdaz@dm>ApRz`@U>V2tDUy(PprlZ`#xo4i0>&
z{q=S0MNB8L3A!Kpp|()^z>D?%zMe;wtvMO@1u6WL%&y<IJ>lfZ*P=PK3PsZVRk!2n
zKQDS}Aeg>vx0n6d^(^9f`x8aiE}d&WcgwoVp{Xo8lVY|W|G#XiU!Z*uOKa;1H}^XN
z=H)4~JawnPV(i)UrlO=YF44m7WU_|WD(&|45Bu+V=-!NKcMjQRC-Z3fz8UfDdSO$S
zR$0wk)qg<#bMpG_0*?}!T+`c`>e6cXj~&QoIbLtF_FKflH{7?hrmy6Qa+vo(qA9C1
z<-EoJGmHmbSMJX_zjyPp`VS}6c}|(X_HjNuDUa#%_o?#_nXHp%KAFj?5tjOuQ6TNy
zyTFs>jQ6H23!iKAwC&@Y|C{#Lt;$X=e5&w#_ix8-cWoIMWKZu6kV^cl|1mDa=rm_g
z^@E<eN9o(tzSUP>)wpMuydZ0hV4vm#(aJiG!=9>oQ3jL6@>RNjT-51KyV+o|cA;3`
zyTaGSQOR`|yp>nZ)co0^@L;>xx9&HSLssfHE!q0a@6he_t&&HB1z(=6=+ydI8hg>!
z*zkvA@gaMUGqu`jv$u4xUzGawKkaJ$qw@;6xoYWK?w>yLc`o<$`gxxj9~t-BoX`0o
zpCwvYqV-;Id)aSmhP)GVBQtj|_1hR+6eRk4O3bsVSAS?2M@?s7n*YneMSx|8-tOPl
z8B%+`ol$2JxnyT>lqLSi=i_bGfq_Sk{1tYR`)~aA)Kb~jx{ZyDPM<3dhOgc*%TKOy
z3*Wz(mP5h4cK+g1CHdVn9?YxHaoePP&h9~c{;F8n3#v2rTr8^KSr9QJ<yGgCBuAbl
z-&SvyUD@?ee0N>K!}QDFRy%C3GTXP+#4DTWgwulsV&66=tbW1G@!)LF1DWXJ3m!{N
zjtleFuCnc9&^IcZB_?t*I8<WseE(y2onP2iI^T&s)-mDv661~AX8o;soYgSxSyMgZ
zUG<%N+-!>LIzBx8mmFFrF1EM9=;gzT(&XL`wMAE(8UsY%2!B!Ea(Qi)xI$2?R7tD(
zbMxfIPY?9ieaYQz7%8i+GVQ?M*8IB4=6S3K9U^5r-g&Ed9N(bqm31+q*44NDFYk)z
zg2GyhXKOb;EIGq|?p|D8@k*V<MOiN``Se!Q_u85~RXn!r=Iyu1yo>Lc1|Of`+u?D5
zJ^1PIO_HaDo=;U`xGZh9P+4x$vBd|T1ZiAVO^kZ7+5TS2{{uE{CEjI%C)7`r&R(dz
zqxosDg5>JhLnSGHtzTqs_PuSY^0je!x9G>rC+sH*5<Zn_%qcnGY0fqIxk6voZSgbn
z`*s~Z_kLo%&xAKEYJ$><U81)Bt`6lXrC;X?vds;f`StXilhd7^xjcV!|Au_H^+W~M
zTetQEwmey{lNol(C?P%KYUiW2orky>GAt~lrv6h%(8&81#6EA|vbi7g&U(cNuU7du
z|NHSfH|ig`9#l)L_-ZTqQ(I2_kKV;)w>$k$PFcE2{OtX{UvKL(EAx##^7ioxCinPm
zbSh{}%CE~--t@2g)H4fK#-BReMh$Oor_{QLz1=4hKDlkXt>>jlSAI^FFq&O+c>1L_
z%QZSz_Zr8}XINTQrdc}srHWX>pJ(5mJkk>VaM;6TqoG5`xr$dm+{KLRzg>1S(0e;M
z?(av(``%NVTRrCY9^k&ESI@nOJwDCK)4Fi|gl8XH&V)XCuVg;A=JPx^*O}2KCJn~2
z7fk{cWz0UViD6EYJFT7Ts%R9e#4%l9sTt!zbH~gQr>hB%{0y1+*BNc!ki0`={*~;A
zJ6wn7l%6}tJ*_vh>gf9CVJyP4Yip~WQUz?f`NFDi_JuEcEq+;SSy_~avRb{obgCNL
z-UnG*Cse%R>NcOr)F#?e@M?iy$~3*^h1=$^b+5g?K5Re#w&}gBzdUaRIvsv_n(bj4
zPor;`S=O)TLZ@XCcWQOsTI%~oD(H(%psw8w!`3QcnT~%Z7o2pjy>qErGg+PAI%H2%
z@7%RU@^KLlVny2D_IVXlGyP6<p7vo|z2(h2dt}{vo%#ax)?W2<7YVqsm(Su{y!d~?
z6Ims7Z)=)(oT45mZ{b*Rd57_-V8zErr@JXnv<<4{se79DVBz&^d9EMI^MY=zk=yXs
z$Lh*&Mp=cO>seQnh+SH6SNjS7?HE@!mPqb1kr9g-%B#=(nzOEB$(ku^PNoG1Zan_p
zeNIIENx=>mTd{}pswG#w4U^tcdHnsh+5RCqvm5vAJ-g*%{flI_$BQ0Kce3ZTmO3J!
za=?Dhs*4w&KAtiu>A~~9?e4V+sV~eoZRz7XH+j>Q^Yhb}-@K#csr+t%{Kas|{SpFm
zRU%E@&I@j2c3pXABfolOUUAXHwNir8pHi5rH<x_tTOeJ3TjT4p{!SUQz4i4uyDvyi
znZ3WEyVqJdTWViQ`+{%$UJO$$MHy#WSNfP{pZpT}W3@}h-^cv99O_1`>dz1Iu66zE
z;*yv)k;&@#O?QrXzNpO^H(ZxmRB3qcP};lHM`Opzp0|h0x9gp7i|4${_ugv7^IKZ$
z_x%m(HDb9HuqJr7ZnVNCM^?rke}C?06<B%Xg=M4HT-Mcjyqx)Af+rqbIzF>Du5H54
zYjVCEGhg$r-&({p<M596pN<A3eBJ%<#iTj)0miGAvzWr>m?5iISrg;me&l6f_&C`h
zUZMVLk4L)3R{g&Tu12=`45!xKP;nE;$Xd!gf%oEDlRyb>2A&yrlo$*%h3705Z;ZI-
z<QzFsAiMZWu)-g)Mh5kmcd56|CB1T<<*<d5^RvCSpP<mvH<PASFP~CY%_PLc!sJr%
zUf`Z~%XhYidmSpIE%`0EEyJJBE1vK<Ov-Q3-z`7tx6O&(=l%9kO75f+49q1jI2bx-
zO)KKwxpa5I^rJ;j*1U-fN}eofUbQ#tad(I+|CdK@RZEvBzueXL(X5-9(c|roshU|9
zf95*J+wRtVUtenLy-M%x^vNfUrQ0=?4=WY@dcpWi^ywGJW2R4QtWNGawc&`L$r_D)
z!Cp76PrA$=apPxwNnc@OZ);}d)Y_S@Cxe`hG8$#YNYyXSw>f@)SKYPSo72zBEl-b*
zIHYj>`t*nklKUBTjJcaXEoBX9xnA+;h|Xzm4wlbNpO%_7b8LTjM92FD%c<$pxCL97
zzXphNu>ZRH_F&@)iwmL?4C2;LIZ(0JYtq5jqL;Yi*7t>cs1kkh=xaTfMbq`w_gRH3
z#MXPSsXp4+;IvMEqee_djJ-=>X=j*xz{1MfL#Apn#+{3_W?o;plJnRfbq=;C-Yc~;
zxmTVPWOGzIpvBL`rCP9Pg;XQEYpC)+XCcL_8s_y*>(nFSBcfuW52?o_JGz)^O}hPq
z)AGY4rIt@izdDC3{<p`ybV*~q+N!|bKkOb8FEM%APN<N1%khfg*$3(H{oEZ9o{EBb
zg|(rJHa_|w9p|(_;7zBv<n?KuCfB6m*0!vu%3IvSbH>}_h}Wu)0<JYW+8r5dOzs>L
z+~Dytd<)AT*7J)W@IR<z@tn9yQ(H^LYmz|fR-X6`3~ZAXq>u5ORLPY8<oDxXW4)2l
zx=redv35bx@o{O98B<=aS=99)hRaA()=-i0{F2WikE4oam4*rmq!_CHc)<OS;ok@5
zL)$i-+oQI?#nXP-1Hs8cA7Z$~7#m$Z4#sadcEO_0R?1s_NfxK@WM#J*EUCB4XMXcN
zRy#TOe#))#)3xQd%a_+~zRP|!sBwPaNxA&`uua|Z*EU_<mwhs{F8gKWyBe2!?E$`?
zoSj@uU!Pn#a^!%Jz*5DK;2@`YZmf+}MOjHn37j25GfPBR{`J&}$g(!>U+(5#Z=+iO
z&UN1U7ZZ2<XgMMOTWm#1{X18VeZROuCZ81lvezr!ruUVP-RDLv-TB`pUzP2axNmp8
zbn?wTp7qbm(w>*jd&u)7$Lhbu?#=zirQfW#e)-g1JaIGsbJjVc1@9idDSq~FPQz!u
zbe1`#7V;0~9R68y?BSl2V;>aK+6}CpG#uuQ)02?ST9C-5AKoUhucGnbY2H`+9yoF9
zta<Qs)dVK4SicWNJ7)wO5);}Zvf8?dBg*N-qo+w9?`iK=s1GRo#GGEwZ}lLdYjV7(
z@2Q!S|E2kTnr`X&%;wpi#?3Q|XBf{Y7J06pu5nJvqS~_D(!Q|l(V3@rLbuPkQgp89
zSW(_1osx40ex8zKe$G8dw_x3YnVrV0=Y%byEyFGK3&S4iG!_e`tDJM6qg=oi)N64v
z>z>b-Z_iES-f1@X7#^wTaORhYfAVn=x8Al3O#RdU%w`n}j|e;}YaRD1UsiuQ*ZZZ9
z_ZIId=XyW&@!rBc;au-qAMXvU&=<M)yXCvp!@c=?wsXEuJyd<SB47G_>f^oHd%}Ca
zFZ*~;bx%0w`?H_#sq7Kwd~bWG`eMa<$@iv*s=GhL8Q+smx@~H9^XWo$l_T{YE1&Ul
zPnqDn{AG2*;xbOHm23;!d=@qv_a*mlbozNIYx3bO#xEUB_KAmk|FYc?HR*o!>Qv?u
zzkhKXtz_9>?X=w*l)3(G=2Wlh9RIgkZ`ax`UA<-bw~%$0<UVS~EZw_h`BAUx!^?B0
z>fJWqU3*#EzkO;{W!2JC!8W^>mzVgzeo{a0+(Fx3ZM)jl%kn)RFV6M9yUJ5@>5=7Y
zzMU<+FY^Ah&iymreBbXo^84AA{HX7#k<*smnVuRsEiZmrs^oK>&iG|lb(U`|y<h!w
z_BmV5@R!GG-`_Rwtqyuy{e0ic+mjD_y?pTO$-JKGWbH|L{@X(1mD`s{dDcuiwyXB@
zo$vc<gSXee-{DpMcJ|YKGk@%j*th-E_e-_<cg&-g-u`wr|NddG*N<L2+xPU|jjp%f
z?uMO>d!4xYr%j>RN9(n5mHq2#r<dG+db@n*H{VOOlk@LCx%FLW{%ftJS?3F;20bd*
z{2sfjcKVV0pm(!Neyeq!Kk9XQ=ku*zryqUZ5VU9g?@6_1?ACoUt*@#1o@1`g{#_>A
z_utbGg&%*OUE6!zCoFkU|J=##=QFRKu3ma;{Zy}$JL7kIoqV)DX6ce!`5P}S`|Z2h
zgj-B|fB1)0H~!wcdhz7nbD_q3e2n+S>hG_5dF@zO?Tzi(TkpvK4qN-{&HLw{7e2hR
zB|eF@YW;VosVu*uJZF82Jyy#ed%NCqvdP)CIrkK*r|+&VuP&c{yl{JUW%=<!ney_|
zg3L>IV=HGAtaz}&m|;RhHUH$j?BWNew$GMtQD!;5?ZtAl%41VL1~GGgSn_OD-y}&h
zua+5?TXYkzs<sDT5SirM@Rg<M&Ev`Sug|L-Jkuam#Flkj#EMbO_paqVZmz#Nyl*Az
z>y4Uoriuz_Dme(Bx^QY{wGd;!h@sT4&`8C`11F|ToH%jf_48K}G9LTfJM=hWmc<z*
z+b}J^N&fj|0$fI~`~H_4Yu1>NVcf>Puyldq!i$YQOt)m_`j(kpzq#hg4tM|9|GLIC
zMz3Dj_br}clfT6*UwCbW+4I_Khi=}SuHau0EV#A)z~rN{)}<>J7^ibt7T(%0GfH{s
zvD-eMj@`Z^&est2xlQYk<4uM6Pxj33IZ$17>a!W|g7Q6cKcqZVIez<O-}aX#%QM%l
z>pZ)g^PMl>JKesOJnz+#Zb@y_JbODQ=(AbvyU%T$=D9c41Wr!lRQ)u`;Sq;Y3kOq&
ze2vCs=9z{z9XIP0&+ytMxGad;<2zsJl*>hd4KW*=!k?a7*O%v~w=UPWcZOSg^(lp9
z9>YTyKD*A-Un-tIS!}QGw?|Ws?!M#Xl=(b1Y2%~ZS;><=PujflNlu<VC!bKprMsmD
z1&KE@Di{{ec<Hiok>~lqM|^zmJ^7xl{W;e~>`%`UV=0p*bLP$1IK6%W!wp4~(|28O
zTPEG!T3Fz6u(i=Cab{#h7^B*JNze4jcP>_@7%NYgjBKBnZqc}v<>2A+(?=(^uTB>~
zzoYb6>*m*KclH#X?TJpiBX;cRrl@0ErMFwWEBL;km$8|1#iXv8i6<s>oapFK@tnk`
z#LJN!Vj2Ef$l+7P1UIE-0YxUKdJaPug~k&e3XLo#$|jmin0H@tda!l&m-#Vk;+sB4
z-QjBbY_#KQ>>R}(Cu;X`n5EiPKGZr{aGlxomAJX!Tayo;-)oskta&C9c0ESLt!bT^
ziRt^?4X=BjZ#K`=s5G9D`N{81(;=b2#@{=hOsQjDqZZhwT4SIYAUW6ar7OqIqek^#
zr+hbE*XQy^Z2id%&G#PGbaVU)KEl17rERs`j==pZIRBc;YR$d;?xC@&+O+F3uWmd&
zZ8h!l$*1=fa@JLs*%!@@EVMeemDT%Wr){ZBS&eOko@3i)?swhSxy#Rqe7mRf<e4pR
z`CisvJ*xduvIalX(&Dwnth2U<M$Wt3(_1C|y1w{Lq3`-9_iXQe{x{uPcgOAS#Y>A~
zt25S3Qa%$InyLP7_nzjrHsu#W-#QlF%}XrlxRO|Fnd`FeLQ!MInv&SZm&N)PdmcXH
z`}=%kdG0z*iGQ^&+io3^G?`HDa?*P08*BaJ#R;09JIhOFTPr0g*xmeLSIMed74B4h
zwlK3lxmUaXnrU8}Nm-B2>5!tk@2eC4{rvN0)ya_A`4Kl4ZMwNiZpqE<i;O0;I4jmA
z*-kpKvq5O;)-sdnMlZgy?p*bG#)4g!1FNs!+7hYy|I;CdSrSXrmV3=o_qpKr%pm+;
zR(tivs5R@KzHICbW{>o{^G7S-^0&w@2kwe9K1t+x#JRKVNR(|oi`$amy|&d2zk_`}
zuZY=yT=w$p?RET1)|WZ3=2nG7ze!L~xY9iR^y+50l-^A{QnER@vQ>%}eDqd)?aZ(2
zI`hqzynB90>&&x-bs_{;rCBp5NL6}HNcMcqH?yzU&0h1$4m;5+{wx~H-U?_{zBijW
zg<-+Y*tdZnlDAG@AsXpwSkEE1tZt#|^H^c&N2=Q|O%0Ry+OSkrgyHS7tbS3E&M$Uv
z#lwo;n>i}Vt@l`bHG0K~9o5WjpPzO%=^9J^T_jxD7vE8T<Prb;!{0QVpVudR@;LtF
z08itzdCt@LxO1=Uo1?nhtZAcxiM>(qpJN+l3v9j1)^s85{NYNz1)fn;f5q>s7d!Vo
zMo7p~E5Da#FM}yVLt2My;-ypX*5op;F8S@kD#9vj!l+xFxA<Vmvaex|2h$xF>RX0S
zS$>3X4gZHu=hFpzx8}dQ`_|6x^3kK~b6jKCmUwUQ@fCHCNu8_M%~+dPzhe>aL|<9)
z665K$EPkH%kIT>c%V~G^v)QM-19@(9JnGkRKAe9uD$zE)%q?hBh0L{$?PC51{AI8A
zK0M~n`MvLf+nShVPZzumcdrVKa_wF}x9RSCp&y#7tzV?9xD+00U0h<l)n#LYZRAZ+
z8|Sr`SvE^g581u(zmux#_enGRRSZ^bY7^YG^~gk9D|2O*SBxJIoNx_pl-jasbp=P>
z@r|we^&6I%otPQj|9;CA=UJB~3kvp3RbRZh!u89pn6>)s2aKElJzc(`Qdgkp!ZC%?
z;-pHZJN2A%yJIDk|6h(@b?M@w&-a>Rm;O*JoBHT^hw2rk&b!O!Oz7Vj{A&Rh;~ww8
z4gXL4bV_$Rce?9ug6faM+8*rxdEEZDo{!DmdinOH?N_zBG#ABhHSQHs(~HSi9+k-4
zFi{NFS%fS|zPKd!xuF;XgN_*JQ1r?FayZhz_IRW->WE!_8Ie;FW^4{QPd+)xFb%vE
z+04)c+?|v^6}o|;YyBSu-2)z{sw5^_6!UH=oz?3-Q9v|AuEOr(*QN3+SXP9_cP(1c
z9<}=Uo32F~Qp;XA@H25Sy=Rmb_OzUwn=4fxzRV|7kj2zRwC_k{=DBOTRJ@)xXt#Sl
znXvKE(+<a`4_i4z)*t#YQK@T=Q8SCLq&ts*s_X&Lv>QJpx>zna^m1sdsSv5FZvOgK
zJfG>aTy%(4YRffOLyrliffG2ISwcT7PF3*~_EIczR1*jZJTUptl+C5SYr0Qudw%Ot
z;QQ*n`pp&j={JtPzyI@*ZTBQq?OAolx9|VmeM2VcqeQ^EMVdhsvyDG0i>vI<y?yi1
z-g6(%Tkp?#vpx0l%Wm_=hi2>2H~kfVzc>6sF2}#0ZPk6xqMrFyoQd8Ma-f1wm$|SY
z_h|u_=@seb+=y+ay*KNktoC0Hbe_x5e_@vH<?6%#+j8~m9o9^CV>J6$&>&EH-8wF<
zZvG1;XU4(}nUX9lXPX2{w;SJoXw|aMkbT;T$PK)Vj6#;&YKk^olTW;^X>S(TwO{bT
zAC`yZzms?H9J*H^$#V2?$-DaP6$X=vo_HqI=ZmrOv^H-0*ynoafp3Heo7gm)c9VqV
zj8gHn{hKw{Pu|EASO5Ip{R;L)75m?xZ96T&RVl!~q9tf!1()Apg$mb?W;2c#%nN=v
z`SZ;mGM|qASkdyS(Co(YH@yG5zjOWiH~)9XQSBF2^1tscYS>t~q4(L&j?T3=&5lfy
z_;64?{&~f7^CPX<)gR*;@5}H#Q!cq8dwBQSz1-KoDfv6!ZMYft%0v8yRQ>ll=Yp!k
zR<gHE=DiHm^e|y|@eEL&B(lt>GUwcp>t^kT|H>WAy!D>L&(5Up_t}dZKewly{_?({
z>heoo&sjE7Q%Y@TF*YgluRUqdz3ux_M)??~%hj6`YLkB~Ex!3}mw$#pjM{ITMVDvk
zzWx(mvFx?5)ODeV?TORd@Bc4mF<EY1fB#M2|Ni^mmnHvbdwDlevhQB(^Nr>a*Z03a
zS7;Wq%z-&;Mdp=&XpXxJl!C8Wt<rdLl4X{F`qC+421cbXBfh*ZnC9KL|8Ss{b3m$)
z@;nidH>D~^B$RuKE=_-Y+TfI@_Thccx2JA4?>_zQQ|z|uWzS`px{n747&tJobS;1Q
z-fDV%Cd0pXmld^LtCYg(WWGGvwCebx6CC^rqLE>G4(^LiEee^HWZ1DrYn4x=x1ejV
zQ8P=Z(X<t;%xYTE{Ha}PLaVNMY0fS7(oF8TxNC)$BfCQFfn93nruLX_(erZC3cu)b
znEBLZgNYX>>2)5P$QU_kE$7yRhi@8|FE8d@m>BggxBjup0qw`V`wy#HyqYq3(>iu{
zotITgg2%2lFF(F0;KQVOU3MQl1g}lxp0t|%(lwXFjH)#}wv*Wp#aM~0OMX5}x4N%t
zt<tI#ZSNw}PBnI&zYdOivfcYKw)Gs`kyC%O?si$(O}nJxj=808%J07aU9J~hvd%Pm
zS+4J~-1_p{;aY3!r=DlO7^Rs#cXE)|^EIJf(vM4HB@Rod&*|${oW+ozGC`q2fH%V^
z?=(+N#PJX34z!)-Q?a_g<H+nMUE5Z57(Ko2P!U|GawYo!^AD*Hk{cE%XH>27Sfs=8
z(m27<+$HphsY$fj({`;*N+*MDg=HQ6mvpVs%;tF56LwEJdFu5j&H9yVOV34WE)BV4
zncZ9DCXw2+UGdPYr@i7?EA)9B1-&YBmj)k`SpWKvNqo=7>pSbY+kS0#(R{l1dsKGr
z+O0ueFXt3{Y3_da>yTkw=-!RzG)ilxzx{sfW=^N<@|NpUCtDT#pC<XXH!7~un9b;3
z_S!Y3L0Zpyf{t-7{37%C&$(s)>sw!|OfL20zSR{V!mg71V20r}sqC6XCqCQwe{q@U
zp(1orBH(l>Q%6HK@4|n&;s2-2Fvu;5IrD8&`lX30E^+N#^kA#mt*F$cC6_E;PT6#d
zEjRzxy*HP?iEZ7RS$+B2vI_s((as>y+$NRG=EuP6z|!@7L)z(ety(S%IXyL;>IHUf
zyQG;cx^>_8TVBh1kiErOdPJC4o8$e~ZDC%|rx>~EE`NMa^SH*-Ia97><@N-q@8B@F
z{hIA)b5wlq&3oA^>buiUZ`fvj^zyf71pyk0M-HplYRtQD+Z1inmc+<vQs;1e<D1^o
zE*SzQ8ceJ`Om0);+cm<s)VHnhbQgLuQ;Bg!bCS;8_JAbw$t&i09^1vRYL-ey$CFuK
zTvEM6LrqjY#k#)lo_p{^Yx%-^+j3vL?fGi)`t6$h{rbDL5>gB<y__NL8XUm#e(%L7
z?d-Ln#55<=D>J!gLjxn4m~v?9!8ub8yI2QI>Wi6B-}HLdy4Qy!l6#a}Cpn0&RJfm=
zyLQSs&D5SN?|;3odeRhNe(=-@{o}4r*9gvR_GmeDdGi8UF*BXVGtVT~eQrH|ZAWij
zh~U#pI=m|)4Q6@n$=RQI_nP^#*$Yza(vvxJ9-GHE2-Q}<xO1g&PHe8#UPbx2Y0WuS
zvepgKXOa#2OeO2LJKB6V?7z7`-S#9e$NOI7yimRV^`Q%M0`8?}_s;Q|91xmv>Pkxa
zpZGm}Z+jo>TydFXs_HDgdP#9mWOC1vme~&)`lQ!S-FD7Pb8e}Zwz4N<?|lXplXZy`
z6xcX-t>aGidAcU*{hs4hACg^#dKYV1eehV%=qpzL<iSR{o?4&R-(1>X?x{ZGc>B+x
z`ukDyo~%ujyR2*x6D#8CAF}P!6lK9{lY17o>)b5pVp4sowaUhf?RA2cT46_Q?s>-#
z3U+eU&A!v$e!XWUwY}2DX8G6HhXuM9EB>rmTv&JLVU$y28&j{F%S<H=?^%ppVhgTc
z;1{?j&D>_WqTczq?F$JfWg{ge&q+&|jl~=q3{1o(uPBtu`NSxE;6Ss}!VDdwZkI&~
z1_CUtjRqZu6uKNGSduJS7JK+jId_wnA^**!ZPg;L+kZ%`?E944`^r6cPF6-R*Sj@a
z4m_KAXRn&WlzFXNC(Fo4HC<Iz*KRz@t`#x8NbLEa7i%@r*p%zfm8h<F37**7yH~Ja
zZ)CwInOMn|3A0OQu=F;iPTBw7a3R}0v95K?&Wj(@Uc23QWB1G7Z5LO4%?+$ct=ea}
zZGrEf6E}DAD>tv`JCHh`@96&xpPrYtKVq!4>Ue5WU+$>N!lv<A_V5hj#v{50+AQp^
z1lRYuZ!wIP_1}^lC+VM&SRZF9myx{9XkNzQEmrd`FvXugF*z_yyhtVK)S`vkTiq80
zEj#NQ_BMXn%f5wkc!d}|KfUH(_Iaw{re$;OUhPR|cAs`fH$p%&w{Y4lC3ENe>jw3_
zO3D-VXFqr|Wn#UmN%!V9u16;?nO9alQ+U6#wEOH-vAwKEt8f3+l;6kHt9GugehZtO
z$GhVE9vvOQ8*B2W{d$%iAyrXz+xDfO{Zl{tQh)o>#q*1r`TmGYGC#UCb>`|RGatKd
zt8kn0IA2;*%zal@;%COWUtiv+zg#F4)5uo3u~GA(!eUdkGrDGr${XgcRhF|W@d?}D
z!QzrN@#!z2cqx~>&0kk%oaDRVAmzmUF}dF0_zccv50`Pjd}Y1!;+rQm9zhw|$Dd?)
zguhwOa*Iv;YPD0sKJJjH!k64{CiyDv2z&b~Gh_if!`w|<D%hrqr!M~&u^^U5BTiQ6
zfnDPR7TqZag^JmP-pOc8_@(>k`XT0~6sGk$eKX26E_lyjNR%{HSo*3_LxA(MqJ^iM
zhO^G&`r;`}y&Q{Gk7fyMKQgg1IyQ!9(W$9@NxCzob6TG6Ud_3*fB!bc@3v1ZK6Kct
z-;vy48^F4J!vEr4;na=Y3!iTJoe{ITfnkPYL?)-0@Mn#L2MY7APd}A%@>G)G4+iI$
zRddfX33ROEZtyuU`H|JXfVu1pZC)!MC(nH`TX&CUG3%!KZBMP5qh}jym0zD=*7Bpo
zC3wXN>AWJoJG~bTN^BPYiS_H1c(s+C!>Dunl=l1?H&`TQS<0$rGizle|6GyH)oB>(
zB`Q62YsmlYvCbDbr|eKy`QYE_d+pvGMgP+~v~PaPp2d*KU^CM&?W^6g^abCev)(CW
zbcp2@?ppEa-?hHWj`bpJ+k?E@{(O%4yfED^Tjq<2|I}uiw4IL__NU*xeNKt@o@Q=q
z*TLsJYrD2Nwwl_V%$_=9f$*x=i>AydXjq|=+Iu5teNyqn`GyhqIwPWQB>GG((N3Gb
zD|F3XLrI;*JhF-j_g4yDxZwEawK?C4ML(1i!<D(TCb2{{ao&k(c`%{Be(6-kOM4Gq
z{HbKFd+}*3(}(ZJeuhow57Jb=!Y_Ft?8>86DYu@zm*ZcU&)~T|^6yf{?MaK*h`bc)
zdAaP3P9Vnx_imHjZ*GY_-<S11Jnadi>dLjd*0|{(nN{M-Gb?NDLxW?{HVxC?uIva9
z{oE;Xb^c@H$IbV8f1I57H{kCsj|J^K_3xuCbab}G2wc3O-D$fqZ~r30E&fRf>lh=s
zJs!<Jy)GktSybkQgLk>7$A8}#Fz?2yE~Y4*&8xG0_!BjHAL-8gl5zQIpn1r85tU=h
zHCmo=EMBT9lDqMWep2(Jm4*ja{ysfHMNu@($YIf)y|?eg>dk6@xPAurij!|<8E`O6
zztzT3ukyLu;aBN0<s%FX#i~&+-#;#QoG912rL#rUON()Rt;ctEhE&ynm957ge-b_-
zJ7Kz_NP4<dN50VoPS&j*Jjb~jyXHMTGkN)uB-vW)Rgdo!O*kqV)s!@0>ZA#KXQ}qy
zmDfwXx~-B?IyAhAH7C5_;upUOtsE>1Kh3GJWEO8+v9rD=^x)3j|DV2?a)p22#I;Sr
zj}<CUt<#=0b7D@N@XXg?J0lKdsjPC0owGmq%GQRt4J~m;PVsODdfIl)Hq0*Uv}G~$
zE@Kj^67&wtl<N@r%DDURj}P4I^{-qsPnwn<eYv8AM{U8!i$>NH*IoDFFKs-$R<dG>
zn{a2r6Su39?TuFQ_1{E4EowZMSj?Cunc`u)!{w2b%#37zvyc+^IXSoQ=cueXA8q6n
zbX|SwPe!jDS^Hn?ez^F5fAVqH9FDS+MV@MzQ<!wJ9w(Xi@7A4X;5t$8?$W*SrnBe2
ze0#n$Av0dC|7w^(@1kpg<$s>#3vX{>6>s`*>Skb`+PaMJJI#!@ze?B7`(?B+Fk`2u
zh3=1<-MO5$J8zX=RbO@ct6BcVv#GPUUwXRh?6wzWTYaNTi*G(#Tk`N!t?{x?KlWwS
z{14l`|8rlC?!pPH?<h+iowxtjzg3y(9h<H<Z1HT(nQ};7;e>+C*|Zl^3(VV&osVfq
zFy<^b2tGCK-KT9KrVL6lqWfZBJYlZyb;v4kQqRe4ddl!IN1(Dp{MX6D!vB|8ThzXK
zm290K_pLs7@t1Bs73oPL9jlXUn0B`DN1nOi{6!_>o!!D%<wq}#PI2`7jnMwGY2M@+
zMS^0J6`R99wokH3m+v|<wOSx({Ts)LyL@^YOg3G8=jAZ7^IOIN#%sm#-a(>gV-I=D
z)KAGNI$dX-d!qUD=l=XU-PACFgBv5?9+K{9>lZIx6Y4l8H%I-cMo3MJ)`Y3rEY8M>
z7rt(LlKZGLd++qVm3x&7vQK?nXY`Nh-2E-}rOhY8F7j`!{LG=At$lA+{T#i&YmRQ>
zHmkKXx^(vJj-oH$OV0ZjTD|!6g<W`^%wOBe^ci>S4NmgC{t@Hix~sw9u;>22T@!EC
zY9-G~^!A!NRdbsZi!;OXzpEzs?cRE?-|?&a{FY<2m)2ij82?0gWlQm{FAqHKxU4o=
zkv7R{OY-V@S!Z4vE>E1*W+{8DBw56p<&}}2%uNT$h}&yVD0YfOdNAF(nVri}b@af!
z)Ds(58Ld&#y;xHJ=&<a<Gcx9GGakD5lq`9rC{w>)wtR2UJHMxvKV3J*Ipr;XV)@st
z(Q58}g~?a`cq<$`o58fDn(M%W^%G`k8mx2?S=#dPy_;cT{=Q#UVf!l8qV}Zj_&MiY
zM9td!>ZOk1O}2ZiZ=alSTJlPcv(53QqCZPY<_5UsYyM6@RXEw``o4Nmp{w`bo;s-h
z(s{q^suL~Cw{A3=&l6+gxs2mU({(oH3lHagIlAqA*z(+I#^3ha3a#-yT&bwjf9NrD
zuEu|cw9DqU3<|vy^M2Y*sS<BgS@GP!q{!*Qrdapoml+fmb>CS2wlVyP)y7K;Co3ID
zbdozih3UpjukamjesVHppFGZ{7F=I)>)oA+%f)Y2i70wC<$g<-wP;!=<Z#eynM&S5
z%@;RLA2Pg}TB1JjPY!#;^olJD1RB$;pWj@O#Jxpi?yJhU+5C4WhDrz@dGP=Ff~67f
z4|1AKc=Gq7KvbtrvFbb5DQhI9;&{YAZ>TVmdD?85+~Otjl_xM_uU?_G)s@7|@MEH_
z^$)`zh{<v-YiDU`($Sb1tT=s!c);<jUZ1?y{Wk0m=FPKQyC<EmGPx$&&ZbVq=fUbr
z$87HZOVyg=-8}2&JJn|S$f+|Yyh(nLIiWkHaRpPt;>)iWuUIu(q5oio7H6&B2hQ6{
zyU&*x%3Nj)s=t;tBY#ajv%iS!qT4R()L7O_=-jHmV6m?5_aULu_9&*i5e%%euG|#e
zDSPJQn&}JP9eq9bKZl$%XV{|R(;p1gZTh%=wFz&}+OYoC;ur5VZy&mL<3@PPRI{v!
z94F6AYm>LKs59cV`{cdU>F}}N>~%}mF;7fbe#`tx*+Gkb|9dqSr=rZi{TI9P`v%+G
zbNa5Xv1u_$)|c!3&KwfFpZt>JY2S_MM;BXdNI1(HwE61MKN9JOIZX;)xN+TG;Ak%N
zqU}%RjEgd5CWkM*&^R*V(DH-Ma}NcIm8T!QWM;vZ>}J+E(I-zek-N)aUDJ)?r;I5l
z(qCp*zRh>7dUW}AAYU!xxn!<de+#ee{Mm&CyuY5jS+Tc3vm&NBtbQ9~O=aq|6U$yD
zrL<Sh-~Zv(Oudp&)#ZgZrq&->q7|3E%&u0`vg>+h=lOUq84I7kt!}>@GE1r+wFe4L
zt9ln~_qp$J!;|<By_`w&_AF$xym4-Q)6xsG7M)pj(z<=&4wZGg4^M4znv=m1#L43M
z)P7%E<cu|TLR;FG{{OdX?$J9e^$Vm@c0Fx7*0ZNqDE@=HZF&KpvtFWC+SOduXB}&F
zEK;n6i;u6J!*!hX(gx?(pVnXhyl&3EORYifyg839U5f~wz5DTb@1rV9ew_T9p}c8-
zXur=~KF-|i<(t-9ees)8ye23)=)uy^Fx%*_ZWH^;HeZuyy>w_9+v(2c{}vy)K2Bt>
zH!qjrudrDXdtQ3_$0OI)A8EPqbm6)u&!wZJ{CDIoZZ!C%=D<@Qx%-af#cyf9|LL4j
zn7ZWc_CqH_S)$qXCU0-pu^~3^=(|my%a{FmlFQd|C-e5asV{>f93DH&(r1Y~U=}GA
z*L?qX>wGoS|7$LEWlT26U#2vXUC45!&K#THFUsueZyD)&s=Hp*>WK?u(z%pke`UR5
z{py1sRp%$T9$C3XWTGR>>fJJt@h>K{Rh?MA;rWZ^FM0WsQe8L`Dh+0Nee)4|w5O=w
zyQ8PL_2u#3krQq_W(s;z_U+%<XSZf4+~J-ZbGvtrxihm-$mOYVz1|w~Jdu7Lq8`&{
zsA_QEijeYoTvG2G7!$B?-ul}eZIgv3JH+mZJ%3YnYMzO-^wh)i9-X|zAlUj+CarX`
z=JWlED(Wn|+9tlSYi{~$KUwp_MWyyVPK^J2-X6AHeuHaSP3DH@IzPH!EQ)6Ae;%4G
zyvy?Q#2-qqv1Z7^q*vY#zFRUdFo3Wa=wjZ~$@a~X%wKyvCPz2BfbZy(2wkWw$iTqB
z&oKSsdq#2I<ecmj__=$NFE-17Rb3C_a^pSCz`%PNG-zF4gir!r>a^hRH%12D2)20z
zeO!7v0s<Gxnfu??TfGkWV|&H)aeY`_BY*p6$v8PRmk%~EkLMXyeiwAqm>qkPfzeS{
zRZm^7C@!nE^Xt;9(?jCBJ`2s69u!~oS?HDba{Zl^C%-JcG~FXU>$A}8>DTs{{F?N_
zJF7m9tCsW2QWO2!eNTP{J@=lYpSy3#PoY`U&+VD=bJEP|2JyRUPJUWyq#wG^<>#d*
z-jnoQ_qjY%Ju&^*9+hWH4fJjIJ^2yz*t<txci)m9L65vU^kw&@{0MsJ-J;LCPvwWu
zjOqLKJbAiQPrtA-^R#!PKI6VG-zVMouGP=1?0mm;@AUdjds?0@)z*KxcglC6d){Gj
zt3C-$o&GLv)~88#y-W2yD?8sU)zEjW%zUT1bNakJBHt%Xp8g=Ns`_N^()&|u!e7N^
zp7+|L{W3DMT=nkMPitDzm)@EBZcR$M>Yb^1;hSPtmZ{#Fnif7OcI7#*joJs-xuh?>
zKK0p}C%Y!inHm+I6q{LJs(N+mqctq)ORr45x29#+rI%iLVMehlOH?mSy|v~@+EP>P
z-gPRwCe51a6wVZ@dDd&bcICP&JB6Nm&DPFb*OIo>SUYuHN}B4Kse0j?Vpg8<nyMYR
z&SdALCtee^Cq{A>Ej85cjMO~i)vs;2?#d3K$6npqn(L<Q5PIa*t}VGPWJkTwL$79S
z&UGwlOZBxYBUhgGiV3^5Dd>S$gZAIGPqqi$_lgR86rGvBR7X28^5rS7D($ChTeeS{
zI(5|=m(-=Zr!HHQvOVaoS5VlZXw6ez0b#SEJ@b~TYad<vBt><{)O%q?zYo6Wuc^QA
zyV=(M!QaMt@^Ah*d}pt$Yk1DS(|*Ti=6Y-U1Ah;`<<F@P_|1Gv{>(pzZ|tRY0>7DW
z$Y1z*@HPLlKL@_D7u8+(#e7YE%|C~)?1gm<&)HYnSA1r^B0uNffwTNR^#Q+_FUfED
z$v9hn&Ci1`_+9E3JY!#I&+ylAmVC{>1E1OF+CTVn@EN~JeZw>M^tuT@nT_oa{5klP
zU#C9cSv~ty`vrdvKH*oX7x>9+WZ&@T;0*bWpN=!+ZT=nj$lhz8@aNzoev$eKKbVim
z%ltd=fxXpU;m^Sb{4Dhh&)DPZ9z11_tvm3PJ-SZd2lD~>KYtIrXRo!t@QHb!{F}cD
zr};Phd2ovVOWlO;%zNY`emYK-5BPcTF8{JW2i~!l+E4hzTyG^FpQzb&FC{ZGcj4L>
zhorQ$ssx_02h<sSXWl7q^V9JT|C~Pz@7VM03vM5L&sXE$bl34c-?ujn<?K~5Z{9hS
zvsaciq_gi-fBVt)WjT9!NO=B(RQ58N2k#z~v2U|pahLHeUs}1s?q)0V6)AfhXWc#3
zap>-Wle~|f=;Rkv2EDEK+_ppgrsd0Xyj=c=ii74&F7fZO^*ryn$2?<?<8*1Ac~fRh
z+1-3&vKOnle^gG5NOF~sq^J4hx$2ekzHn9rz3|L(<7EH2=fpXMGPZS7r}fR`Nn5XC
zDd@Xsl2<xgPMU*t^R3&HpQL>#zZ5e?^2asbnKmoA=EcP;OqVQ~SbXU&PyK-(qH=0_
zX6F(FJ_i?FKFyPG@yvo^ws}FT0#@!`ym|Jf1?6nT`X6dGFDqj!T)ku6`h9DSJ2o-j
zkiBs8;A_5TZw{2Q7nNQ3#dKSC!@Go1_Epv$o0%=FJ?<WyExTZ!LmB&W{T+J`pJwQq
zbzgGF*#~b~tDnR*JU1}eu-=e8uPk9#bNwaR3GWu1<-74F;Vhq9xxy}HQ)`a9j4${u
zy?Ic~KF|8a9meN;X5|ZZGMiXiY;HayJL4wfGd{iYgq_Vs)+_EXKIKy@SJ>HnLUzJU
z#wUEo-Z-4$JM`v3A$y;7#vR7Td}8Gbb~GQ6Re1NHfW6IH;|}9PKDKg&9n1#SKQ=Mz
zTff*;&#Y&CV^i}1S%!BH^4aUGU)*k-Ci~%SLOy$BSwkv&c-eyO&3j}YyghJ=Z`GTI
zQ+)5r3{u(4tXJG_oFaSSt->k31#c4a*o&+yHZ|{%wYbT6hwon5gR<uP(m(Dk_|8_H
zcc7Zt*8Ila#&^7*?l7F^Ey^!=&Q_M!P~Ci6`o_Hl-`MK6n9tbT_=fk@9ffaf8_X9J
zH(!@Nv$OFv?~^+VzOt<`kJ#JziuchShI70@`5&IKtuVK!?S9h|CLu7DEiW&js`--i
zgnJ9l^4_?UaF*9CU!jWG)SP24;|tzPcOHCZn`i!F594!Qv-|~>%qHd*#m#4=XY6Er
z#;cc~P}yu`zG4sK(|TUDe1*#96VelQGCtuwcE{li@1Z*nKC<<hXY65o%qy0^prZMR
zw8FgyAK2Q=HTEz*<YmiOs9-iQ|53!OZ~mf)S<n1NQS$+5hI<d*v(=fu*xfiy`orCX
z_iT}Q4Nuv^^A?mh?~#6R_rNLMRd*Ur@xIG5c*<60zG8Rd6zL0h6;AQiFSwKNj;+YN
zqNsU?w8c)wJG}Su9+X{r@3~L?>%1+`RqjpxGG|Nmq<5Z`eus*K-g%b$Z7LRe=eb?|
z=Dd(<p|_r!)lbd~dA{Vv<OKgk#g|@t7W)<1dY<!KtG;pGma0jwJPZArii2Kx=KDF>
zzWkzMu0C^KNR`k_&s@Kx;!Cq8yZP4(*?OM!T&UhSZ_2YJ=O^3vzq0B4yyWa;6Mv`T
zOEV`M`5P4rJ@cHd9yu@M*^*O}HT-AUcz#-PV)BVOER~mLOg=J4<k^zrlV$v~Y&t(K
zIXYRy-^+&c<C4RZdHl6(IzKEqIGM$tsrb_L$$REpd8(qPo@=T3LFK^Ye}12=SH4%#
zRsUE&cT0KDw8<Ohlsr}0JNc8}A?uT;JVX5!6<xaP8SJ;odgVJ6P4$a&MV_i?sGpsy
zQhsUj<VSu*MM8Hx^VN5reNfJ}&-lgMgYzUmoO3wOQ<lEKy4l9~fN}F3$vZO}=Sn^}
z_n?ezoAHXdjBk0;(iN<mt&BV7GQQz?applOTXEV0E9QDj<BYkCuX)1K7g#l07&jO<
zUy<B1lkpXgU;2YIwq?c|a~WUq+&a^6mgm};gc7zz#sS977bF|bDV*i8OMhV5d``09
zTtYG1EMo`bW@F<Ma~fw#CY*av#5T=X!?^jBWWc$EBDP7!9dj6GN;;fNIKy+~jKUcn
zx%3AX&Br7S&LtGqvvnDJ%wc@Q!<U|5(R@f!;9NohTaz(^akIYh2cu>^;|E5~y2c!H
z7$5NbOM776yiYP?CgXjcZ)p=!*&@;kQrW7EPt0b#$Ft_lf>S&z&OFFt3r<TgZ{8*8
zF_ZBw&!RI7r+A*FDVR5_8+XiZoGf|Z?1NmkJpCWFjqkau;x{~Jt>2@6;d9en@fZ6P
z&U1g*qwt-zJTBom>kj=LwT*ANv*I0oH{BFJv2VdS?xc8z-%M8e6}1QFi0|0vaE?1F
z{=l!MtKuv6DV*beut(u5YkpkAucpi5J1QGra(l%){Ax1OPx#zqsxML7_=5Y&9)&Ng
z3-mocH=P%+*tg)b!Iq8dg)=njx1I1?0IGKaTv8;rHGF2Bt$(40ahCX;O2=p1XZA3B
zW}U9Tp~i8hc*wqnPpp&mC)7AT;Xbj4;S+0eoWe8K#JCSXn2w8^>}&YQ+N~c@<M@bM
zAim%S(_wLueGMO2oAm{17-xvrR5nf*FR5glE}m2A_<)-s{=;{s{o-HtI-KUN{}H$0
zdy|g-flp1^`WLDl?{R;KOL)o}8t3qowOoJ3C#K!vSN1xb;(ie)@RT(m&fq)KPH~$`
z$2;6}_AtC-&DSr8KKP!iCj7$gCR^<Xv5oUY->h>eXRQotNN3%ty<;<zwf2G7gKxQV
z!UJ|Q-4Z>s&Y_I8G)!PO(+$xJkq2LMJ*!`Hpp>;J?7}XlYocq`Ih3*%hB2hGuGFsB
z%ydO`&bk9<xqQL{b}?NN-4e+-TXaq2!53UE;S17O7iu%aI?fWUS$CkAb*}b<n1j!_
zOu`$|SkuEM>|`?5J`i*8DVI)oKpN{*?FBIhpKz&!3+!Yv(r$=3I774}(s72U&AJ1H
zti9Ux2{8vBafyUa*uiu}RA$|Q0@hY-g_wg6xLCp&(pcle9;C9yh8;*{jSdso!E`|M
z&)Ng|thL$~HZkoJeX~~KG}neT4^DA?37fE;X^&_`q~lc4fXIV)xt85&eda2;>_8rC
zsrH0TOuIx~A{nQMo>}XV$Lb#@u$@U=yCM4EZLT|hAL^@_?hAjJmvEl5!hgYYmfh+X
zY#rwbKd^Ls$N6r~fpeS%{sq-cw}r3FYxu^pS$%@7<6Pk*^BBIdl=yw9YO+)>ux*?p
zyk%a)SC-Z40k)2Fgb!FczT&($hv6&Ba`l2@rpv-p<~4j_$@M$%j3wL8po;0D@Cr-E
z7n~0M6P~fmSN~vB@A#b4!hb_0(^=sKmIr4Fr_4KWhSR{mppxmd@DxkOr<@x829-=F
zg<a+~oZ(dPH+aU9<R|cqrC+_k#_=(yg#U&LrlZ0t^B6v|w5uD~I6ma$@HePnIw;&=
zd2qULg{9+k;R4Hp(}Y>(F??XDSN~w`c%O62oQC%-)#@jTm~_;4)E6=B6@D_8;XO;3
zU%*qA5Wj-*CQbDX){b{Mm&|E+#}eqr@RX%keS-DD$-+nG9yrPQ!0$sD({{l>eFw@}
z_A1{nX1XW%Lh|4{juQ6?=`0m)6Rex8l{XkO-4VRgcc6@AtMUTbgKs!e+yl~CHYzvB
zGR_s;)0c3LBgS1Jon@_Zf^7Z4R~#Yk0_iLTZWFAU%*z*+md<@~NJxu|p@X56Ww~;J
zG1Fy1A4$iT93Ji$(pYlbHl(pERu+(LoF&-O$56tOY1d%gbY8HcZ$UB39OWA_jn6nP
z^gKAjVdS2W#xg^BhfL#Bj#E7gidd#7&yZ<+!lC5ukj64WS;CmfP?^KH>3F@MMc;x#
zmLBB@nZ`#PLhcO~O@{>~`W6(hv?xo+G(O-s(DUFl$DW=Ar#W`?B%J18a%bpx@cyY<
zLpn=cmfKCA1LaJsHV3b$aJ*p7q$_;F<$yWkUBNesCyW>k)+rwl(za$icyQmbx&px%
z$;NvepWGVEnY5Kx7%^!n?~pz?Mes&_?}9v*AU6l|CJp5Vqo$pLCwd>8<ap%vAcdts
zxk9?}HhZ1@gWrw!_}~0wc+dW&CgD5tF8LFm8ExcG{5>$2|He;-ckFrg3BMa}@n5J*
z_{O|Re#Pg;8~g|A8qTrD*&94(UMD}{bK^Ds4Rr}$nODhod}g$e_xO8YHvfXU2Va=;
z?CKMKHD2PMP`BVL`;D4}v+Qp63cnal<vIQ`ykNgn^WZb{Joy)Y7@o76*)RCXXd-X%
zx$zADjGqk8*!Aoael{A(ulU38lwHkU;b-Fs{s})Bp0FRQaX7<%sOG^(=05q1KMarA
z#q1aSXgtENQ1{>ibDO-zABKnQZ1xI27!Blqd}7qEmw)kzQBVHHr^W;P40R9QGuO$#
z_}ws#|3huUd*(>HhNsNob_>2Y?%{t>d*BrNs+xvV?C<Oho-&uoulU_Ch5tgW!YTFz
zH3{#Si{vXlHSXZI_{ngG{hr-}ZH@PNf8;GFXRbCou$$3V`o`UccWj?>7|yd5nHQup
zmzg!}ZoJKVBd>lz8S@tD8Fw4ru)WGrC}Z9ry<l_Wb>1^K8(y<L$yrd!yhb|WZo@0K
zM>!1V*n-SIq%p6Mwz%6cn|DUuf)eH>(ixi>&7>nXH(uoJ$a6T$=48&WtI<UI$DM}f
zY*yw6b~c{n&B$Xo%XThDp_n<%tYK&4Y2Jvu1!vf_%pG<%p5*nY&r>L3PBCNH*=Q&&
zu({Ddy5dg5V>T)C13Maz@@nKM6f$>6Tij`Q$i`*vu%q!HFGn838Mb{n2Trr?%4s;w
zwk=1YfVn~X$L)suY`@GFq%zk?-?;5CjW^-ufvLPNauxEKE2KAUYSfZmu&Hr3ug}ef
zyKJw_6jGT>q&qe-YDmwxUEgqrZC;K-9<!er!}iAQygOnad}rDx{$lTedE6i39L}?r
z=`W~mv=Kj0+<1rk&d!Fp+z;X&d}G=szG5%KTh=svh3ZBt@s7O=Z&+W%Jow5~toNXb
z(Na8PFT-orF#QEpjTYh!#f?|E_v~bN#p<X3;2G00agDtUFIjKJG@NC<7L!o_g=vv^
zKyl**?uIypv#fUd4=NkaaTmlTd}f*@?oixlEPi57!%XgkxCft@rip75H=g1Sh)ejy
zG)cT;55r7uhq#0@tVd!L&aleqKd5Lt#%&On@R6xY++z>JBUV2Bgo?&P+yZe4ADEiN
z8HyYA#Xl4^>WM!nYSb0y*u(IE^`Bn-gYw3G+!;F=?z4W=oA8t=La*Q{Q<eCM-3<3w
z*TgJ1#kwNq!8@j4y@c|{UECf!8Sb(!ieWg#`b<xuyir}eV|T-3?gOz8-ZJI=|Gn@2
z@9KHqzy5jmx^CX_&pYd%zqNUHf8X2dc5lz`dvpEgjrLlr|G$dweSKQ<dh@?mtLx^p
ze_r{&{#oGvFL(F6oNo7Wd!^a_XYTP|uGYM0uABA0=KTAbbN6e`x_?f$`+U~sS^U1I
z(|?{?{^#WMKPS{bPq2S>v}Q(q&GG!2WAQad{cDcc*BsWbIV4|mko|M~z0>8Ddi$R;
z%YXQP@BQz%)1Uv|ORv<afBMz#{l|N!!Yj4vzX#uY_d4#><@(ww?VpPEzx&(0n`?7t
z|K8gyb?OhS8}D(xnaS{;<%>^3InyrT6UK}-!YAe)n9F%%Cc`_HJoN<Y##@{h{1VET
zHVLmVZoI*Hz^~yPOPsnvI@3Dg3C4}rI5+qulrpUn?l5Mw5cZgRU^eFhzXv5ud1?t(
zjh8ql_$@fga>FO#EQ?#cx`Gv>sW8V}h8HZCd>#}t%@ckxhv7Mknfd}tMiXHR<Hj?b
zGiEY8W6@JjuxvCEUNMK^DT|uAf@R|g&IvOap0FJAaX7<r$mc;JQ=f3g9EQg%V(JSl
z8jo-)_&q3KY7^F&!|;%WO<lo)(Lnfz5u?8F3nNB7;TuMc2RIr09^^CC*9pIv-7t;w
zgKt7UQ>0o$DpR=H0`tZ_oDX~toMKt!({PIAoti-^Q<?CJ*$q=TFZe2)Vp-smkjGRc
zTw&C>gVSOr!yT4;Y7f3O+-Lt`x8OTtwcLSfvFYNBmdsyl623F;;y>}3!G`}t?SZ-M
zH!2z4G3UuA{BF3#e!(u`8{;Pa74@GRZm=J)YdFUoCvWhaaUK7J&kfhuH`pb7Wn9JI
z@%hGe&MgLO4aK4&GBmbrU=z8kp=ITyrDVWxjyXvF!!yPe{1&whv)O0ZE%?H?gg@gm
zgBgFs=Z1^y9d-_9nVsYrel?iz|EOtr&TJ)r;Ag{G_6$3Qv&`pg6h1Si$t52&O=hTn
z#;hlw@Uy{)e?<+$Q)V@Jg`W*4*e6snJYhbTW7f7vYYjKAkIw29FEY+#AMMmzvvAQO
zgSCbxOs=eZd|Ku@cyCmC!xA`AaMFy4PVPa*R+k=m^eVSXau%r^HB}DnOYyXr^w?vj
z^3lF2Rzh<pTDV&o3(cBna=fEYBwgj|#4z`wdRfnN9&1zFvW$gZdMs6**>@#%iMjGZ
zxxOjsDt9Ly=rOUL^u{C4ZI$t*2Ob4(R<bJ#RBlbY)N>?t$?1u9?vrF*7OJ?}D0B9u
zSX`Pu@m<fB)FrnjCb++nIeE@whw{z7CzhAqdaO}?+UH^!G;^YmvU``&rK$Z%_OJeW
zoOfR%|FEzA$@hux+&9VJtxI{n*hYTp&lTSm-<|l+Zqwh6@+EuwHSC=}2flVMa+_o%
zbl0O+`DibT#ictQ1<Ik4o&2XfqU@^9o|Wc2?a?TISJLyn@~w%RYPLLIY$-qS=Zh;}
zl&|!M*c*Mm_{_b(SHAOS#|Pz;hx-NWz5Zl;TYR)%!oKK_#+iEeX8FIhCeM^l^efqG
z{W(#pva{dGp6Tz4&&ucft?VED@%VhkeWv`ypC{fgKHaZlzv_?1Iro*ywvwHvJj#`Q
zB~QLve4u|<&6lUj2J(q@CciGu>c3Uv@+<JAd!Aj;=ZmxZUF@U&a+Im4%U_jz`F8RB
z{tq=zPJf^Hz`a(!@@L0s_xflC`=CD_dCFJJ<r9CtIO$$4|FBkM`@}bH1!i5J1E=){
znP0lQqI~h){xvl&smf;3JL_0dm2IUz)|I3#wv}F4XY&2xlwLpcL!T$!b}O>$+H`Sl
z|0lakw_ki$R+qk-XOh17MsJRJ)!mMB?$y!<^PZ$FzSEob&}`S;6W<qWNw2M|57{pG
z+PzHrVO~o5;%oi)%og4CC{Z?*o_g~{@#1s67jjC{7N6-olhd+u;#0Tj(wTWCy9A%R
zWtuVF)hJnfsn^H+*By_d#V31}%op9^C{i|*&ds}$w%Aa5?ahueZd0Y7-s~t@bi4C{
zjY!4BIo;1<T+)@!cABX_nzQ1w()I3lF<<Jd0$;nX5wG02;=PiAIA>gmM@8U6*EZqG
zSvxsCFFM_ME2kuN@vh!aYDvshf%CfG_-sjAbn#C2tC%TO7vH$d7hXEkqh!&ATirSO
zyY_OFEAQ#N;#2Z$(a!ECW<@HubYB$(-gPZ27Z2RI;*@KcUej)mQ?6Cwu{$|VyGH8W
z+TFqbzJ8I9jrhyBE!7j}b^p`*wEM+r*D2z@aVnL9Q@R($xNHxc(fvu!YmdjrMMt}p
z^t1My__*kJ_o0}Uii<P474(&g1s}UCmsZT1vSZ>Sw>D|RJQ4GWvpap%weGGcTztG&
z%v@`Z#yOXM>6^Y&j%S>5i!*yQo1<LmZs#+fCsq^Z^xiS6ue!~Vr>ri#H?O4pV!q&e
zH-9y!yBT@P8p11o#a*esIInk6j?4Cm_uT5FW&K#v7hUPRm!o1n@u^Fy+NwPrUly5(
zZ`_%2)^)LPpx=`n6Q_2&=m!-CzHpr?e9`cbQRT&%-IpXvZ+4t^i#Fq$!?Ep@TZo?4
z-V<Mx%*E%%sq7A%)>-eMerV2#GcGg57sh=_Q`VIJo6BNx@u_nI|6g6ZC*LPLcJA%s
z=k>c{K5<$*m%LHUiw_I;wEvJxlKEA;;?u$t?KbkaK3$mIerJl`l&6Y^+OOG|{0f-f
zZYMvfCganh^W8S`n`&NsT6nJALjKmAj`s`ic0Q8Z^+{lM`z;%l-xHoW&#32LSm|-z
zrNQr%&63{%^Ex@?FExFiFumPJ{#1>}SH*knM{Go%Ep)%QFnoLG1GQbVJqi~cY0r^g
zRQuxF!mI63@{c|Ryl_rd+hrs;?MS<ayj3knsZx+B|5>{b>xpuYT-t>t{l1t@oNmQ`
z)Q;u1z}!wQ`9q%rX0->&Px^FWPUknZ`bE_pUl$tlPps59=RAe~U}5bG@0U)QVp*F7
zW;Z{xae2DHf_<Z0NcDubj%9p{iZ8r&%9d-YK5^2ykl(h_<K4oY8vGY^OVSqZZ2ls1
z<o50flUtwgb=i7+U2wI1iH^vw3A0*V#HDI8PC1uzf7IKOzVP1t_IqMon|BGkc3R7Q
zGV(-y=|U^+lX@n*1>QQX=ML2iNmD%CswZx=IpCSoWbTEL9>t31TCK#HVqbhNQ@qpq
z=84{v^o6%t%kGMOsyXp)p_MK-ub#>dff=n2GWciNdXy<1YW*Q*6no;V(-iJRy)8Q@
zymhJ&yR<pro>Q6Q3jWZU!Y%0wx3}Km`(?Z0oKt*)czspTgm;dWe79mX-YMK~e!#L*
zP9=SzCby&IiqiE#9o+&l{(hHQOAcE^$+0|JpwFIax#GQoIs0GPEl(Bn*j43JBwAWO
zE;y%G7tZ&oNZ_GkE4!qUoC!z8ghxze{D-VH@)`GW|FC_L%ebqE{j4m@(*+v-d`;FT
z-YTebzu?^zeV~3`^D>!|a)GJMt6s>ud}lCZN?~uYY?#SzV|U;qV=sR~&4EYEBJvY{
zFdSi*u{-dAv6Ww;=D-7H7I}tejPY_0o-)SD9eBzZEhq4U;Q;#|+XMLy_Zf7Vf5>h4
z-k`&O;8TM(|AlIYd(0o?5}q=K$~mMSxXZlE=D<6~QvL~_7<RGOyHqkvVLxN*@Q%@6
zPQd)YNk#+yf}adK*!S2T$X#-OVvYMN+064Edz4>FW`1W#deU$zVx#nlq6McL4cLv0
z17<ZoU@I~TxZ|*uc`vU@s={uDTIQ2~C;#@J7klqsea-)$vL|vE>|z#?JCMJ?@)|?O
z7N#paPkys6NndcqY%?<>pG$p5n!?#eGxkR^D~c7)H`=kElHn*>aG~)EPl)9O`6mu3
zY+W)h3KdQ@O0iF>F}kqiu|o{oE29b18~5?Bq$wP1WMk)&Sy7;Hxc&oAi$%aAhc0Ga
zz9SVD7pClP+{ELOs-VrBD7oU4eeS<Rm9>ADf0Ylu5PaoIy%*#5lAlT*Kl~Te&#c$`
zZ@Kv7Pyh5e^_K!Zn*3q^C~y9M$G$_?X72raoG<T9;-mSG?NeU7TDIrt-EXfJZE90|
z32GeJC_n8hNmsE}e%M!HJ?X8-R^^L*;Ko9!TbC?nnTnP2-aeCbmFp9q^jxtLdhM}V
zc_paPVWGUV?@OA>m5F}tMaGj}dekpfp4fLKO~p)ktz>42%EgISdPJ;*UU+1>{gOFZ
zyyV<O3-?<xp2aFA%5x<(i&c!36Z=XmCq4B@bz3FVS+wNjL>2cgna(pF6O?-;J<oU~
zx>d=XEL?JIqJ(>tjA!AJBNGMOtz=FXEIBlh!(B?ovp~f_xmNPzX^&X9M@E;XO=PNf
zXOelDud;vQFSkoZLiatYl~W}-PkV&BU6R(!SJ^XhO;1Rwik9--UXxUn-4kCy+982%
zO-7gQcoZqyN^0h*s4E}r{bDxhw)=khpLJJ$U!2!pVSnlK#dq$z<e&cJIPbnw{_f8c
z=iGOI+Bm-h-@0#+KU=5rJ8*7)l6}+P`i^t%>*de>Jn>c8QhsaQkzW^Ixv!Ex`jg|F
z`%3w}KRLcGzTAJOCI!@>SR%jmXUAFhY&)sH9$%DA<y-4meg)3zx3mBBXT@jbbNy!a
zm;P{^bx*fD_2<MH_ZjlBbtyjsXZCB^FZz@5N%>^IlD*Pr!6)v?c0!*6XY|Y27yViB
zQMvwDznFc{AB~U7NBa5fjsC3opnRyG&0gpa#~Jr{yHB42r}yuu+46Mpfqn-2U%yYh
zU%an>OU;q*7w@@8+8z3Iacch;yHme8-YaX%hyLt1<z6oD`}4%R#k=~K)VO>PoYKFb
z#^n3NJMR8=UB6G9bT5$KTl-|&#rJOeq`&5ENmstt`=vf-%kGKq+$zluZ4P|rR&KUw
zv*0_o?b0{%LUs$jb=xd`GA{(wgh?=8wE5y|w_>xRyB_D<)=F>8+p=roE4M<krp<w`
z-15zw?!G8dHkY267qUz6rCY99(&me^dfm*0?s}YcTPWR_HzjTH`Cc3IS9dy!7oY7l
zF?ZU0ab~ZPxzXl&!DnvMr6cn~(iWfU)i9q0YR#PJJ(0t*^Wu!&BRL{zi;wrpm}lMT
zC|rEBSH#@w4oBhQ!@WG_T6a1M79Z?oF=yI*aeD8boGYoydeXT!H42mu^!_vZbbCd<
zvaa;U+%4M!r}b{gDM?k{+xyAv(Crha+(OM3ZMt~ZE!b?+?G<^-^_tQbb45~>HKfnx
zs%*bFx%ZJ-(I&w=Zu!zXW4}~SeDAtf{O!(;?@G4fZ{wC!2hQvM5HqFv;@s{xF)h^>
z-@0xSzZ&OKeesR!Ch@B~HNGj`=zbCNrRw6G?il?^#o(6J+PEcEfpfYafErA*y94yC
z_MSNFx=eg(oJ&>U?Cu-&F<YK3x(I7FED&G1^TlVS^W9eZkM?AIRyx~#A*STnqBGrR
zVp=LMK6RZYK6U4cPfDk{)$}Lr(fFifB<>hz@=VE4ymjY`k4ne8rSy~bWPDUQ+AXB-
zwCBYKrNiA^`bv8;J}4dRJ`nTesgk~U>CTSRuF-m2dpJHU+TZ<0um06;kJGL-;%{T8
zJXO*WkKO6<e$k%p4|<!50;hJbh$$(*IHmiI-YQVTtwh{)C&ww*V)2u)U!E-5(LE=I
zrTpS;*F53Beow3e-@8<+J(}xr-etG&OTQ`UN;bkz{Yui6tc4%?l~_-F>#|k&qMwQN
z#5XRbYF%?V%9N~x_xhQnE7f1`eByJ(O7OMIYT=cBBI!yN!b|<Wq$yqL^iwY~p7_#b
zsqjR<D``q*!fR({lqg;7yy7EbCHTT6Q|;HB6UB?pby}$3n&VNdWFkCwrbe-nv2dba
zi6x|YHm9R#(aBB~^{zP`XIv%-_s;Y<<C3UWHRnX(qGO#B>QQq*ZJGK`0d=c6CkhrF
z>f}(Dn&VNRWFTBS^TcVFShYt+7pHYHsWZ)ak*~DB^OxEsBf<MF)xxPWIZnHTt6iF{
zk*~C;bB#|(s*;xQU0;(_rQMyc)Fv4T-gOC7Ycjfc$E8TvcBV$2lDhCg-!EnpZ#(bj
z|7myS_l0@w74nxpUwG%di~nh5J;!<Ho&0wzPn>h!!GG5-<#)ha=Pmqa?NojT%xzDS
zZ>sG$=e(Z(Y~_isikAFa?T-Aq@XC1=|Itd0bIvRI_f~RzU3j_uj!nw1fS1lo__tPe
zoORBYldARjqG-zBYRB>`U{<@G{HK}~pB2xwo5^3Q;W+D@E_bTt#2M!q{IPZ^KLhG#
zwrj~Rs>%4Ic(PqdUg@*I6X#?(q0a#`+U4YnYF2zyJk~BIA5^39QSnGSpS)4ciVup1
z+S%lVYB<g~$IE^C6fnJghs~C!3lFq2$p5N7@qXdH_ANF?zF)ZK94U9`(}k(+U*t|z
zbG%p7<`1pxIOSZ<?^}7|-NIe%OKe=e2TW;SP;X=MeZn1Qf4MGDqppB|ukDj<7v4MV
z<Nm6*C0+4e>ld9ZyC=MJsuVl4IpCdBx!9)70`Hu*bKlep0kzmRbDz`;Nnd!QH9>sQ
z<_oW#ip7dzJ<d6;<=&{bW!HpPPK9Dkn*&}s<%>DRz9>;N=bot-vP<BlQ?6Lj<_oi0
z-Nc1r>pjjoE#z+0o07Khe5;N4tC)`Bg=bq$#GN)@nAvJ1ZnRn8nbUOcNWGA>g{N9I
z#An5L6fHc_dP0X~=Y<)qM|4Ee79MYv5zmV0C|r27RYcq?hNE!d;Z`1Tt(cC2g$G+%
z#F;i<nBKZa=Sr%g9(Qh}MuFmi)_-E3qF3ZA>T-Y7-BQ0jU|Q=2osv|=y{(_b4n?0h
z<rFHmXw!wePQhZEqF3Z8YI0xH6-iap;6AIXvi-v3)<<GRn*{DS<#X?p{Zc*Qz2jc?
zx0W5>6>Qnx$}Oo5nAiM4W=i#ixy^56TB<L+b=<~&RnDdQ!W+j;>{l%{zA4;jej)Rv
z>cX7n82(Ac0k0j`)U&UZTT&G;r}=@*l&TA}n*;c*Y)_nZT*f|C&ZR0~cJmFHEzcHQ
zY<A%fDh_zzxPX1B<%`b>=bNqgAK7GlRyf;yL8j!{f-}u$WLhdOJawGLKGkx?CxuhZ
zYW$OIG(IU9u{+9{JX0`aZ?$~!QQ>&A6n~OU#z%#t%|iT6HZMLX9B!`X;#acC_@HpG
z`GCxqrwaP)rIsD19i#cUY&bqF*x&qz@0GR3X~!D&x3W{7D(JAsT6(-+u&4P0-=?B~
zsm&{7O3E)xX@0}E%G%?UV+p&fCC4epV)m1=U!E-3(L6_nrToHe$2{&Iu?_E;s>C;>
zGw$KOu({zb>kB=F^GqLf6v`RP>%|h%8Fz5+h;4YwlqK%4yWuA53B3j9n3BX9b~9LU
zSHvEe!@5Jy;T%(x_<>ywS6Nr+DV$?^prcUAm@n3_tKl;1j>v|WOkUy+yBf^66E-)P
za!bTEykNSbqfo-QfZJnp!+F*Uy#>XLbGUEBG(2Ovp!47ilaY8r8siM^9Wf10nd(pJ
zEGS}}!aXCV;R%zHxI-G_1a67V42Iksn;VX^TIek(WbEONh-rAlBqZLjqv0^Cgx-Pz
z#ujdgn1%;T2Xr2sX4<2(;55??orKd&OyUeX8uqjP&|Q$v7$tUKd&6GVlt_kYtTB-d
z_n1D3HEd_l=3cRhL5q7w^nod?H*^=|F$UF(Ic#sx;BMH|u#@$K?t_y|kHj9NFcxrE
zL^s@KtmA)B-EfcjjU~f-#xF7n-x+qXpD1RqVLxGeU@r3wONMuhdHf004Y!yt$R&JZ
z*u=h~xZwu#0l9{AjB)%1&l%RSPbhA<#=Jo;;VZ)`_Kso(3w96N1GAYI$UXSNkjIx$
z)o_WqeuCVBvy3-n63#NZ@hem@n6h)&GQ42CB=g`i!#wsEHVn@h&G;8oGMKPi6gQk<
zo?*%Gj8Tt2p|Zh<eT5CfQ${s@h02B#%o8jbo-iJhaX7<xNan#uhCcQT8-~Y>V*Cp#
z8jdh4$UXSL(8jJ|!|;%ijbEXH!GQfo5raPaiy{WSdiEPd4F{MR<Q}|dsAGR&-7t;$
zgKWZkhDg4KrwrkI3(6bzFh7tzaEfu2Ov5S0cYFp<8OqpKST{^zz96e`igAHV!aIf{
z_KKp09n2P%40jms@jWoR@ZMn`^H;tt=?eE6zwm6ap7740lI@Ujz&nR>woS$Y?;N%>
z-{cFi7I^EhnYsQXUr73b8;uF<i;OS4b|_{mlJz*}u$Flv-xjM0uN(^5nv4TpIpniB
z$-XF2FlV007h)yw(jk{E$@s#oMmKgLS&y?03z-}Frlc)6-)O`BN~WWD!P!O=b|>Qt
zGaHTAjf@4JIZS7c<O@k#aH>&*eU^+z(Sj3=CwN#aFU)8>!Xr|jw%~Z9411PLN8y5_
zjUwz`G8}~q4ma|!YsqvJEI8Q6!p>xTVS3{po-3&edd#_!8U+dm8vn6<l3tOopv(M`
zcZ+$zw8jlQC8-K~8$Yofl0I?DA(U;A(S^GX!EBqPSL7*ZGGF8sNmbBbKFh0OeqnOs
zBeo(VfjbWQ%sc;n{@wq+-hS`@H$U6I%iI2c^KbEQ|9SNv{!IRTes2AnKh3|-zqQ}?
z|H?n--{;@hZ~A}br}{Vf8}%>#eExNQPJPV(iJ$#n+pqb*=HKF9{&VUd{F(gg{OtOG
z|CWD`pS551f671SU;eY}Z~WQ(Z2rZ1m;ZsE{a@HG_`l@m^Uw0<>#hDj{FDA!{%n2y
zg+Im5=AWrQ^QZae`KR{N{!jV2{FD5tdbR%(|EPbGH~R1J&-j_V;s2JO&p*l^ub29t
z_$U3N{Ly-$|Bip2e~>?1&-GvNPx=S>gY^gge10mg|G(sC`)T{=f1H2VKg{1>|L5P!
z-|naFYyQ9aJNc=+&i|O7?(gUCssHeA<0t>A^(+1q*MC1hrT)#omA~Cj*_Zrx`N@9D
zzWD!%zn`DX-%&s35A*l)x9#(O|9SU#xBq*)>TeJ4x}Ue({rknc$?5Vozn{D-PM5d-
z{oq~k?*6xSTYq18XS}=rja})t&b#bo@>ak1yfaRhzh3*~&E;MEukBX<Uhz&iUEbpN
zl6Rle<ge8FeJ|YH|FYh0>F){eE~m+x{a$l3{mZ%qi@5gME&9FUrh19|h1yGRKJV;*
zZa4RL!Mn{n`=8lme6!r_|I9A!+rc}}i{ww&o_Z6!lmDsR<li3egm?1KtX23fxw(Hv
z?V&fHe_XfgtA2ZV2mfQc?%x{kChy>XWY_*%;$5)CHM^GIJnxiu@Xx5-_vUeZ>U_Q5
z1vk@A+co}Xc=vgG|9!jK-x)XC^XKoa-Snn8b-woR7jGwT=f7tc_HE@R{;9R^zRi4;
zyxsq<UC_6M)tU1(emmSu&y(L-JMWF~_WsGW55862KK@qCxbmczpV6fLoG06E#_c|l
zC0u#JiO*=l;W{1WAII(98TOa2c`Wnz$1$_|b%wo{-Kvin^%?fW*KE6~r#Jh}#-n_@
zk0#WgO<KP@Ze!O@Kf|u=*Q~PF_~$$lnp|;2iO;aZ{>?+a;)=sk=M38Pa~`f<yKdI2
zl}r1iUM-)SH|r%=aK#~^K7*F&VZRRY<rQ4wiQCX5-1C50ddC6(2aL+KTQ0f^+itnw
z$Zc`J;eY*|)@7}!N!mWyJ*?ulB)IiV^o0}E1w?*c_+=r<<aA1Q^LI-Tl?KPFyfQg1
z1uGsZ2s!E=>X%njir7(5s^~OP)~Z6lQb~m)tVL5M%OvZ9hLf^3lc0?2wWbzV1E!Ya
z{BmguJPI>+)jG;v;_B9jVR@*;Ca$=H!=U@eCJz1X7xkMs^tx|s>Nw!ap!X<Wu&(<>
zbjvi?54uVDf{|j4se<8R3%7UdaebhB=#<hbou*St@5BsK1<SfuM7K<Fy`ZafN@;;k
zQl4N@cg3cT9j+FUEO(Udi9IaqxbOT!ZqavvYQBTj9JcK@Y+K$bev)B2uUN!i_*|fj
zud%x0w(||SMc?WLwzSW%ZF!^kN=E6Mz=rk(#U0n3&ses+R(v9}=&Qh*_6XaSSBj5h
zn9eB%@qc_Ku%g|<wq>^S47o*L1eUaC6myuhM-+ElbncLII;-f!&sf!A(*DDy<+-92
z|3Oe|I75!<tl~KtrOyIse2tYIr=26@7M)Sl;&-g<IO*&mr&Rw*Acc>yvcs@lpt!@J
zy~3vDv7!|J!HSNf&Khz`9|bzvEo@pIDsu5VR&*S6=8$7LqqtAz&}qe8GEJuyx5+4d
z5NK%sVcl|H@fY91rvf$YH>_QzIVV^ind<yPR_VP!Mf--L4z2bDMIF1HeJoq<D!$@V
zd@4}V-ciJ%(LTev<xaigJQ<~T0)Bjq<sI9dckn(c7ueVQLiWf!#}9l?=M~D>7g~4N
zG#@bTxZ`+7vSqI01HMOP0^6Eb$g;duNMl#D?yzd^kY#zJ@Pg-2sX#H?Ln{u;<_uYu
z*9u|m3#~dVnj4Het~l<IWO=3F$Nn%)U|F+<EXzxUTRcr?6|V6ll?c=?Y7Q{&xZv2p
zr*u}qj{Tu!$2rFWzNBJ-S<Mc{9mdTkWLjoACh$Ef5}4MkVcc=bF@P_rNMKTPhYZV1
zM+d&7GYUs|l+Gx~u|KrvIOb@;msBXw)$Ad|@<@S?J<+1$kfQ)!Qh`8IGlOx5e)9*T
z4!!0FMjg7%95O5q6#lV2G_UX2=a?bMa$n&a+r(6X2)4phfvV;c(k%BB*6=JkrLcnM
zQJz3BTcUZ#E=LbZmb(gzc$iKpJY!Qd?@({<kZzgmc!2j&u0US>kH5|D<*WX0c+S74
z{=(<>yY?^sDV~@A@JI1GfBC<J=lnbBcl>RBE1&h>;dlE@`xE~bo|8}d&+wbQ-m1Rh
z@8LQ2JN`MIlaKm;;8*)q`xXBb&&fadqxh9S|6jwe_RID=em1|9_xkVftKF<V;d8rb
zy~N+<7xGvBD1PBzQ19`%{k(m}zlERq=hWZ$)BH^S!k>p{<c<C(Jma5HzvEByQ~6VW
z7JlNNQa|HQ^AmZc{|?XiC)7)PW;d+o_}qTH-rnNh!jJqt^$~xXAIS^-Z}`!E*k0n_
z!Vml{^%8%YAIKm0^YFC%o<9pu%kTJ;cv_z6Kf{mq{q{fpE_}})_3yy<_PzEgKbfc5
z$NX%*C;#bR!*_P=`W2tpwd!~LK0L+##@~hS_=EmAd~esNZ}`-{)BeQYhbQG9{d@3)
zzo5S2ck^wz`nvBAcDLWNeRGrfz1){KiRJves!wcYx2ZmH_wZcX8#kHX$>n`d*xi21
z_QJcwGX71~D>k>^us!gu@tj=TcY}2Pb=4C#w_mf}@Gh~Ge^qtIW_F8ekGqFw+b($b
zu!KMFTf(mPOSTi<Ej%lC<4xjOIk)c$yVy;uIqovQkh}EeVKM)_`sx>Vn4im;eP6JX
z-K5%LbNd<F88?}q$?1Jh*x7DWz2Xk@Q#rNo3On0R*iN{~{6y~98^<$phu%Ca<nOD_
zxWoKdPVD=F9qmVK72Z88;BTwexWoKVj_td`4t9g;ADh_qt6ywl*Q>s<sr`U0!@Gz1
z{B_kYZZ}V}{qQz1pFi?jLn?oL__qby+xOT$czf`a+^RQ?r{vy!Gf3qxt6p)td5Z0Y
zw~D9a7Q9K!<1ebN*wntm*5W4f9l3ko9+b7;xBhW&;dj33cL%E3ZOd=$ZGI>F=?>$0
z*`oIa&-u#UHB`6Xw!U$1;Wxf5<ump+zma`)NAVlqhVli)?bof(>}-B5`{d5TuY7Cj
z%OmzSzmk1)hw+?j(EAV1_*Rr#>}{THJ>%ZOFMLbNGm6>G$|H)~FIsopb37~S^q!%r
z-K6}-p62JWR__l~wx6}mxW{-__S_xC&wOd`8Y<gQTSwemct%$1y+dXDNo$XLil6vW
z-Z50R8<q<cw;PmK>}h^1EA{?BMf*`}jeCk8`RY5$E%r1&l;wKwP|<$Sn&Teh8QFbz
z4xX0Xb*J&P?6y0KANU%|f9!6)FZ=7=f~R~n<u`UaPqR+gd3dVzi@S>N`6|jc6t!!W
zFDPo?ZSAwO`L67%cM4DWO3FKm*fq*$>~6jzJMWI-J3ha64CU?Ht#_P#SkAYv_{H4A
z^DIA{b389oR{wl~b-PXR0ps>NmUm`0&$WDT?qM0<w&E3YncvE!Jy)=9w<_+K%lt;>
z#hHhte8tZmSg~6cXUt`OEfe;9fmORjaf5OD70W#{nP18HJ%5nKx2#xWF7r#7TW1>2
z%3M2>Si-lcIKa65f@Q-w#j`SY&mUN}pR+7Dmsrd<tJuM~-MF~^#GK}tmI>z`7V%9h
z)-Y~AWf^cTv50R{amO6ynU)Uc63@sSIiq++M(+6oi}qud2ImqB`MQcd<}g2!;d`E7
z(SFEM;9Oz>UsExIal3x;2cveq;s-|Uy2TuGm><aed-lM*eV=8<Oy>JC-=0lK<%@V$
zkjht8d}229J()FU7M_w>QGe!P9$)aY1oQS?mL4;i@5(GX!+1*O*)s+6cJ<<p+0BzJ
z51f6N%a@n`qqh0Ibk+S0&w2OcU-;a1*Zjpk#q-i1_9%YmEx(uWoOeh5j@st8(pmQ%
zez)B;Ke2D&Iq9VP48Pf|@+)c&&oSSz&+(je)cpg$+OC?f*r#|-`oSK>ue|y9>KlHw
zT{hoQ+5A%4>%POUHnaSM&uymp61B}Qq_6Bz{KC5+-{W)JdGm^W3qSMD$-hz4{7m}7
zo`+|ojqWEr<DHSeqo(<(^r<}yKk-h<pHb8NL|W;-!!zCq`4XSm4D&fYw;eaP*thT_
zZ%=+iP4gpZq5BO#+76pb>|6MOw<TYqrujj=^npDOPfPFFv+%U^jy;K|rJ3$C{Ak;6
z{$uaL_q<W}4t#IhYo1ccJk30&viY9$r+W?G*|hUld}7ne-%)*diusMb3*Yeu-E;Wf
zrjg(9sconEiM<a`N<X^y;0bR*enoZjZK=BJ4|ccRGkp`u{9fwIn#6M6UD+o#v)N>y
zh&?>l^hRVo^E;`$>j}HtZkb+KmsrNTDSO4{wi~7g)-|4!io0%*&buyq!sfPXrW@8J
zmh!I3?%2#`k?j$Cc(&<+bq`B;^R6ZAYP)1QVco*BQa9Elo|SUDuCR;EG@B!q`GwS_
zH4lq<=ViZ$VSX-Uc74H4Hj`|N&248)XGAhTlhV7Mu(Qo5dqsT=^HV9c>k2#DPMA)J
zWPT!bY>nd?sY7cX7V`FGXT&f+mJ++ZU`N{#Q-yU83wYbIHDZ__O0iv6*uiFy{bLiG
ze)fw^Y<k%@HnklvWmxwxpSLdiMRfBt(+_JC^LZn$HKg)}Ut6%fZI9`LwFgg0ty<G~
zO6uJ;gH+zK>=n_?Q%o<ctyerHwO~zR9&b^0#iq6$rWTRRcckuJdr;PP-}uM8h2MFq
z&mE{{vrWHY+x$-Q(;UY0l11kWp7WHQYp8C!ZG2<i!f!lV(r4H<zma@3NAVlahV%u+
zZP$&@ST?_wd@^U@SDrQL5w^{*Bp=OTJSQ1+{=+k#73mhX&9jYX%v<<{XGwZSeKDI^
zdPH&CMdOZnj%OvE&NEcCnWX=)X?`wgb^btQ+gam`d5mWz&&^T%%#(Jmp|b6?am2iZ
zXC$@GJ5;uvH1?RM_=zXw97AQBVY)zZn?ZVoP4i<(sq+Ua+Kw7)%v1cx(~)jr)BI49
z>%2on+d*TFd5mWy_suzYT5{K%#?zA9=F}^G;Au$zVcmRR^4GZqPkCz6Z&*7|GfuEP
zJk|KcT*dc173mv_+O*Oa6t(R(_OWcfEBWf2!c(4-^o}Anjr1AT&37c{%~5>E<9Cjs
zyluPjj^2mmJo}Pg$R3_&_@U47yhPdY1=ejg$p?(v?ik*YY@TcQpzmQB&$i?hvdnKK
z(vB<CTen#ycgQlok$BPbu#~6x*aIsz%j67M=GPKo#}`<&StK_Yw_P#ZBgy<q!teNl
zG@fP28nVnUC2sXJo|U-PlUTyDC^^8m?Sf%LpW;~wyW<Zm+s+vl^d%Pa%u04JZZl3k
zA=5n5Frn{Z5zn+_4db>`h5>zvMLd&|J7kz=8anjVC!Ucw(xZ4rLhkqji?(Bi27QTz
zJYC5iGR%)8_>L!7v>h@O=u0f%X-Z}=ZqrZxVAQ6U{J^M9H<?3*`GLg0V-L*R_8DeK
zGT)c@c5Ff_PsFi;RGzBj6VlB0B-ZpSJSDNB=V2aC@UaB*wq1rElFWA{7WFWml6ZDZ
z!Msg9xkI|SezM_#-iNt7dGSB~HoX_G+P~pB_n!C*pIh(hzo=6@FaDuM@jG|<zJ%x8
zJK}fzZF(!7wcp`)>rMR=bqmjlC+%nW&1w~2@%PXi{T+3V=ftD-ANbXJRewdD;yLjL
zHHu%k^Y=CUYQ3z#Bhn#|<sf%`E%U#WXRl5L&kxsmp}AKeb@h#U?&A0rw^x1T4ieih
z@O`qv6x|<n2j6lR#8(*ad62UD6!)@tjlWDU#opyi)nwl`F|dq#RdhkF^Dfp4x<?k?
zb((ua_smVF7mvkswik4|O+GuP)q0;s_~!VVH<_j%)V^%CCVpa`<EccM2jT1DkLD$E
zPYJP#-te2NjJsr$*s_d?vy1C@weDMg@5sfyS+2Z$o%U$?ebg#eyQqCe+c=;jKI1d1
zS$xFj){FWbb&hAno%S>QYBe$Lt$X;Hd!D$}(U=E&HvD8gtG{5Trr+a$lJ1_;_UfjD
z9U*sBo9<2dG4;XK>Fe1Juh3hr^?B;;+u;S#dw*(vQ8)Ep_)q26<%~CBrSl}}f7K^F
zTX}hHz;>@%udgew&S$GvGO6h*T^)Aq#QJq_eCw7TJsmPRKKCcrht>P$8_nNzr|VqU
z?C3zdt3R$JJxrao{=>XmF3mqLKMSvl|L8mMo^9x_sdvLFL*@&ty%W$n(~IZH)XA6U
z3)KhBxwC50sSldx=kKxj_vOcx=V80cw(Uv|t#+;7KJ{(5f5CRCyIH-@{2TmlUdp?g
zrhRGUqiw(LbY1?ed1JYT>-uFjH&>OcI<Yn^a^*6g(C1cHV;+Tc#4USt`_!4R^n|C&
z7A1-Px_T!ha-WTB+Uh$i4gIG+tbG;udF7F{RrOlMvn@qqrruxwWZtL0oTu-Fm->J7
zds((|*49OPCr$cYzg=|R`aj29oXm`(H1A{;Xgj+c)wEjey4}ZkVOT|A>Z&&}t-C|x
zL*I*QYZPq{obK)^w=~*R+e&h)_s)>i6;Ea^esp2tqG+$;mFC_{^;o5U>=MaZJ@rB8
zhLR^aiOf}5TvMyAOj~Hx?_^@D^=^fFvAg9Z-qz(_vkz#7AGBQcVO9N=#cb_auC1^3
zdQF{NP`)DkhSg^0i<|hKT1}a^m}Pa``l8~gFGH6X#ysUI-L-P&vsM1*SDy-Lj5Bo;
z@;Voqc21_XJmg+z#;R)}k#4b_Oi#H>)%#~J7L)(`u5Z)oSvIHMY8}^~bF=8GocO`j
zdukLnbH^*cWi^gJu&3ZB>uK)Pef0sF?xN=W^MZmeZrH#*C4R=ArYHVu?T`NCJm<gC
ze&WB7UzcC`uPUlb+IuMTm+*Y)J@dcSU0U=#SbpyOH}js9?b<w9Hs;>>xli64NmIXm
zeXdVnxmWMz$*=rYTW@^#B~9IYsdeSME6Ylko9x|hy_5HyNZNAae(OLhv#gV^FW<>5
zR<HLn@GU7jw7YrsZRY41yM<@YHOhZ<_vCuM_r7b5cUBe)E67}(&6MsGdbmYB-)JI_
z(hTG1ykD0WE6;hkJ#X0!eV4k%GvW&S4W4l)?Gt#$-5*~t$McN1)cylMT94{$)G2=C
z?npUS^YFs=riZPE^abh?KX5l0f30IY<5Qh>h<7t*ePm=>!Ls8QW1P>O)UWy3G+n>s
zC)4x|+|m04ey|?U|5JPLy}EJyi{DMt=F9Cr@IB;7@cj52zn!M(C;U7#RsTh;;(P82
z`;Grpo^yxotNQIURX^b8p}XPXYi`vfo(kS#pLuiDlvf2>NAz#hE_|oGcm0oTtNt`i
zSsS(A;k(Fd|F-y!`cJGH@iTrm-4UNxqxg>7Zy&?=*6sQ`a$9$`-e2xlFLbBreb`2O
z-MpzgTkq+<xykfCY-{v|&8>HJU*svC7yFQ-Sk7I(E#djfbGi@m9+q)$i(YY;>8)7W
zc7@%oR?!`Incj%K$az@GUA*nVE>_FvjJr&)#lp5P*wtzg-LSd!ite8Jn@q37{I)+x
z<6ai6ahK_(*sYw#vtrkB5=*!jMF(tdy`bBWr+8M(Zu^6st><(L@)C==XGJ?~ZZ(cR
zai?jfZbII}BJOF?8k<{B=?3H_7I9CC?zqD=Q`aFc@r>A!9K|zYa@!y5Xg#KDke67<
z-4*R|hv|_R-}ZzZt%r04@)8TU>zkq(Hn-|Wf7sNj7yV#Ut8O&M9i|6j|F%8Y-nvgW
z<0jL6v2WWZq;f}WD@f(8iav3h>7Lk{oQ0>vR^&X);||`Iu)TGcuE$NLyJCxS7*B~k
z+orI+RXw`ncGG0t1Gx`#x%1-x);;|l`aZmR-=n`?=fii$zpR`3T-zr8X<g}aZR_~@
zhjpdDr@jr}8h^3Q^!L;^;idb!{&IcOwu;|dXZl?G`uZm|SAU7V4qqL=vQG55wnhBX
zy06c)udMgmU-WtE%kZV~6YH)%(>9A=`!nl{_QmyAYDBHB-1TV*U$8%Z>Cac6wa>4&
z+W+WJ)@SXr>o3%lrl}oWZ`A%uIQ7$-u1`~Eu3o@X-}+tjna@U_UE$Nir^QeGx$2Yl
zsr736C;id-q-|t8v5xiU)fwxL)QCP?eSEzPyYhOe<wc)G9|x4g>)s0~U;1P6Ti?)q
zUVmPG(>}6KyED8!d7sgrRUfnushwH<ZXMfxp*>8+!Y{((T`t?WwNyQQs(EVp8=KPS
zEAOn|Rde*I=H2;6>TRw*Tdf<<TF3e$WZwFJ`#$|%^<G=o-`7s{r|A9g>iE>3T&Kgs
z_g$*i`lR(<d(ZkcHK9+nwc_vkvVISF=|3~rw(`{1m6q|oJ2%bNdbj-a@{0MJ{;bM-
z^-1&6@-sHA&ou9^Ut-hx<I4Ns*};2lTX&j=PSf7Ceo+nU)73lIKiOCHsea0=^^ctP
z7kv`F6P_O&TKCi}bmmIE<%>Rr%v!n1CpA@T&&p5BE>&}ttiHdtX8EMstG=(ix%QjQ
z)E`sSX0EN+erfa7cfqOiq&81|7gkxf=?>TV;Qr{Rc1L$yoxApp4{MtCo%yGHuBNU!
zu-t9?uDhqoR^OVhwSCdutZ$lE*QU((s^zLb7goBh>u+bF_KlTGa$M6_?V5kU$MorP
z2R-}Ro3Bb&TL#P8sal6V3MmghYrA#V)YoA}+p=oAu6C7b8Ah-56SWk58j`$h)*P-P
z?W=1a<*+_qeqn9U_NvXIul$?*=l)xgw#p>9)^F>Mt1m-(f=wsgJayK8v3;jq>#nIU
zL;CGE`qhg*TW%UXHP3ZdsPwJnTz}`?`aI>We?zch-qEzxrqR82TYreW3-0pYYv-D-
zeR1uT9MNAQZ^9NtFTMHd@~O}2+QE`}SAR}^7B<H|SI+M0FX2c2ozb~@OLvOST6^x#
zmLDO{!)8X$oY|GHr5~N>w={LtzLkF%=4ah`Riu4-?Wvs5o%Nzm!zKq8-t_vUesrzU
zcBRdtPr{P732hFYu~u$-(VbO=+Q-(4Z4bJmRj7SrE#G#dJF5z`53ObEw<->K7F0N|
zt7x~#yP&dZm+pEMXx>|XXI|Chq?l8ugG<~!mpLZ8eOb9j+ds83i~n?Rz_eAHr@RR+
z@UD&IIuq37&8z3SBjjOFeVezeUh9sKM?ssbrghzo)%vcvXV>yK^Jaaz5<7MI8lBQ~
zZG-6Ao2O2P#cq4F>FTt#Oxv06yn4Ul^x8k$UfuRO9aa<lHg{^OwoY{HO|Sgbd)9u~
zwrNx7)U_*eO1EE~vi8lkRkyuPg_T6R-sCzJRvdjY_iM`P9c$<0ux`J4J1j5!Z++a;
z>d^P0)$1PZ^*SHAJN#wb)aP0@;ZNgA(^no}{YK~NkLoE;gZjcB#+6o2eH*$p{NlCH
zvvI1kpRTg<eyMA^>&oO)i@(Smt-dmEaaI2(+gD|p+n3(!yA`|Y%+sJ5-ZL#Xi_BW;
zVmbX)%qiouK?}TF^_K3K@+5GT`&zl9RUxZi2i6z&RmF0>)3~uXsee`RlsAE!-7m_8
zR!?~wSTe0>Q^=jb8Qv##O}C3oS-MEawLE0b;wLhpRa0ID=Ji?Ga(!K4?!HuxHC<B^
z)b>bUX}a2bqu$k1+eIFPZVW%TOV2cY<@KdobVPq_7n#2FN=f7^-`Pt&rfZdMzVb3?
zg|}{;Yr1Crjin}<FZtRx6^l$=9oOzu+G6xJxB2-BEqB&Wa#Jm?%v&tduVi9#>SVzB
z@UuHleburI-x_zc>gub&9(U8YrB$JGE-yaRqgWjBB(z|i*WOoWLsxXT^YT5_QvbT@
z^1_JqMa5Gd1hzWA+<B@*<J|eHtG(7M?Ok;?G-q8@@zochi^A(y?$r9Cbzz~C>w2%^
ztIq@S)_t;hmAB&JYO8jpy<MMIon39R-l_QN%+*HgjfzE|g-#ETe3f|9y6gQaqwvr;
z*GiFlGItlRxe{mES$!q>iqgvUZ$l@AyT-Xb(>k&GSd8kkRR->vokdgbhxUhC%BenG
zVRc~fn{`=xx<0Ntx>{tt*PeQ=kE;%^=2@?`r|ZM2gR5EAGZkN*zIsp0)u&o|;ki4t
zK4=|S{cqi;-K*Yf>4txd-P*qF^Ri2;H|03x?&LZh8s4U~$Lsy7J*z*YecI!BHZ*M2
zDvLJBRqvM>PD#z%w5RJ-%GJA1-XvU|GP7o;&*zxb^3c1XLF*RHUip2MM!4g}AXD@D
zV3jL#ABGmq3Adf;`Ek__E%oq&v0uxk-VWIx{L}BM_0@SRE4HfK`|`9v%QpC}-%{()
zc`HBoOtro`cjX(OR_m*8L$(E9^>ekp`X*#k@YR`GWm-2@zVP{Kb#>0lnB|j<Ltlri
z30~{Bv`YA1NMYTsy`JyY^fK~p?dkly%;x;c2Y*`XZ?jm1z6#0zvgPLzr@b%F_y*Sf
zlI_Y{c7OJ(WmbDncD)VBE6U&WJM;T8Yn!rVMsrt{XkA))%jam?D%0RzKUJ%#vsOAS
zXJTbmj^5xgHEq@Tl{U*?&FLy$b#|r6awp@fGglfdH!>D|7BW3J(l0b^)v1*l%V*8;
zDq3}7<%vHk=~^f1S03|GO<QFUZ0q;bBJ^=cPq41vQj5?>AsxZ8eyJ9r4?|jldHqx^
zL}#qr=kqjmm0ob+%&gNPjlqn5U(Ki952+2#oY|GXYVXQTKCP*%w1Z#zPBj<37ZSE?
zm67PwmGA0qeF}aM61;5F>{WSMn!y)+MN_pjg3tP@nqQr~^3k#)qk7RhA^E{OZNL7W
z@;-R4|J$FL?=|l&|6;TC_mp?RmGcgL4tW<`K5x_K;AzVX=I{E;`9bsc{C{<yey@DL
z^49W<`Lk-hzOA&ffBJXHcj0@%8~qR3xjtWcefcw+r@yAmnJ+Xy>+j1Cn%Cy@)NB3e
z{ILAs^04_qwOZ%=o9r8ZzC7*U<A1QeQtRtVbNh`yGtUOEuwPmE>Wiki|4h5kUm`Dq
zbLS;}zA|gM+kBzFnrDKu>Vj&tzGz-pe#z$R&&!Yf`|M5sJ$b5mez{eBR880Cm1pPc
z%)eB_bv8JC-l>{XXM$(=$J(X-44JuHYyP5|tWTOJmn+p9eHMNeoHDQJ&&p5BPcJ`a
zqx!6VxsiWs<*SdH$CpdZPpZlKsCjg`(0r$wS06MF&o`N`^(XVQ=Aq?m^Mz`-&IHHL
z`}8Sf`tlt%Tc55xu$*E3uj*6pSMFQB#pdYuEBAsU=N<ZVW$N-T^G;QBz1P(C53TGv
z6<qG`TY2i;%3aHs*tmWVnX-I=jp_F(cY^)rbyc4_8C>9Bzt{HZwkz+0_IZER+nTO<
zZ|N7Et-GhZ3#y!UXmiNBpz>*(HjBIq+U|W*FLbxa+n~+fC-p+pSKe5fFn!VHE3bo!
zrxnF|oeNs)y-{!Lt|_mA3a2$~4tW)nKg}ujRf(p#_e{OeT_P`oa;GJ2zA|g6+jOB=
zud_i5y&LtWrmZ}`)Momt`k1cbm1mclOn2IRW#&?&=|-DHo&`<!j?@cHTX|}!#`IY+
zUPUWUEIpyay7S76rAKr`(^ei|Dl<JRrmJw}(WN5Oy<)fuR~}x<GhHjDt6=59r7Y8#
zHeZ>(bdS!}R82ka+(@kg%>zsSP5TtRDqmCA`=jpG?IF{aZqO-B)!e)E)3ig;^`}k+
zg-%<v>B`-p;AxwpSLJDHdSBEPP1V%!KC7#`{mSH}kERuE61fwU@4Zv@YxR`(fqUKG
zT6TTcuyubcx3oHB-r^53Q>(AcUHnF-wff52z-{hV<y@<;yb0Xoe$`Uzo5qdBFJ!(}
zU752urhig#$m_s0?rY_iR)x%2{6J=E)s@+c>jV0&Y)_pHT;@Ji&b2CJ_Tn2dTc54C
zxY(sXs5s<B-~#uhmajf*oL_9!|Hvlmv&PxQ7i3DGtvIvzj7)3gm8XHz+^1Tu`lNAc
zv0DEm8?8?oM(&Psrq47C-CHeRebhL<SgJqCChMcd(ZxdjPByPTXdGV5)vsie^+Ds{
z;sY{YpK9pWyO&yaoeqrd<FeuUuwwt>KYg#Py-o+#xWAR1`cy;5J=W6e{fa${KlE)X
z3Yofig-mJrl_`tg^sTb?Iu%&r?rO<(DzMo7r0mxxD|RfNBg0yL<#u46^IyKF)*<f$
zs@opPdYup0?fjB&YPyDv^HaXkbPa3ghkT{hQ{Dz_b-u`FYF$6&O+aZ|mn>JAhL!VP
zKGSrK>kFUoT(uH;9kAMYC7)=zhK2J|zOQK-R~GuU7a31^8L-rOBHz_C4KwGpl367h
z7Z+aP5w#L|5s=yTOXgJZigODs+Hc8t6>FF{&z00F)-ZNX<SVtD@-!f|ZIw({(TbA`
zRoc5`y3PblaPF1#IulTz*j6QTs&K`zg%a&iGG2vhXBP^!JN=P)RiJTrAy<BuOjp5*
zg9};OnT)SYU$}?oYO02wbMDTQAD10p$kfg>MdsD}Wk#EvfAU^6pRAhp%y;_E#T)lJ
zXU^2*d+D>(`6KUE^N?u^H=KE5eP!yxFKwr!x$@O6nmR|$%=}caLd!X@a7w+*t9Q#B
zS7@52N}kGFv1|4s9@SKhU9;bOYwI!+nX>R%+a&2%Cj$zdEhV|$sU2E)FE40r=2x}t
zvzIvRy!++n<@f&k?7#loqW)R^-uy3jo>X4ekT&n?G4K4h<@e-w{*`ryJ_o<^FR$D5
zS@@lAXx%Q`#g)O&{kPd){pa%g@*Dq6_E+nFYJO9{G5>{4Si;AbUzc0j@BF9oeEGHc
z5%p1jJ-;ruus`@y^XqbR`-T5XeodY|-=|*dZ|9fgX5a!7)RO+U<k|8I^BwBFK3{(B
zKhM7O-;tk}pZU+SU-<Lo8ULB~Gk<b^UVeJMPQBLW;HUmm?0x^K{0x5LpHkQKC-aPd
zQXN<QXJ}D+<j3Vl{$2K}|5|=re(2w3FZ$2r$K?mGk~*&L)hFTU^SAvG`62wkzux}m
z-z(oIPn-Xv?$&S3)BX{4yFN|6=U-|6@Nde~<y!WEKVQCEu4#YsZ^-w_Q|7;@>-r=-
zW&X3eNxxs7^e?ox{K@%l`S$sD%6{EFSzf>V-rR3*j_jU1Z*E!nrMsHv{VK{%-F<n^
zudM9Q-IrzRx94V+FS?sqrhaqot2ZLMh2Qv<lvUl$Jm<I0`sB@(rRvw_hLs21)ja1{
zQ08^_<ypTK)^p!INmIW(*Q;FV?#i=%Ib~6sFTe0xWWDmHW{LWRxtHF2**W>S-(2g$
zcUyK&e&$!7QD(I{_?cf?*`Yfxi_}lgJ@qDJr|?t1$=06lM0N_#oU2eSwR!T4xrg3-
z*)jRCU$3>{yDd8=Kl1CeR(w~oWAa14R%^j`COalS@JlHBwQ2J7xx3yRNnNgM&H9dI
z$L0Heb=F_sE=g72H}_lFsZGMu=B|6QWP9*EzY6Qfn=4QGg_P~8znyu?ugv=DTa{Gx
zU2_+`VM$%SbMBL}s!hRn{QSzAZokY`-#)u8|I^-;-<R2%f4sNk`LesSOY%<@3(uQf
zl)tNZ^4!@4`MdU>{I=}Y?2P<bdp*A`vob$=kEL38?(DeyS;doI`xfP8?d|-!?CR{0
z{H|i*IkSWEtBQqR`7Sr#x%1?g`em19d*o*o3(uZ??T$&+<QKkKd0cxrzbrE`uf6xA
zGWfag9P`|JODctD%|3T$O6BC4vkmfh?K$~rnUQ(uJ(tSMPkbktyWVqorgmcXu{$cy
zmKm7a-g{CJ{Mfh0T=(9Rir`1S9p<w4QYwNU`nH(!-czX%o-uphohMJ1>6sVq%slN|
z-)PQw?@RgQ`@Xg2nL9h*FWWnN)18*5%e2j3-knk|e9t#5Z&i`-)Y<RyW))4o>sxB>
zxwG@#G7WRbotf{{cFvx6N2Gl6<k=7Ms&=2uU3PzFP5P_3ndg1>7{8pES*~_>=BG0)
z>C5iSe0L@#UG2`yy!1_TSC*;Wnwge9Y3|B%J{ye>)}M1pUv_=wvolYuCeN7}m7X*=
zvsCTs%tvQf(wAMCdGAb%)#aByd1*#-SC*(<ntAKYk+fx|#=YlMtR~Nz>6FeiSM#jT
zeB;V<S1g5}`^+}ZJlB%8%-A^fTuPeSnVEX&o93)M<1^Jb@SKU|<R?B8jVI3JELvu0
z+&NS8j8DI@<+&>s^}>&Rx{Wo@O|cMu<kM~}c`n34_@Pg;G3Pm!v}O9nl|NacW=!i|
zueW8Lq5c{sSJ%Q_7dpCnPVG5x;n<!HEnOXlX6!n1Bz2jtG3z-Ni_7<Y>WsghU6QJ{
zZ|1kOQ%1tmX0AK4#60+(Pla*h%$28nLeh54&OGH)W_<OmN~+qfnTyWUv!pKDIrB+c
zl~M2=AHTGw*)Ma|wrl^1JNTVzZ}^R3)_dA7b{={sTC#q^bFPYY6RKOS!#5PO-qF4j
zckmn6*6;;;54{mhSs(D6Yh!rBUZ%O)d*Tw$iN>r~c+RypJYnylSE3>71)g&itea5P
zY98*e_s~nxJ23~pa4ij2*y}V~d&AE9L$kDd;vBzlWvvsaV!fcfWapvhqBiR<RI;Aa
z&WUsU%$2dO;2GD<aD!sjGukn62S0J8t_!GSJ*Djv=lF?hVz@#v>j~|iolP^eTXr6L
zEGo19LIvwFZJjvBk6fMM4towg6y;eTP{Ddgn<vik16RVj4^O$`)?IkY6|-)`Q?ABv
zhWb5+?u-6eccGkBH~hiwL-#~C#W0>0T^Hl{o~tta!0x80+95lcrfT1bO*|#~W?euz
zt7iCu-A+@q9d;hNBl=|BhbLTx;RQvkJGA%29?a$1AN*mi(|eI`K8@vERm(P5v)<Kw
zGLvbZ<`ch%=S1H4FqU&|58g1>>8(h{aszADo0?Z<);qlsNm%ZX&b2;xhB51P%@Z@7
zUW-I5-(bahRrAP9ra78R{2rba30PiW#cCdGFxTm&h{y5^X<RwWHl%Sa4i=c(G)uF^
zkFkU+bJ+(=*7KSrevQRkvx6_pVVb2mXQtCLkuyGw#az>aH_UOGsTtzeSj06sc)}c~
zCn6_&7>l@)mnqbzaV0MMV8MD^)5Nc_kgGd5V2;xx5rO3e7OaOgMf@5IxSE3n<}l6B
zteM#~U9)5+({#<8nNANx7?yu9XWg&)#n<t)$d6?k%v*JW4;Zy-2Va=&bWh~NvV>Hw
z&}9y(T;;(tj97PTUh#E2CGujKKq^<jG6Qqgotic?o$iRt@nOuX=gJQ*s6O;wxMu!^
z-z~QO4{Dp{slTyv{LWc9ui-i8PX8UBS*-mJ)E;^(oHIY*H_I*cGj@*OI7{aV{ARhK
zexdTvYvE@$2fuO_&Aaf6<(m2$JIAk_h4UDmbFTES_{?%eeU9D1v%)^}1AehwQr}X^
zG+TX5<)IhCF7p>W<6P*^Q0r7bOTEVK;AhUc{ts#nJrg#W-|&nxecpthEXMu^Y7RXW
z)|nshjB~30f|^55gjMDX{A4lmZ>TvmL%pNYX@<Iu-NBEXz5WR`haL%w%%AXs<%qhB
z-N6r>t^Nu%haL#C%x8GU89(pAQ_k3V2cB|9&lC8;azOo$?ZNk)wf+}AvFuZSV_UCy
zT6lxa!&Aav=1us{vPV6l(rK!CK;@yk!pm$9zT+(QpYVxgm%2+O(-ieHwvO*O{pSgM
zXHoZWs6KRC_|CKsyIJn5e$h)jFH|voK|1Gd?+dX`^Hd*1I=vHmr*rU}P{H(q-7L3N
zujn<Fac=gW5bHEo^@tv08E46~54&0{y$fQS>gT9#(Q7Q_T<skY>oiC8K%~<vp?f-v
zrJT#X3pTS{R-K~PSi+e*?LZo5_B4ZCEEiQ*L^{0?a+p3LjdQ;DhZv{lLKf3E>|{Bs
zx*+n<Ow|;<gJ*;crWfpFIjuS+(&?#?#&m<7EGJc6^cv3yDNHv=<4l?+kjB~XT@d5+
zSV&^}h8^`RM^#ny7z;Vuy$xcV9tv?xH`u{)P_-fQ&~(*`NT=zl1(AoQsj}!X7I4;k
ze~5OvFSJFcF`u*A`@|*|9q%2RSoW$u(Phl%44W2^${8}PV0(+E_l9VvyFyEJ8uK^<
zr!k~*7JE;KJ~UbNi0;9YLJy{W*v7J5`H$Se?;LyGZxplC-&1~JdFY*BN&kfB92I>N
zs#~nxHx#qnQNAO0@EgZg_XW0x-Uz1j2R!H4=-yz<G*@|#T;e&wn0|%l9BbVZY!AH>
z4Cxnm&QZ`ep{m8)-NE+IOTjxb2fuJEbyu);nytLS^3W{h9y!M^99ew=RV){jmslQp
z9-9##9TT0A92ep0TF?Ax&!H{Hlq%#Fe&(3te#55encxMPhi3$h`V*dU%y8df({xXL
z-;S=Xb;nK}xu7Q_TKXWVvc<@Kg$>hFLA8E`$`%jp)VKsmr3scyPXv$2IGzzaB=hhi
zN1uC!4bx*mvHk@WEk~3U<Q{(DXmi)FVR|UY)~`^(Vlch35p>+DP`%crt`AQ+;`%N;
z<%sFq@RXy`ox$eNeZfC{7s^?5-5*#Vx+l0vhViuEIvL0J9F^_|ted7PhgdRARlXye
zcuIVmUr!~-93?HGW`|0a-O5*F9Zw0q=o5I#5ukKN*6|&Oe_KFh%MN7=OQt)5_xc`~
zwVYG@!>5?fF{i@WL#F9{y+Bp_hIEcS&O2n9<|%scH5PL0cD^9%G*9t?q|-ZrcRUBr
z2^6#!ShL(#{KI?D|BQglZk~gu1irORNadK}ykco<^dZ?pw*~IJ+cV|2(7frN-t1fQ
zT=m}cZ$I{Zv1ZY7o)Eq2^Q1T4o44xU+$Zw;(p&FE*>OpqFTM6&t-o>Kl~=zm)z2~0
zUs-vQH|wQ$ew<OQrs+BFRr;;_Ql6<EoUUXVf2*eR^HS^c(=YAW0y<0CD1K43XV-17
zefl@A?k#zydU9%2{34ytlb(7{*H6^?yKc+#r6;E=#4CNi^xV5pKU4Cv(A0g?+2Vyh
ztDf<itzEe8%Fap8z2<9YuA7pkYOZ~9oyqQcp|@UJwQsH~*)8<UYo_+xNY1lfX<<yU
znkA~H+MVl6c3qOXGc_bUD)wcGs^uE(yOEmZsy5nBBR$KP-klm1?gTnmxhibaW})}|
zMW6pH_{P3_kNu0ku_xcYJt(KTd$*Q$<j;e$7G-r4b_qT9D%KYL=WyM?a8l2`W>fyW
z#Mfum)$jYK@TFMQO5Hzf(r?BWUKI^F9=`tJPIC@-?XXZYyv(y~iCW7|#S?rB&j}>8
zGgz}&IakOYnxnXb&+(i<RQmy|maB>@_!Q3xJm66*<;ZVquxh!ixI?n(rGQtvgH?-}
zbAoY;sk4M^(+hzsJc=b83j{9lJS^sz=lnv3>A8Sed;J1S787R+<CZguGbEXw3Fx&a
zShg5BuaIGSDxlV`VA*m)ae^e%6M<tqj%Nf8@jNW#=yT4HVR|ee*1o`^<%psJ-@^iq
zHfIePriTJ-?Ftqw2F^c>SoED=7_sO%-!N)9pvb`YFrTB&`Gs`TG{q0RiTNCnZ4Id$
z;cW}dTlOeEsOLR+N?;XF<0*l6Z3d|vWzH+4o2DpU;8i>&uz)8qkE6)B!l-43qJ<>W
z9f5mo558S`@4Zj|>%J|ZMD}IRmfw@!c~{0A`W*DmyF6~wXQ6lA+x2hm3;8Yd)_b%5
z$$cTum)@A35WfhN;)>&nYBekS8lOw-Jieot$NKmI+hcDH>vQ@8t9foEpOJI^CQ;fa
zSj}@I`GV!K*M`qz4u6#>>bqFQb1iv|oby+S!am055-X2a6!Tn3o+Eeotf5bTU=`1$
z<SmwLvy<0Y9(!Tv(!cPT#KPkYwr;bMYvc}pmY93|fz7dJh9>=u&m_|OCRXwoA3tDo
z?5Uwnf8aBTsmB-C9D7o4sM0T3$zyc9!RFYE<PJ->8Ob(shd)a69#612_Q+7Ae_{pC
zkz^UU!yhDCk1N<5dtk`Y&-hFtzVG2viP*k_PbH%J1S@zBB>#~;{9dB=_=O^#eaUZR
zl}{UPka>K{@Jrvsa-KcO5teRKlLIV|-8Eb$bNHP^>G26gJiC%zEZL?c*PoGfekbAI
zCs@v-e!Ri@*loi*Z6B?9?k9fXOFnN<(Y`QUV)x+-vTpMdA4s~rGkC{y_?$sOd!aSY
z?Zhj5&1Djs4^NPFo11uqkGV{ur0t_skLBS4*|s@}TlktwB~~8}kae4rctFzamBBq8
z=2D5}hYO5(E+<amYc7$<Z9AAIkzL<rXvK3eafPJY3j>GtiD?q^4}Xwxdv0LSzR{BB
zY~ljRV>1&|_zs^jFlaBd<T;%<Mbho5fkwNbCC|x37ry2*1`6$lX%b0of@u={hYMuf
z9veusZ?xb!nyA9ZTqx0g*g(eZp#ev`p#{&u#0JS@(-SKs-KHlNNFJM($il~5AW>g`
z_=B|DeS<AL&G{15hff&s=p5c*#IrZ?2`_WLL|9v3szgXzp?Qzy;SJJmcMX>CH0MbK
zwlStk6d#@-eQa{#5#GZm4IZ?8G~?MG|L5PK@8Wy+-}uaZFaE{Pqwn-f>L)%Iuc({&
zyW4vIhR@u0;_v)B^i6#0{sn)JzR^#q4}31Zv3`HUU)H(td;TSz(~qfFd@jCrf5P9R
zuk=Ie1)qx-)J^==ZNA^(@6ng~cm5puBEEFL!e7_f@f&^~ofY5n&*_VJR-NE4?hElt
zeja_UZ&QEqC-=GdoPSQA#WU&(pNY@hZ}6G>Onl70L!ZP`>jHmrpNjYS=k!T@;(mqC
z+$Z9DezwktuW$Kz^s&B7{ly>L$KrMVIeirG-0$$`=tF&;`oJIDhvIquIeidMsQdU-
zJg)BIQ}LL(jZeiJ_cQ!CdSCxf-No<Ry89peK6+1o(;ueO`s@BUy%(?Cf8clP)cBB}
ztW)Ff{7pKg|E4bRJGbWk1;1UV#5?>vdPo0B-Nz^5h5fa29WFmQRL>-><y3s>s_QW&
zhrkPw@6-1eRK07c?e*MS@QHgz{GPvu-fq5szQ+Fb-<<R5d*;9Vne*NF?)gtOZO=E~
zIscC5o2lBPHD|V_pLr2;jY~ROz56ux>oe!lcg(+Am-ajIZTgn^XY15{N6tN;WZ(R^
z=Um#xEwgXtwXNQLbKco6IbqLr^y>9DZN7f~S<SMSzh=%k?_*!g{PX6k^r`cgDt?Z9
zo!&pc_2!$e#@Egp*?0f(`D7ewI6w2J&WFvq^9w(IlALj#$KLwRoi*jg@t4lus+sm<
z=7aRe2X?`Kc)o1b46$4NY39`PAM7sweselK!jAi|&)M`v^Ly*IJu^0&Keevxsj=Om
z^IY}z(SLd_zBS%`{+?a&r^q>X(u?O`t$nsb@=e;t*;hC2<(YOaZRhN_dCQ(}x^}kC
zeD~(atmo<T=GWG3+kSJl#r(p&ZM!62r>&ZO@Mcbzyu{(1SIr9?KE|B5nNw_h?%Y{}
zXkYW@P2HDw#lCoT^Kshd3ud!7{<PkFbJ|%kbMMVFXT?6K&r{oVbH&rN6f^EUGJjl_
zZtA{$_Rg9Od2MOp>(+0W?RnF6?#;6%<r8PkPA@m!VKMvWTcxti8in<{cWmN5Yg|@b
zlu^cLH7iw^?@Yo&J)YM~V!l<+eE(2St$gAR?&Gc+yB$QBC2#KN-V+-T7WM9t(T76u
zXuaFLciwz6x_kOVj9PVl`?<6|Tg!^n9nDW~mYjDw#`bfM)U?2RPRA?{tA6P}BfcYK
zLheFQ4XsHw(SLouZnl_z@Tbn#&F1qL)|LI5Is3eiz4qUpFPqKgZ~U2aHhuB@&bnpK
zHeWdJVDJ6;CMcjv>yG`r`7C|b{DnW?oJpTKf96k~&zn!5*Rj|B9QibT%KUoYI<=pX
zPtsHDn*ZdSNl&ul{(SRsdf$B0x??|XK1%PJuUgmk<L1Nkw)vuUZa;25NN<|o`18%_
z^f<fMpCqTB-&P~`L-Ij-{rsP`*S^o3cK(Om?cX|}=-&Nl=DqaF`44N;o^IBfANcdl
zyUm*OZ`Ou=pE>3H3%l-5l2gt<vzz?;P5sIA!uggzdERZ_e*TWx@4IKpH{Uz^E$7(o
zne)z;nP0xEb3U!Y?DX9?=hDi|4&QxKW_<f>migklIc3H-&%VkL+b#Jft;DSQZqB*1
zb+b?2TvKX%?QEEN@LipAX$5B9ci)^%TQPfX-m^60%V)jJmG7=Oo0el1z4_*gv_-R5
z-qa~EzEFSmQqH%XGoPo;on4r>ZRgBqX&GkLn<Jm4rI{VR^QOr7^x0E6VLK(CrcIvh
znJ2bWa^_hDbLq`9XPiBh^KHk>$7#K@4fD3`nE5EJbGBk$*^ZeH(^_W>=9%r7`5-O9
z?DwXb)6ee8IhMLvcQ$Jt+m4&})9Pk_&0UsiyzlHcv(uX-r=6`|m$Php<h`_t*^xKb
zoJtEZ+kHFdR9e~WtGQ~a#=Fig%3(|0yz}f6v+7NechdaKns2|!HQpZk=iQ-l@x8lm
zZ05cf`{L%&cX}n|6Vt^j$|mmaw%)yAGxwd?JMRvaiErJ#;O@~kdMV|B>EauAH{4~N
z8@uOS(mB1Da>aD<wYwAU9(`4>7g8>mE?!VJaaXtbZil-^U+Ud?bErgo>28I)uCrq|
z+&nrfw&$HwiFj6-;4bb9u}f|qeXeIyesL%Fx!9a{PQ~IGWrb<tGj|(o<~|b}^X^cQ
zcxqYTPVQ5&KJT20#3$}n*vx$*w&!N+jM$c&M<46SlwaJzeJobzol~KB=Wd5PN9!Nz
z@stPd;64<~^UkS2JfZAks(4)4#Z>W_vW=<Yjk_7{9KEmir|jZ(Zr$AvZXdm;x9JVj
zX}xuCobtshcOSUjIyE-rChOGLJ8zRt>Afin+|I4Jd%<njDX|VWkKWOHQuZ-Lyl{8H
zChi@vd)^*;yXpSv8vWOMbIzyknf`KT&Ud4`r|Un(v_0Q+=k&XnwC6^5PUq=w-n-_T
z(XG>I`jhvrIhVR|`oTE2=bNsdeirkrYUZ5NQToYybG{l~J^d(#?fIrFr|-qIRo#4<
znx|*Hcg+{0OQ&ze9DBCObb4=`TGh;1r=9ef_v)NYoj<)Y?pmef^VHeXGvnHxZ8Dyo
z8khFW=*($7{mu1z)|^S5Iz2GXta9d))QQt4?&SHj$#8n-PMtHU{nIVuu2o1rPVJtq
z88@v$@=<F0bji4|3dx75&C@yK*q&|DpI*6h&FRz_z1u~R4^kVZ|NU!v?C7J@r&p{v
z;Ts-!@#3tLH)71pB{Nq_Z!+68`7Pt!HNkG;?4Q1V|H3PC{DhlLdrN)HAFj7`C!byV
z;HP%|*Ni#G-~7^(*EZpCsqyjFnm<pYI6rCszU0E9?z4Jn?%ztb_N6PPJV{xqnwB=h
z&&Gbe!CFHmSLbc1rPFW5s+HfIeDXw$TB?y*u3qu(Gbd9Er=N{=Gru{@cJj-nOB45g
zw*FNmc_(GxbjOXxl9?}GN}EicTc4RS$0xApqk!R=8BPY1OK%$86xRz^JG_bgXx=7`
z$tQiy%735sUj0KNCF4!<hIFH|HqU;}c%7VY^?Jh0+CQIWyh>i(ztJx3`wW?bVTX?&
z*i!jMU?TU+<Q4sbcE_G=w1}3w9K`y5;~p#9h;MS2t9$a#-%c*+{`u~comy(%M)Uri
z^>+(5-FTnuFZQ}tC(kh4dij>3BJt)}9@BHLlGbmXJAVP_kYzQGQ<+P<(i1iA$%oCD
zaqY&<PQxvXuFgABGSptr;o1D@^CpeSKmEQ*n@7G+nKijF?wi@oC3jOcOujlZr)*P{
z)#QmYdrFMVCol9fvx<C|QmNLx*(&mN%DUZ?UH$6QEM`7VNmBEk)AMoDzLST1wy_o%
z9Xh$o=h;&u-N}hNd){x_dwP@4w5LWo(_?q~yx+9v^as7oMUhiauZSrtzd7Z&lYH>!
z884C-_phyd^KGMT|5rP=-!tAO7t0;4<@sW0-G8@I=ljN6$9?3jYkAHkZ|Xm5SN2_E
z=J5|U$6oxn@i@7DZhxZPx1SMGhW)*jJf93*b^8_F<oxX1envb^PL<nS^JdfO<Vm~w
z-`XvEzR{+CshwC_x#7K|A@bFqW=uK$Y>|zd`1jfAhPSRA&62N<KC{KBM}7YNxVT3P
zo+Sl~t(Iox)-yEWiHVPib#-MtHsxk)SozE;r(fuG7fDVz{Y-E2?)o>NWMa9K=iR35
zr|+o!o_nTz)4h}5e2!VqoOiNJ{qkI$^C=Z-r{}&omr|y7c<!4rquVF5)ECdqDKolx
z@|BO6wd9+W61D2NIp<Q=O+GnuO{vkflVR$?b9K(86sUR6eRDQt#pJnu&(e%8pY&2!
zp1bC3N{(8z@y!=0izcs}sZ(N9f8pdMpKq2kpQp^7T<EvWa^|y?3^i-x$Y&{OYKP~%
zDKa{J@{~`QrR39;$&)?(#4IIeo>WkmHl8`-<RPDLps4GeZ0NVmV&<ci&dG{?Wfn6Z
zrnF8L^fR-V`5+}h?YGg)=_hyj982A#JDJsw&En?$l)A}ZeV3&g?K}BR?X;2PwEC0l
ze3qF<-b<;N9658%sgw}4-LrE}rIby+>Z_J&wCm&|AGXv@J5N4Qt2T<flj5h=Jo`<q
z(e~qY@}FzheBWr>{}B`~caN9IpZ+W{?|6~??$0yk9xssJU3=!+##_fT<Y(9VeA{T%
zf7Ficx5V7zaq_c2&v>0&B$r*=^L69Z<010(-Jd1q91oJO{w(n-d3pcN$}?X!UOMg}
zpZ!^4_VH^rX1`{<NY0YuuI2f%(WJlD?%B_X=gD*WbM2P>l$dq=oXxbKGiDw)kl$T%
z=F>)_{!lx&pEsT)PwIEIb9-iZ;`lKewPza*`fcr={fKy++|#dXx9ms6qvVc$S-Z3!
z5f77F`g!Z^)P6|JIKI#3+0%`B{e_h|r;{7|8STD(pK(9Awm-A7=l#aL$2ZxuJ>96?
z|I&8acZqw+VREZKNlZQdPHy(68F!OQ`#mdr-fh(AcdX2LXSnnDJR7m^GbSH@AXi;|
zCU@igqc!5MV{^_Y?dg6QnNx0f_vj~`w)Bm6j=s}LOE<i8G_PKKbL^Tj!&^tw#3#qD
zIhVAt`=Fj%`o`-=pXof?HDk`vDDmXjoKnN9M<401rEk1)^qx-Jt{X3t^2Cf|*OVAu
zI(kdzSlUL@?p{5$T{C7KbrNTe)j69qzq?ZJ+D?h*Nwd2%_1e-l8h5AarKK63IjSeV
zIcCk7q^aG3dS*LkJV~0^T|Y6Br)Z;LcW0!|nWX-1OTBA5BpxSqcWdfR+ad8Nsl8iL
zFKmaz!=&bJPCd4?jr!e{k!wyT#faVB6!9Rbq5H4yv+WW0lcK~PN9W{k)ag!)d~+(P
zs{5&K+x8h#kFL^jOWnBp=rWzO?Gbk+cI?}+i$z56X6sY0!pBSZ9+d@U&U%x@i-eMb
z#1=>EoJtB1n;q?ww^6<OsP3~A!yQNOi4|MF`EGFka25aUVu|;OReZN?eZFt7X@6*`
z^L>ML`%O!p?;CC(&f#Be+w*P1&BH1DtBWJvByMQGD9PL=93K<w=<2F<ao-Lek-uNI
zF0g&`b%SO5PC2#bVjJ7H${nlXz8<}${$A794Ob6`<WH=Mm~;4n%(SW-v!mzSdvsPe
z;Bdfxn|n%UblLJ9S5$E8$L1Z}F{7M&@80N)oviUwqtAFAT)lgD>s{Ryca-$rd@(R@
zpD7nsCGj#bmoK^a#;n6`{KB?AXA>8;H_A<Ww&DC?8~)ceJ)bw6J#517Tzq5ZVI%g_
z#xvg4Cv0!tE0>nG!Mu4cpIEv<$n}HI4oLcx8U$ZE7{x9v+jBM{`wEX*mBh2e>Ftqn
zVb3<4I;_Dzn`g3(&L;z-c1Jn0X9kAtt(I>JH<&cH%007)c$V1PtSEQPV#d?N?siSN
zX%!NW5*9SiwS4oz;P7ECeq|Y*B7;+h+4zNJc+Ms!)bsrodiHdKUVEYBnfwj=4{wtZ
ztB`n*P+tG_-%{%tA_iI|yZ7v?tbfY)EiE-~gH}berR1BF34#B9Ev;ql{1yHrp|t(4
z?6dNS`-xF}kF9gwZ_sH^w0v_av8w&4Y+Lz^sfSm|xINvl`|vUjxwP_#yNN-3i>-A|
zB?j=#w)T0qLA|~HsO+;R20ISl<15~M^u2CP{>AEU+wBkbw$6)wbIxgd>pR^~cbLxW
z7UdT{7c0watnR)YedFGuZyUt6Y@e~W^^NYUJ4)ZgHf&!|+<iUz%+A)=x=-#b`YN_&
zd&J(>SGtexFrCv4%K!LGY{hnqy{)sOXWU!#MQq9TjACxH?GeS@7o$7s?>U{-b;@U~
z>NeT_V^8aIU90?qmEC8fGwv~+)jfAd>9bf`USnnV>F9`ii_Yk3<vUh(pN#gnr}RlI
zC6BSP+i<%;aks(tiao85b*1tTR&*bY*0`tiQLJOT#h%uOx?K5=72OA;Iqor?(cO3F
z&}rRWcbZP?Zo8xOL9Ai>kKL{Jb?blSEqp3gv;D?y*J;rSJC9C{esNdny;#Ne4Mp8r
z+ZPmd?~eA_*?L#^Ri5Hgv6Af_Mcf+OXY6jhqdV`8(mOG~JjU|w?a@1Uzgf?CpRl+2
ztz=KRfo=0!zGc=C^A3LCnPz=s?!h-aZPqv5CTwfI%I9W%<4wY*=BtuAWd=76zTo+0
zRexj7!5H?*#u2X*)-<o>TV@q8=imdLX;wF89}Hl(mOXPeVOjH3J~yj~*#~d%Y)jj4
z@t_NPuyMqTgayq@CEpYqoIhyA{#Yib*x>BJ3p{0M8_pa&!_#JY<7vXQ=BbiviVRL2
zRAZkEN^(Zcj(lcm28PY8l5YwPjvtg_PnO9kG^js%P>9`G=1qaY;e%Z4$}%|x1_uuw
z;Q5wnpx<07*>gG}nvGkAr(nbWgMZjwOZ%KosA+!7J1x~fr#V*ACx649gCE#78%0b#
zxPqt5{Kk}nZ`f8#`<zNBX?B(5Ih9b{e3JKD%7z^W=kTzZ-?*KSSO53l)8FCm<E#HY
z`s;l@es}%L`hQbFBR5a~l|I+Eu7CKi^!N0)@muRJ{xkhO{Y`x7zplUB-}J5O_x>||
zu77?1lRsB~iNB6tUBB|5=yQFG`lbKAKGVOl-|v6X=jkuwm)1}GclDXRS^e6d*<bW8
z?!WR!^q2UH_{@L5{+#~2{@i|x|F`~lf7UmtpZin$v%YbC;=j_L_0ylmr~X^@r~A|T
zllxWvcm3%;6F;H8_ow%n_{4uze@=f~e{8?R|ENFSAJ-q*FYw>$&*=~A5AEmpFZIX!
zgT6t1?a$Mv<759l`gDETey0CSe_p@W-@pIYze}IQ@5fiyr~c$V9UuPh(r@ke`g``T
z`4jq7U#tG^U(=`hyZ68PH|dl3-T3;ze@&mR--$1(xBaR8PG7zL;NP#`rr*}7OMhtH
zeJ}FOOxE{0U(O_zi|s1s)R3C=_m=t@@q>|T_<lM3vbm|g?yZ_J=j4=$>0%XW6Ro?g
zH*YZJz7u)p+@UhDt(zCjJ^Dr`B|R`*Y~$vJxvX;|_nb>QrxTN|n7;lf-|yFA!8@3`
zuhmCx=$mmwHErXsPSZDAu0=1lI2yCM;%MyV8%K0P(goAS3eqN8b(?Q?n0xf4&Yd%d
zO2n3KR+#HLJ95L!qq8D=&N-EcWu*yPabJjBGV|zj9UJ-CuFrKW(l=(Bv^-$Z{_$dd
zBj1LGX0ct|y$%=8-)l7#i(&4%_Q^<aTj(~WH!d@8)=O}iEKy>LON>f(o0+1bc+^18
zZj(a@&*eF+vm)orbbY3C<_uG@*!0aC=D5y`3^~_SBsO{TggLHHbWWUMDiTXhQ%n;}
zO#5iTeLT|STvMS~_vU~(u8(vC(hDuP4@ZieYbp?H-YhVObw*^(%+~3LBYrT--B_;L
zzn*K}Tp5vF2Ak>)jldT%iT_Qwm?{>NwlP(#aWli5qxW_Gq+K-U*4_MI_R)Jfo6azu
z)>(JPDPOE|^MTo|QzJuWvQCY>b2jOe&YQGAb8gMe3ue1ciFBBG^p4Jxw2vubg_{eE
zxOYVEIeX~sx&@1r;`Uene0O#C^?7?MzF*pW{axIy>Zdok&&TbouD^Tp^trel)py^e
z?hbz&x25{*JJsFcbN42FZ@SxkE^dAG*_)?J^)0KnzB{_>`m4BA)kkk~pNm^rz4s<}
z>H5oi@4QLf75*}AN%hv7-Dl&nze(NoF3~rwZhgnPD}2^oyYHXwtS;6+x7Y0Zr90eb
z<I=yKx^wzW+>Gkjcd0wWXYSSdzNr3Ac9H(cy-MGeHj6)rOa3ObIef-mx$i}HRu}3Y
z+bi}x=#F-w{*k?W-;M69F3>-;m+iaI9qu!6@!vje3ZK4r$D6IG>ksT@`2Oqm>HPKk
z_HKD|bo=#tagpB+ZMr^n@0V|<Zgc1BYgdO#GG7<J-v6>wUys?9<IJ%D2~ow^4GnH$
z(~|0SyuGu#9ReFSD6iM3zG%B$TtvN~{Ohxwr$fK<$wow8JFs{6k6%}MHgURc?u>k9
z#FMf(VWGI_C9~?gZ%v=-S*)tQdABtz<cst1)p`1w)feB2rs``{pM9&k{rcp+kG>Uc
z62B9dU%m70*Xrr-WA~Q7-P!$J&$j&Sy`|OR^LBr@Gqt|@`rO@b?zC24e;d24{OUc|
z>g#V}H<e%Asr^mw#_kt)zE)kIvpeSfq~h?`v1`iL-dkD~K4<rXJ5#H!&)yyI-fHjZ
zv$4y{r`~g|3ZK3E#+|Lt)?M7~@;<0I{6*}7@})apf7Uy{+v@$JJ=vf2&hEZ&r}Wvn
zGrP~+X|25eG<I6~)Sat8)$5(wt@eJ>9_>$hM&*w8OrPl)mbdPF{Za4uZmIW4d$K?3
z9o;ST-f7S44|<1pbG=vEll?*O;O+x=zCP8{FE8EMeL6P!9oHW259{{t{`2nDZtv5v
zHRW$@pM5oc?jn9IATTg|+U^Z^N}uZO-Tmp^q1~rX#i<<v-#6yv7$Ty0kaJVL;YJ-j
zebz*_E`gHJo!zHm%gcRto_@D(*X|{ET+73!>|Sukw0!!VSpRojyHB5tEhyi6_vyE;
z`{6(KE&4869e40Im+kMK%A<Nuv`gYAJ{PTsoA|rSdi{paTzA6n>^t;LbnE&BwMXA*
zr^E+77u~qNp_X-S_?~@9=d@$u6`zZ)U7t{2d-RodNW9>4(So>%zq-uVJJcS1seNb9
zp)aCK*DKVz&JN#Dd308I&pxLwqFHf*zql@hFR48GT-zr8;!mz~;W_)9K8t3=6+RQ4
zx!&M2m(Rg{`yKRRWmGK<)elw})*OARtrH*kOmyn{1vN*XXsg5v{^T-R-%xXOMtDc1
z>x^)lef5VviuSHgs5$ybTO@ws53VEOGW!mF5N%zrP;>NwHcLF?Gtv0ChfhUg;|@L*
zjgAxi!F3?~&)!4tMQhhz_{6m@{LNma)7l&MJUXTQC2rz(u07!qm9A6611gW+)n2ye
z&^yu6^%FjE?Fx6PWStUzX0OvbQU5r>?_BEZ8>)}q*1i+=v3@t#{m?J#lFn;YgfD!)
zAy#zv>I<>1^Fkj)y1vtTx8~3}tpf4tH)8E)4`uR7KPzar{gWur{^N*JSmAE2+o4z1
zHI<2OUOge!b#Ca9bxdWVC1D?Tby==1h;5w{x@BEcsp#s}0kN)gLJvf`zS6q4hN)C^
z`RanrT$e+qtZOO}%?&$PpC+0eX1I&%V(5xU*B4q2;S<wD=db<{<N937B7EacuCt*F
zB9G1tO<8y7jF!RkDiLN$%Sg-Vi&fLqW}V&n!-7>hyb!bzV@jm!Q!R~f!<}3wLtWN2
zozYSVH%t>v3KL8d?O$CG<N8=jB7EZxuA`wU>zE2f+gBUJxIWb42shkO&vh`gA@b<-
z(27Xc>7fOYN2i6dtYaz=tzZ2i+V#HHmNiZJqSdQUY~s>cy<-#C-q0s&nes)$!U9u8
zL&6HTcWJKP5bb(bYss3XJkh`~##GVb)f1wRP7Xb?_RvYK2Voz#ac$pO_x#h`)#dAK
zi$9)Q`dn`d!%Dm6-vaM0Z$CffaIHYSsHE(7L1xQq-c8kiMUUmn8~kSYcXq4w^mj3p
z&kh-fzl$k<w#iuhUCj34o99BU#oxwkE<SlKG=1HToe9qu8DD=LQ~a!GuJ^f^wZ$9H
zZMB;IDyHyRlX3W~nEYo>b6=O}nHSGI7iuN`GA8#~lJWIfJKdfO&GkMTv#_}F+|;yn
z=XciIJbyK(yLjE%ohHwnjIYn!Y4qI4So~Sc^y0{Kp=s+*?bLWaYmRr(x)VE3oME-R
zK4a&RGooqhj_;Ito;9btaNW_JBG0|%a2KvSyp!j-)|~Ewbq9B{JZCb#K7Hq&Ggnjf
z^onz5Y8U7o*!l0-r`fCX^>m9rp51C5K5gfQGo`6|dv|`Se|Bj0=~FSG&lVY7zZ(<$
zY}4%3d3u_~7te~O>S+|8J*#Sdee%vn&x(x1@5JO6@0|PSyU4!fFKmy_3;r<A>AYr{
z|HA4no8<?JyY2+vv22|i{9xXrZz9{4udro(tC{AnSlwl{ykqW3-@Mz$Pudm!w$U`Y
zo&9^q+t1th@3^rQO=z{LPf=POyFkN1>y74%HJ+P0d~Ucd*lA*1*=0Oy4o6qVx{QUp
zDpwci8f;u%kmCGo?aAPt%$k_jA4C$b8O3l5ebhO;d0Wcai>nq*E$_M>e8%$16Pu&=
zHGlarrs;UC@z>Mbwdd)Nu)9%v^KIv;KG(UqxoC5Y|3mX@^ENY`|0Lc0eT`22@(V>=
z2R1L5bLgu`k>ABCu4}<-<~e;8DfDA}F0yiYMKRZv;5qXSoz?VlU${D5q`JoaV>Q?I
z;5}34HHol3)BNP;Sk9&0-JhhO?5<eZrLlN{4eLG4RSRa+C?CHn#`;!6!qYumSJwDq
zNLgLer@U8-E;uW)UM~|V%)i_DY1+J9+V#5^Z*Y3fvf)GLd+EgY^V}W!6fVzNbXF;6
zds?Xf!DoWukM<n>b#1o$jGJDy+$Dm=avz_pz2<&m?^dhlBH4b1Ra_T?S5#(~2=3~>
zQQPuN)5)K)s>@{gkCLUzT0f_~QBu>s|Eoru_sz9gt|{{leHMwHyZnL8(Px?_{*BK>
z()}h@)^iyzKVWn8siuy9;4_h_%NN)jebT6;WUFhwd_v6Y65T6XgZu?|ip>t5V9EL<
zYGZb5B=?!9>}x^AU55Fh^PJ9T9-8y$qe$QK3>((RnqvM7e_VSK8Rs{#g6l}IjN7`Y
z+r{ohg`Jxe+kGl3^4hKF?tER{<px()6<vE5xhwstW%s#yO|J8YY*v5PIk(yD{H-Ff
z*}($yu0GSbwAt&tmksyFHHSCzoWCT~{b}v4?QiZ?eG;1*%(L!lHP^wdb^eUcMB@D(
zKGi+8UFLpO%<2N&!&~|MFFqBCx#lFpeKun1@*75B&or6N&$4EH5E*%{sVMB7=D%aV
zWV*kL)GdEuefqsf)H$wtne6kLKm0b9cj+uYP}HTp{DQUXJ<Sh(iBCmB{T!c)lrNuA
z#I-y4%3P;YnlJnWpNa(d8J2VH47Ra!y`wp24%0i4{N)AGN8fAIxL>sHvR(W@wsl_M
zn?9#<kxIA5bdjBlcNlY7FFqi9^sPpYd!RMft-v#VPGuscZi3caHv%t6)*pSX@vP@i
zsYsFAMJujrfouAlN<|9Y7}G^oF0L@<x)M02@6cHdANN2ju1kSiBw1$%u8}<YLc_&<
zVVcOo#SF5pvjS`S4i$^cUHm}i=rauy_r^4lbhn9?T*iwJ$Q%Xj;0R0;nYws^%+V(r
zD(-@oTt<r<WRA`V?2vSw5optQsJ>97cX5Ku(MK90?h`Gzjs(i|9V!rMU92E;^nnJ8
zJ7bziyxYT6kyy8bsUp#Cf)-o{0{`?L$``3!e8GroU*MZwrPCT4dLEt9_~JIvoNG^D
zgrw`#zyQgkcQuyv9Lf_ZT|B{vYgeF)B<qyGGrdlEBK~fI=3MHF8>Elk*0^);S8e_2
z?`!XE|F-Ao?`iY4m)*Zqt9?GY;@+v+*XN?k?j5Rq{Z04w_N@DhYO}xT-rWAm#wky5
zSN>5ury{}1drh_7=c3o=pRGJHb$iVHNuR@BN3Th{7u8$i{dKKH{=rJ^Qo$Yhdn1p$
zi(b*aq4xC`UGx5ioSGv`md<fM6M5ui^s@Y^`&{dPh0Wf6W6#!SYcFnhxgYd7?1l29
zdrWt|K3{vTq@}*yM)Urwn(kt;__(-uS6AnD2{Y4+Iddah^xL>+rfoBDKad-%x9EFQ
ztM2KwM$uEFr*z-=%wf*08Boxwt5L*Yv2E$q)@etdwk}(6;^6&jPogK~yY6#+rh8)h
zu|2BK)*9s7?yG<LBkXZ>PrmNHr9Z+RMR(-O?o0g<_At67pLd_?53w2B_w9N5bgf=~
zVP*E|=*E1;eP6#%yB}SfpIO=ce(m1voA$ImU8|k{a_`jdV)vrM?ydSHHg)^Ed$T@G
zyBl4a?^)UXZmmYXV`cU`-JRR#?GgPxZSwX9_o}K-=dQiKwdVS(*zEfAQG2pqMrN1m
z-rf3XO>6qvJ6qqaNln+ivo-Jfrr6bGy0^BbU7r-Y`drk;?1SrE)7M_#`fSb9UDM`l
zjk=x`n_a4Vb?c)wtm$j7Y`wRpb=S3*QF+&lVpo^wUfOzV&C#^ArrEvgRCi6Awbki5
zQ>^ycsQKBI>#pt;dmc4AJ9AxY+FIlK?9_FsX}V{&>RsOyv-(Wb)a<}@raPxSiJF)_
zF_ODztzmX&r1qJp{%p&2S9gd#j_S_VTsL)x*rTZSY{_+@JH#GFHD`0KV@+GDpIsTb
z`gByxwOgCQ9z-={|6TiZd)WP`sB4d+v-8*LWG6<xJ{47!{d8^X_GweMu3F=ox_0;0
zWoznFw};)03c9u^TKiN~z_nS?-g#@)vyZNQnxea7>%D75)~~<o+}~Vv{#LQr`^c(u
zw`{$?udzvgXsP{ujdl7>OYZM$Zg0*xzsk1z+nSr3Q_im{4to>1A^oD|>#u7p(|68O
zeZJ<}=7{rAw%%XYSfn4c)c(50JbmH3(yD2*H~XB|vhA+_vc@cZqh<El$i?ZM^Oina
zb78Z?d9UJY&m-rhm(Dv{dF@%`tn`JJug^rzOrL4V{dvvl%{u3`io>2pPD%Hjr&<~I
zBr@e(lTG%S$fR>z#n&E3_NAN7J6dt=QDj%T>b%y9YY!va(naUFR$O}!*_7UB`TBHZ
z+__gpV$(Non<H8w_MkqpKK<w1tL4+CZT@lYmbLcj$cS^hil*I*tW1A6H}&Znt@J?4
z*YDP7rr(?!T0U*c<`?I>io~XDes*q>_3M+7h3S@--0#+G-+brTFWJ-OYwm6Q)^pT)
z+PsZr$1llhpO2_Gc1rg3xrnl3hh$%u>D=C!b$pR*cA3u2jjwt{t;OC%lpL$ClFdFB
zu`c<f<mytLYa7Fk2gz!mizqneCHwkp#ERs(eNWSLE^qWYt|YtqY(&nnDC27{A{HgD
zl+-TKxv=q4&sWQ7&m-n07xrznoc1gt<Cv9k*t3YVV~1p37wMedc&aDVQtWBO<Ydo2
zQA@Fz8x@XA8Bd$B@lelKi)oJ|dXo+Nw$@urdlb=`tk_p-G3{YQYqDUUsl~Jh5edhB
z8BLqMaaYgL)HS-vtbME&*X~EuC4cQ*nyRyJ<F{j{jKrpGT-USIJnUXXMRKI%>QfOR
z$973)pNc3;zS^srs<Uh3q8`@NH9I#xIaXy9b|=E`Sd;YYT%GOyf9ei>7v4Mn#%IoZ
z{x5zWseh+lVn6Y@aE0B(-<{U;H+<&2<A0~_&^O_&^B4R*@<u(yKJdBl#`z6@S?2oh
zsY^Pi9%HZgTzKvLguh2#sfXALJ{K;qoA|5Ke7?iqBQMqO)ExREymY?8Uzgeb8-5;{
z<=<21^hG$!PVg7!1^*>Kk33hmvA+m9S|+E?>9cT#UE#BO;hFOdK69S&kEuKKNjTLm
z@F(Xff1f(1Pr?)DD}3fW;otMKWrlyt&m)i3W$Z8h;5_E9Q|I(ixO2Y4pCb>|dF%s!
za31pKsdM@uoM89ysc@X##iznCb{n4xH_m7HbL77IAG?d+Id$hh_<iJ_`lcGD)9UML
zoZbsp&Oh+GWvYM3PnP<r{&#AVPN~1K3;fQhIe)=#mnr@ZKabo|e`5FXiE!ckf=`?~
z{P)xz$`#%}`@>zA_iEpAn#zT%%r@@kyzBeqCd)kECwY&~slCZzDi_{9d&6Cqw`v*Y
zhPyd$`d+!|@<uJe+%a8v{p=Z=Ij{Skxasm*Ey8@`F3zjIM{csr@m-Sl=xn`OfO+9A
zPV?CYcU@kpd6-{J6V5T)m?pe<w!qz%S-vfKOeMmZW*>KQp7$-uYbq9=J^R8PmRY`Y
zZn`{EJCnmyEIfVohC41ZeM9n^ii9W6o^Z$IiQ0)ArXt~FGsQIFM6-`OIFI|9<TVuv
zch3&E<MK#Nz`Sq==V4!wyru%-=Gg-EcUWfl*4%8F?ptz`Wx8+9O_v914CWuVbME*3
zlIwI@?T6XM?VUQa4{Ykxo_*oA%RRLZW{Ii7p=OS$!sWAPY~tMQdnMQDl-dh3!BpV@
zGsEqiJAG|#y4+Ellf#rJoIkr@_mTIiHToB;J8h>w*xNGC`%RqFccDtX#^*vir|&4{
zw633iVDFK)syX_B)ttAy&%`->6DrjctmeGoePQR3*Q(EA4t*6W(z{s2dChxGoYPmK
zLOsUkLMx|N6mwqjo)dTItg4TGU=`;j?=3r7W_z#MdE|wvi~hoALJOxe>~)#tT@!cc
zv(Vh>5B3~+rfQ<!_)I8WZ(=2<@$>_GjyzS>(GPqkR6lk4f;~r`sH*4-R&p9mZ`gBW
zhIhwKml@tRafdz%^-fRNbL5e#i2lS1&LiG3afdz#wN6*qbL4?4i$3Esp?JNAPlaOj
z4n7r%))TDYJmCE&_RxEw+UXaHIQMzKiB&qSx*_J#Db+7}6U#aGct`AXnd%*|^T=J*
zWif}|36)NtP{g^b-rHp-%M|Z3u}<%V{PhIOIn}2(>^^c^^^V#{YtH+gU;L8Jt5m2j
zOc&Zc`NCY6d7ckuy1Y|)=X2<sN`ZQzHRo;5D}GI7LYpT~nCmjv^N1f)nNW$^N2^ZD
z$pv#;=6G)LYbq65Jvm^m%N)-GGhJS(-1A{76<R*Iz?k#0=M=xD5~2EBwS#Fw*=mMX
zoEJS;%yfC7;-Ee;O=$k)4|80et5~RSwB$VNxnSmznVu<rht8-Ns25stp7xwF)8(m(
zhPt68=Sfc&zos)P3hIVwLP=_ZX+r&z3+A{yR*_KOXu)~ZQ^k*|P^f*f!5o)|Dje#D
z7Muq?8)hDv?pZO@Wx8j<%p=q4Jz4yi3WVw>f0*rZUuBC=Q@&93<P%1mI+J%8aqjhe
z;>(mT6s8uKDioqtXx^zgdBbd%yDCe3n(~AK)fiKSiYHH)ePpud5#K{6RUW8)G~?Xv
z{>Sdncfq~=H$HRRbAM5J<ehSf{KV&i6><}QcUbpt_{?#~{f^zCZ-QI<7t|hkqg<aN
zANX8wV}C;}%Ut(8c1h=yW8@W|3$E=?s6FyZIYeIYxnP0Z#9tle{SLK9UMk<QIrK$v
zX}?0P%WU@zl}Bc|_t-go5zLYk{Kav>eM#k!=gKzn7eSXt<=8oW7R-<<d?q-v-{3RH
z8TS~wL!Sgw<pO_loO1WEbNVDWv0vdc$BBCPp30UP?k$x^9xKbpU;M#w%w5OM>7!t0
zzeCNDhsr$ifj>A7x%1dLeGp8L`}kBaPVVAU!5F!XPX!zM8ETH)SN<b+@jHiZ|AXoy
z_mnr;Fr8LjXXEr<u(JO^b<0%ukV=-R?ssgHPAR{U3;fQZ*}tILWs18)<&itePvky6
z5iIPlFZjf<!+nqKp<KcJ-5+9I-Yb36X(|`265F_&<F4zINS1l7PxKz0Q+lJrR4%x^
zdqb?tTcr$f!`&P=U9UvCyirOJcT5*t-#ue9$92~ekuI;5BE&cD;<)O1B$8#0>k_?3
zXO#lP3wLptcN@gIyj1cKznCVNBepS3aB;UlY|AXymU=y=62VNdk2^WeyO!uR6${Sp
zz7WGQ%XLnq%QK}jI!wia)4MmsxXg47(Q7IaoZLO(57%1nZdPt#%?%e091BnowY(rK
zsM+KrAvGgnTEilaCM5-N!!*GpF~Ky!{_cVpm&ZyH;v08x9CcOEV=5GE?>6A^*qK~c
z&nWTBbEzyBQ+>h<cFpphlve=(0T(aKm??IvYlCpAv#YE2A-^fC8eCG+T3SZZ&W&aZ
zUKq%mJK62uuUpX=vE|2()Q^Rmg<s!2p8M`(giNgPeYO4FN5$@`lx>@K&bQ$GgNv=I
z0WL?}!_wu=o#eMW%9}gNn;S&_sJZj!+pRZ`*;bgl-I{Lx{mAipUOm4zyz^@B+*x$N
z^k?p(=VgX|cQ!D(y42d`wb<pwvaJ5GgSF4~-Q%+7&mS){SKHZtdtSfvoEfX8)ZSTg
z!}R0clk<*d9rY<p{n{cb%KGC=q4J&MxfhQ6e%N@W_RayDwq5<Vr`O)u^XBojRC#kf
zyS(Uch1_?J^A^Z&-(P#@&$q(**SC-Rp0>-Y`Mu-q+sD&V<;`_|?})v5+&5o-`<~i6
zAHEfC-qasGwf4@6H;>D<_um$gH{V@*=he5u$)9H2vCAvzKl=8uSgO3a#_t_x-#%8`
ze&fAeo`21qN8bvIH}y;3vCGT1%gghv%YSrx$#=EtSs(7X_)oq&`^7z#^S&SMsC-we
zFV9PQuC~K`=ibh@zFGNB)st_|K5=i!Ip3swrfOlUM5eBeV=vlXnpN&SIcLLyMM~~0
z=1n^UPtM+P&*hwNRQ{n|6V=Tl?{?gG`(?K1soE;@&d-8J`&I6-obwIJ|MX04g}LS4
z&e^kP+*|TRZHaW^9?#jcH|#t)t9M0S%2&0;=7M|cJ7?Yga7L_r@`amP>5J#&7MY!#
z-Ec0fVzS9*-g9adv!CbA-ClTaTcz}q+#Q<*?>+gfHc!6t)5IM&gVL*uC!f1{?#wjv
z*)wMsoMW?=o_jMceRlEWvp3hBS!N#n%-1Bp>6u!3-lR%lWAlT1PCoV3$=|)#bLQ-j
zdo7>TCYw*(<N3t5{=^-YPio0|O3&01^FCDwAD?Y<ujQj!w|U?m&quxj`9&4Nhi8l2
zYx$toY%aKmbH?nNot@KXm+a)6K09Zp=L2^J^P+U+_0o-dIUl&|**E<ZG?Bmf=fpGL
ze|e9-PdwOr<ld6^YEgNI$|vugowAd2+U%H}o%eh{<u#QHYn!hu64t6W-?{tbl-W1#
zF3B^yINM_<=Uv}LcUVsOKFd=opR8`)xw~`n>;re7yj9CH{yDevy-!v8rgXJE#utqz
z-<|p5oXUBh4`)=$)ymV7($#ht@0{ED)+a07$$Ik5nJ3OIIp>p<&SWiYWn4M;<eZs1
z&bgfPiAq0YHTmky73WmW`8+tIQmR&;pVnkG`SQ#iGdo}Uc%?g8O*S)5G@fi~EIGIH
zh0m2UDkW+Qj6IDfpPyNAZb`A)9OIjFI-mJmIP>I;k5PJ3n%a!*xjS_~m>szNFRjQ@
z`1H&vGd-XBXrvoi3ZI<ma<1i!k3zapnp#qtP?}o*_M7*@s-@rN7UX&FeS0={#rC=P
zj@3Uk+kN|0+U;WLySYhu+{L#a=k{$ky=RuH)@PhKhx4(ISo$K1$wy`?oO@EB)@H0Z
zhx4Hi8|aoY1LL1Y!urN9jfC}#ZyHTLFq7fjlYF&0<Cn8Lr_KCuHYHyzGOa09Ej(?J
z`Q$w_ADlgM%4gM?mQz0O!gd=?)->KY+w-o^k~1y!d1`@aOsQ(c#uI0soILZ$*&`=?
z9;AIT6W)H6Dg5>(sp(gDt(lg(_1e`ZYo7g@bbtDfeM`QpR>vLsEo7^Iv$pe{_oqE9
z=e>*Ki=L~Ng&qDRbZ7dVeMi2jZe7i}&TNO&!>rcTlIzrVN<GdBi*x!dHTQZ#e0S~I
zbJ?53H|{<0*0n&tKIyqqx!$45i94=7i&^qTY1#V3O5M}h)$2d*UG`L|TJKV|;Qj7D
z`<|5vUb-3=K0Btjc<Z^VHsQZ(d_QkJd)*@bb<Nr{+3o9R?wj^w)}!q1^%wVr{hswU
zd;9u_=h)0=Pre=zuU*Sqxb57{OJ~@uqF?4L)1O(}`NsRz9+hvZ8}t``uAg*$`kBhk
z*WOR|EcvRsMnAH)^Og6bJuK(EgW^9uQ(Yk)S#$EG_nkdQzNjwMSFH7%J$*yv$yw8T
z_PKmf&59HHC3Io>lFF0My=~$z{S-RayC?TZu5#P<%*vC`a%=T3)^N^>PdVv*x_H(*
z?{j-pKC7n1HT|4)I{u9;vv{FoySGkt{mnf~&UkCZJN=w=a=OPpl~1ZEaZEoa8R`pu
zo@Ah3Imhj>w~YVoxo%S~KbW)ZnaRb=ZvM%(YrmRUF5fxNEM2NcKeLAOvA0<Kq92ov
zOjp?V<b!IPzGe;QLvOZtr5{2D`aeGj>FdAzB&4T*^V6gQ(;4<Xd9PZh|FXJs+Vl^5
zQ{JoAN5(ZhRSkDbmRb8zvOIKN;#0|r^DjQ}=%4?wspoF$l}NVthHrEhmrL$D{iC++
zxv|~+iO(b#6wX`ttH<EHK+UlSM&EkcEN?wsG}CzTR?WZCx`L++rTP!9_`!1`^?+XU
zIionu`HpU%BquL_I<M{dM~RuoH`um4Hq7WZ<aYipQGC39MQ!Ij?@w_}--WdGSAG)G
z(%)Hqa?11@dzZXZ4T^L6K1oBr@zbQ8(@*Sua?<-z+@mL|1^SiMowvQ}!XNFPbZ_dL
zNY3|OUrzLyMO)8$n>l%T;JmQPsF{~_{4ZO-J>^yAf4b<_z08*7qVv)!ZavKGI4q&_
zCA#xnW<=Pebk&NmNxSPOS!-|HEOck;opndbRJUp`j6M0rD<wQAU3H^&V=U*~se9I?
zob!qaS4vl1tDP8o@|9OexKO%kLD-~SlgzapV^6;Hy0hj;iR#k*KV;ja?-<N^!t0!`
zx@7IT^^>(THw&3*M{b^UacT$O<5ON&)~J-IF3|SeJn8(@iginhRp)5mjH&N@=5=As
zlQUjM?#{8DGp8o3ds3u2O<QyGq*GG^)}<7wPSWm-;hZ_uVO`1@uOn+z&Und%KiV<r
z*i?gcDTS(C+MY3-kG%N8lXgrxG*w_-N`Y#VHsj_=`r02iP14hTxM`BEHfIdy1FwH!
zkG4<RH#H-Y^S;-&ut}+^5n)BCs#V%2>!UgEd97Kq<doNnHBa(XgTs=xPui9F$CtT4
zs`2s-BVN7bJLi5Yle&}X=hrR$_G^h$aF|l6RG;?3XwNBA9U@Q0%*cGR)+JBXKTK%5
zkh*r`?6xVHS9}lWspe}JTAzIHS>u1HdXlaBL)*@Ili$p9`L0sQ_FKwZ;QCe9V@)eg
zoKUZyaM~{R+s8+XJGS;KKd`F}{?=&2IdAflc~8!HzL~@FU1huaMqAIfo*Di|)j~HX
zU$OLj<C);^^ju}V`pjaX>yuAddcO9I@ZVG=banC(OU^lym&|)|)-%Ars7lCO-O$$a
zrKgAgrDrNRew&`DELIn^?VL5aWgg2Hl}x`+l|ttym(<T|`K&Tq{h|%$tjTjMJ)e1=
znZxo~WxD!C8_$`OL*})7Qkkqi(Z=(M=ZQHipH!0ll%A<1`hBVpIzHKCUdu<7ZuLML
z&qtmD{zVl+hbN27Yx$tktS)H7Ib(8-W#{zCC6=7iC+AptKJaAl|5PrtfAW{PE~h<z
z_-!hmq@#YYXp**i{Y7ifd!8TslAfxB`Z+ySDOaCaB(!_-mANjbJYV<;Jyi+tGb$I_
zIoZb2^N#17IV|r~^3@BaPrmo4ald3e$yWKHZ0Ed*Z~9!yRVv+@(p7dU?=%*&Rz4_u
z@~uaXdyuuzt%+y)T*_2R-Gr=#ZcMx&dGfV~-=;~^6*RaOXeF)R|E&Jds|{`b7Pe-S
zER_poJLgQ?($`X|vRXM%)^pCp1CpMvJnr?dl&UOOE;JUpJaI~2ONmOZ+o3d-Y&Rn-
zp^Fn&NP52TaB!cLrZQjoqm1Ws4-5BAmO^JIE|5Gqb7D&0kux3!?nRbDrzcL4^nB`}
z;cjFpbaJ9gU&|Q}1$Uz~l_a-%p){3#<w6<H#~u>yn=FKmPE_e*DO71!Hk9#v=)vJ`
zWFd5LVuR$#=@Tm?J*Q7BkUTkUB1<1jfl9sdM`_Rd9$R`^@>QypPZ|m7DDN~9+B@+{
zFH62km|IY)N{CyL`6Nx{jnbZXJ(l#e<f#O@F{P>$D^HX@IeFrd-XkYH9=Ls~Hxt_4
z|EKQAcjdkEH$MyB>wod{#5?yA`$^B0E9@ryo@gz<@w4Ea{yTL?zA0~&U-<XL8}}6Z
zpy$dP<s1KU%<bP(mvYWM#$M^U@>==Czb9U~hu8}}S1z!d^lPHIyyM>!FWv9d9QmTW
zlz+y7_ylJ88!uRmEjWF<xw&~90~<~$FOjd${48iDANhIW#r}>um$U9p_DsJfn#lkB
z)A8Kh%Kp&LiD&yW>R8UYpQ};%tej@o^mF3r{)oCIXWX^yoqkR{+3!)O@<}<xj_Kz_
zLwUi^6Ak1m|8zWdm$E<fW8%?%jXIT&${q5Se>xtzbJ;unn0T<CqmJc_`@WhZr`>ne
zw48R|R$rs?LAgQx=kJdD?!W97Jyouezxmr^T7SaN6I1(N)T+E!u8`mOX`+_=!cP--
z_xt?pxa<DPPU)#~iG1fLK@IttzdP=@&#O^+r|f6P^nK#?{vEkb%9Zy?zr1^5UhjuI
zm-B9A=8JYuw2?lzdE%YkJ2yM#_CCmaQl`93dgWb?w{B_X^-8-ZT1j`_<#^-vBIik|
za<SQ?U4oX<nRhu}yM>uA+BMNax^eTwE4_Pea=dc$Gk=t(yi8j2F2_r^TRAOf-LB=N
zlqfHf4%|HPLT^K!%2_u%^G7=;p6e~hODR^KCGEI*qOtVJI~_B76Y`!EDNmEu+&uAA
zZ$Ms3k@6(z&O014dmZZYQqH&?$x%7uCTIR=$HZg326-uk%3ac)cQ_uo@tG&>n0TmH
zATOmrxk;LF^F)2=kDDgyNk7~)QCFJt4#xwxe`b%iPu$m=ag*b|+c&dGsmc*%MXAbF
z(kE|o+;dx#v*eW9ikv5T%E4wy+b8bo^|;A#*KJV_%PF^KW=h*9s!Mm?uJ4%Kdm#5o
zu5#Y?zxSS1N59Xl&U?Jq_k8Z|?Jw_5dv0d4{pr23=VsR1AKojgp8Ym=>-LNH%&KR<
z$t}(6-pl*V%xe4IduGqguHSxg=USEY>)h4bSKbqQZf3E4>Ai2y%&y$_%P%gT{W5py
z_KEkdJu@@gzIJEs7qg4EuiO!<l75k!nOFaN&)Lu0&fT`izrDxzvzf{ExjS_~n;CCU
zyjNB^`)O`!-s(NQpSGR6t&-opr}s?mgzddMeb3}3=2h=G`*GW`+Y<TFdwf4`J91kf
z-+Is458Dpi=E#@c<NLwPV0-P(v!`=o^Bx!7o_3olpLx&Q_h$QV|H`{uBz-@(dVA_l
z-qX3^d6(;V>%KSJb9>F5u%~8P+wa~r1Gk6sCKpNH%?-?JF1mduw`jZVPThBA>e~<A
z{Z=;ncFz9IKhIsWzCG_|Mfzpq+wXFAZGJkF_k7OI&39*>J(sg%^WAf4*3oZswroCo
zPR%-c?#-n1=DEG+a@KD?JM(O*ndRoK=Z;z3ewDLo^U;~S=jwA-Zr(eSw{+X(n|IEn
zfm(=5HgBETdp0LKO?s|xiJ9r<)^luD(X(#arGK8Yw%F|4O|$gNb9m3@q^F&pbM{Qm
zjLos<(k!EA!h3HwmC}`srJv*^rwJQJ&$ua<UOZ=Qq1myUV(GzibPLUn+~i9)p0l>V
z?9ffNbm2L?^=ES8(>@zTPrte2%(m2R2W~Q?|DJs|f7`yBTh1IazkM$!GVQR@?Ws4v
zq@AA4n{TGQIdo?4shsl7zBA9}ZQFHo$r(5E=qWcBoG~+>eJ961t$X&_lQ{*O_nv+B
z?biG3ee1vO+xFb_-t{kgw*8*<F1s@B@aL#^+2wJYKTEyK-oF0kzOee=Qg5?2uRpmj
z?D^Ik*AwCwf4=oPyEv}6*7scY+VvavZTmIrRd!)q^XI5n+4*tKwQs+eny;U^FYK4p
z%k12^<j=QeU3ZHYuJt{ey>NZwzG=_4p1*Dr|GK6Z)H*kbcm8~9=5?cZ<IhshvZt?)
z+!yw2>#6G+@w01uKW#m6{lp%&pY^w9TtBi$?Ag}i*Ja|fYkEI!J$hXv-n)kP<JQC1
zdE&KedOvJEc%3Dl`SY#m*Z1tX_S95weQu@h2h#)B|HXZ-Ui;oucm2n`+rCFlyS`yh
z*;CWK*FVJ_u0DGzJ2Y<br(1WkgX1<=uYG5#x&Go_v8SdQ>(B00`+jTk^+$2VpQP?&
z=dZ8d8T;hB(mwH*dr!>k{t)MK-nC4BQT0R{@q@(^?{weU*)g~KLEMvXO54O&?&Wyv
znx?N*J<&?Mb1%mm*B3EQzA6>#J*pD46wlnt@!B;^e^J#$3-QL{iC4P!?Bsam>Zkwc
znbI<G&Al8iU2ny-oOQhxlk!Drk$7P7#0%XGaVlqB?dtU(RZcwDT@aV@S!tHIWAQ{|
z@soQxW_BmUJ^7?GO<c2h;;HU{xRg&ylf*msaLnv>h)X%+dL%~WjH{gfql$^gx((t|
zJ}PyId+y<Q<jSX?R59^Tw?JIV2c;%)#^Q<k;vb79>WM!rny4$zxrgI{>p#6m<rDXH
zXYAy-@A^$|(o?1S2)&}GN>$<~cXQlxT@$n9l<SI^C-0Pk^^(db?&|i~$#K_pQ4Gr|
z*JpZ4<rCG#J9l?X?miIv<gHTP>c8ur?T&h%RUP&?*7tnY?$s~XO-nbmS^acfS-Pq9
z>WAyfcF%g7wRQEyb!NL~y~!#K>yG6uGqqa1cb!?f>Gi8m)?C{q^*XD5_3D-D#L`VI
zRxe%mEzR`GRlo4!&9h!+EnPiv-L*7Rv(;-Ob4yGwUcItLY?suFtjw_AF=s(tK#TC(
zF}}s7CadR0>K2<CuTET7wsY3gtkkg8F}+1wPhM3C?~ds`lQm&=Z=~;;ti-VDn6rgj
zk6o1rkB;#z+<N4yK)7|x*@CTy>aTKyOUL*Ym>R6EjXZlgD>m%$rd!jlGKDk8yv;Y=
zfAv?`<xNuev#M98M)ID{3J<#+t($MU=jxg@VX3BCtM9HgOEukn^;Ov9O;UHW0>hd&
z-MW)iwAwaOH_udk^})5@w#~ZjQm6jNdg8s#H#0fjyL|CUDOcJhe9~CZM)>616LUN3
zZ_MO)=aQ$MWIgd#=LNr%GNnzzD~%`K=se)pa?T}A-6&mYo$y5CiPt(e_@$I8trG4u
z7PJudoO@z+=K{YcB}#c}Nmdgtbx!bGa@OUBPs&*rH+3Z|K~rJQxg0NCF8MquR+=aL
zat_CH7c=!mmVze2mc|p$bk3N`@yta}J;`#SQN8fWIUG-2)YO$MC!Xk>Fq7kn%P}99
zGcJdGo)jwe31`mXc<dskzQ|(Ykxm7_Ck0Av!kTk99=fopD_IB{2>&z^)E9nfB&a8R
z(`e#>P6odx`AT)dFK2g5>-^xGlCKo0)|9FguC~a0;-1b2zDG{Etnz6&<?>F=C{?LU
zc;)PlDV_Bfd{s`lEbvLmQz{a!G@7`h(_$va9hZA*kG@T~-~PjH$#=zSxkJANZ2522
zcD!@`WW#daxk$d~xnh}I)9(ql+i%z{`KGvqe`amR8|POxD&G`0@Gty4;d=X-%8u90
zPi&TaRb0a#S=;f-`H>CFIp-kxPtO!r@LSe)%x<4yx8#fBl6wBk&jM!rk)J1AZ11ph
zIqU2s&-8183IETUj_1x+@`rv-INP3K$8y&BoQ=w7#WcC5pA$~EN7yYn<E$m`^mD?=
zb`Lw1Pl_pWOg|?W@(X^RV8CBl)A86@O8(G~2}j#C>{LD~cJN!)bUbwCl6U$s;b1$5
z9m^T#eKto<JMXe-Iqh7(%|_*eVgvur>W=%)zvLD@RjlE^S?w{cJ)!c%)b<y)D(@95
z_&0u<pvAxN(}dmaK9wDJonOf*Jyk5>@BAd7!9TOQ<Bsz@8<ls8esWCTCv0!uq5Gs<
zaUb`~*c0<wKj^ufcPbNKw0nXL_rc8*?zG;C?3mm7K<`PJ;x_J;u^exm((1*Pc2BV4
z?u_Ml<Mcx3NvUG7*rQznmfV@K9Iu_i#24+FV8PwEdBT;}J&_!*oczQer714s){NzN
z>2yn{<*d^+os<&AMcjd#CtPT4&{H|<WGDV;=Y(^u1$rsPinF*KH%~C;J{i+7vo%5Q
zNs;0-Zq3aTPPGQ;r4%Vn;_i&$nAuwIpqFyS>4=WX87DdMM>{4QYc<eIDOBv@_Ke|p
z<isbQv}3}dRsp?~0>vh7#?2G-xj$~2pvV1i(*#{^&KQmdPXELnZJ)5OH6xPazSB3c
zNvVnvVnwNnRoo||Iqo^F(OGiJX@$;{JjGzKr0o-SwR%Ky+;v){!*a^$nV8b{3F_RP
z_0b)ZTMy_y$yLl_|7qLt-m!{*({qJA>=%nC+--g#r*hu$gN({|g>t^6=L$R6ciMKm
zb<E;-s-AGO`Gnk(bB;;;Ow|Hb?3K1B<}~k+b2;Z2#eb-3!qw&#aw_K>AIPYDRmkUS
zs+w@Qd52}kOGht$r>Y5N?1{w_OxY!EJ6<?mk*QbtqOgG7vv|V!<_ftbpB3h?-?Zs?
z=6FHo$r(o@{-kFLGuU_9bUbxDC9~v{!W8zIHXTnKmH3^WDNJCOEEX_i=PaIZyxBr-
z$w!4A_DGwKM~*`LO%)RkH%rJZ`Jm9kE@{*8!0~|0lhclSWR{$E+#!>4+L4K$sba$Z
z<{z?4-YZ1a^BpRmu(vtIl4DwPjAh3?$4`7s<pSF5D~klQ*mqi=n9_VhcF8-1AU>z^
z2^#E;MH6;5pOAfW((w`BqbCXl?3LCXw;k%(A6ZYh*Z4+~<GsTdo|JNhUCbwq1#Fm4
z%AT0pcteuookJdblJ$gJjTiV*$`m#+uQZ-;qwxS=%Q=TQ_Ijgqg>}pmjVD}d+`yMo
zs<4W=(^$ZQ*;Dq!?8XIrPf8T>*pjR!Txy)ax8$tD4W5*<4sPs9RsyEXoU$A*94_%Z
zDOQ-r{8EPFxq}(|B1-`iW=rD<XBuZnay)a;V^6Z2V8pyqhU2M&8oQF^gcFSuBsrcq
z9OH30<8X-QNufd?b7s8^$72UE_C*#Gjx;LpJt<IVW7d@6c<8{!u4Ex#!2HulK%e=g
zk$@iaO`{118X5SW<SW!Mzm)En*7$)pC0`+uttnL@oNbZ$gguQ9c#oWNSjE$F%HbWG
zQK~{2^GfNCDUBC+RZcl9;7Q3-C}OTOny{nMLXzW-!#%b~Weoe-A5_;f>}B8ZoS}l>
z;XLCTxrTR)Pb?X1*e6sol=B@p$M`_*z+1)}at?19FUTEu!+1i@VJ>rrEyE4w1;q^O
z*$tjE#PB<uW85Og@S1UrT*E8IBbE#n>=UXO^7$CPGWhWyILkOg&fz8F1UZM<%n8K|
z*?b9K7+m-r&N8;hF}z@0V%c!Mp811K!#U;$HVtQ)FW4}cuopaIFycROhA~0zz*EKu
zIft3dD{L4}G0(7JILX{$!(hbDP|1+Y=WvFx#j@czbAe66G3ErDhNH{@HVsFZ9c&s7
zGaJ}69AZ|mX*kF%V8dX*{^2RZ9vO$}%nynfqWKg)Ffi~xc+c>MZ^3(pEiw%E>lxq3
zI!t3eP{a_<=kT846CcBShBYz{Q<)bOF_g0#JY{&px8NPa5*dcOj4qZ88tew;3`Oi8
zo-jP(J8+Wmfb4<Wj6425{w}}o|BJuJ=hc7s=X~D2?Ek{w{Wkv(eD1$ff9GfW-1-Oq
z9)FYH_J74+_P6$F{}q4tTmA3&%l^jx#h=Gt>*b68J^aOQ`9I??`)m8K{|kThTl{bM
z+<&Eh&rkMO_J02#K9gVeU*j+POZ!`Yn$Ox_`;+`de$oGc&;1wb8~!PuwYU5K@Mr(I
z`htJSpXF!$clg|I{QtzC_L=nw{~mvmpY~tlbN{LOfPcxK<R|^__`^Q4-r-;J8T%uD
zl+W19{eSqQzy4Ug!N25>@?HNu{;)r?=lh@dqyJF7z`x`V@=gC4KKJYY|M00_@Bf2O
z{ks1-{;)r=|M&0V_x^qL89&+Y+kg8v@u_^ozrv^TRsT=?X1`~@=Fj3&_ACB8ekULN
zFY$Z-u6mE3?04-K{b4?3|LmXQ_kQ*N9lzTr*B|)%_^o{2?;m&D-`Cq!eczZazvuUb
z&HZ<4U%XR3Z};Jia=Co@x5RY$9lv+nZGUT*_1$rI|IOMH?-rl4OZv{Zo8Rhp#ogm`
zYInSIK4%y8{ot<ttF<fMDW9`@@J6{*KL1<euKvrlJ8rhWwDbDzxU1jncf#g=)87(z
z+h5pSd81q+zu>pW=Kk}w74H@o%h%8OedA91GrJ3K9-px@`kt63KjZg~JMB;HPQ6)N
zBtPZ%j63a5?3BJcrpZtEEwP#3@HfZi{^PY4?-m!z_xz5y)Bea#=zHUi{=>Br?-m!x
zxBQm4)BeEjz?;XX?e@G`eA;fuo8;4WOy3!I^zX0z@pf^(eAKst+xz#{rrczoRvUA(
z{a(G@r*Dnh`L%zq*u<~(d&lkLQ)+L#U7RN$^v!X5zsB!|P5nD-PrQA6((ci>hbi&}
zzbkIH-?puL|FF9MUiF)u?C)*A+)4f}x9j_fVt$+NC-xqnTYY0E`#amb_led0x2iAP
zOa3Oe>HCV}{u|W??lqsYjeBqSTyEX>3B~=_syEzA{wi0$>U&2qzr}Zty~k%)FSz&k
zi(KBj#H#*F)f4V5K5Kj9PV!k>xA%%w{HEVI_OidQy>#dCXSsRbU+iIjZfo{_VI{xG
zcZ=fwGu1P8vOlxcd!Ja@Z}fe|9`>iUYVQ>*`%hF)*vbCH_ShZgGq#8BJpL%x_dR0|
z`(s<N_X{ifk5nt%d;FnZuI;<V9`=W}Y@mjQ!S^3U{QBQt6!GhQzfshGpqk;{<M(oP
z-(T!*pH}_hZt{D%$ajrT<-*@BEbre_{owB5Q?{$_G@r74_s;OCT-o;(yW6K!U%0D$
z%67q><acsK-z$pxcT`*KWWQs3@7+VQ{`-|b&MhvNtA2LSn&0;Gjk)dbZ0bLqVLoqD
z^t>=#uIyQ(b^q<k8|N06$!+;OV{ZE!n^$L)%j7nEUSQmRz4FY=_SZH~&MYpKTk|<$
zZu={nM`xJN*#tfRm?pR4v&G!@*_AWSEiRE;@;Sqp-|TaQasS22j&sguZJeGnTJ@WJ
z{xPThxsBEHgO>egD>Ke9pS3x6M!8rnt^Qe~W&i2Qh;xh2*l0a>wCq1w>2XfENG|0W
zqh-J0X944WgU=On+8^6UJwIsCf3#BLoN}RD$7hQ<?GJ6Zo;zCfAFSj!$9%?S-<iXw
zZFZe$K5et@jB<fo!{;Bf+wa@_dbTiCuIBTN+3wRS6J{QtTKVFva=u)}=M6^vTAvpf
z_3y5%_nFy#*XGqT#Z<YH&mBhm8lPv(ZogwQ?~HPuoZmA>^ZxCXJN7>QF1zpji`wJ!
z%0KLLK5t!if8p;woA(Dk_uVPKQ`tVZ{K3A*-(<JFUs22c);jIJ;_p7I_Z_wDZ>(SJ
zdHhwj_};@`e3tJsYS~{~huvTJtIy(n!{@#$<$EgGUs?Or-+%Z_cG-K4TK1RLxAruj
zwZ66|`HSqL_W_^#E|fRyQ$A~LcmLtfzH{XT`;tG)&U)|gxzG6hiJJDA<q7*9f0CW{
zUgLA$sq%n*$)98=z3-@DpIPp(FZqo1kv+<1tmW=M{Ly!;++bhwN7=6T9yRQbtoiOI
z{^&bYF0e28gKX1#hR=QV`tLt{>eGAw;8UOOdyX3R2iE`YJ^bFcuRNoY{l4|LdlR3^
zM%*iWDqHpbL^b<8>ot28pR!)D=kYt);CqSR`*xLkRI=Z-UbKh#l=ZWFir@Rx-*;5E
zPcA>O_wifVyw^Ws+uvJNUEi24yXW<V&3$)EU#wF;Z}nk~a=C2zwZwGU9j|xP$F{$<
z%DV2jyYFV{iFJ$5StVU(+|6h8x+3=YoYEcZoX=TBT|c<1?`r9ab;{?g9;{I=mCe7_
zxU27S>5j<umsVca9e4Gay-wKNXZl(qw*7_Gl{LyGvI|~&Z0<W>TCr|%vFx1JH)7hK
zSzTE3_>7g&^~5yU8LxN5v_G{vwPtaV?3CB_Gh*7GSSek1Op~4PT4FPw;cJe~eaA~J
z)-5iS?Rgy$)Beax=z8OhzQd&w>lPQtw!D^zX@6jKV9n#xR(sYgK5eyQP4a0grt6G5
z`u3OpSi3l1HtO2J?R|SoQzF@?mBvK2-?RF3t#Lb__Ujd!__SW{h(120^v2r7d9p#*
z9Jlvryl$x9)VH(r#M;LvtsY%_m?B&7x+1#$wq@P<ht+-eir-kWzqkA{C;7X~uIDF;
z`D~t_usuGv_=Y9>JIlQDiPe3#iZ9Gd{wA~O`HJGc8^s6aHJ`JLJ8$@0X5I4%#eLU`
zH_S`^DzoZ&M=_tpa}V3&vx^tZd;CQv?_6S4-=*RS^A?}AyfLRf`K+bedBrL|)8`zv
z>@O@Y&3XJ;X5RA`Htf$W&CV~Z<TH70QQUW?c!nkWGfTbmiIsgu&sW&6KebdluUOf4
zqIiNO`xDD!bDYmu9-8y`qfFoP3>)^xmSX1@R`eYyR+#trgG}3V4IB1{mTc!0EBFka
z|0v?qfBvF~Pw)ASqP_#g4E6IKzn7_d{=&L_TJeXu$?s(%&ow@k2|u^6yl+qOgSm%K
zS+1JXe9H3OIm4$iWzSbww@)d)Fjx7M<$^iM?_`ReR}}T_D7LU<zhimt+(Waz`-MOH
z7MIIZA3JExXZ!euZ2LQlPd&`%EsBm8rpuHaYqajWU3jB!ahc4P$1`Nx-&nlrsaGzO
z+3<LQao_dAGm`DEEuQo&E|ppHI6}7lmBphT=5rQ7$3LdYtaxl8+djK+M&IHRnI(@i
zjQPwSM;P~AEbQoWK5OB0oYAV!<na%g_U9H>#}8Weoh{7hV?Jwfu1C38Chb_GW#8$-
zh`z;VEVPb0TK1hR^ypJAl1VwnXxV4@SfJjx&){)|O#5RCspAJN`i>TA^eGq0bUe0@
zX@6+Jb==XS?_eQEAM+WDeLaUyTkPs-K5enBN4Y?z;qedY_WKsUjx9`;sd;=u+I?DK
zg5>e3g)e%Q^JOX?Z!qf9dc44>Z+D@OWcyu<SH~1nWlA1*81ZR5o*~_S$6{WOa-NLe
zF-G(HzU_rOY9D`>-gp1S-(&OgKh!y&H!s`2@OQ7x{R5wS@8sY4*)})-LEYnT(%bH@
z_{;XzJZ-<??_R6>9e>&0n7^ob{8hSm-@{+LmiIIMvb{DB+rRKvuf_d_&%Iaj_xxmg
zW$w5C;WO!F_ci{qy)?g7(|p$aT21m7=|%SgKKEY8Z>UqQKWlEc|KZQxbNK~z$)BZX
z-FNuhYkdF2pSGF#33ZP@Nl&}4@wxX@en4IFC+SJ|JN~fE%y+0uK4X5QM){1n-2R6@
zdXMEB)FpqE?z->shwYI$-~PlOy@&Dz>XJW5H{ECW+^c{8!>3-o`wu?#>fY!0!}h@Z
z-@b?6d-vsM{A9at{%v3V#HZ2``wE{*SKUAHo9&+YnwrI@%vaPrekUEgFY$Ztu6&Q5
zY<JBU)i9qjf3{EYd$0Qaj^AyQ^AFTMek+}K`^Vk3_hwbwH>OMPxqV@C@7>%NdCKR_
zKIABuOP6m;Oqbqqd&k|jw`N(}9e4NM%sr8}_?%hNcE;VjR<|qe9-EW9BhR`1oLSWN
zgS&dK=B~(7K4<nIN4Zowe_P|O-pjc=ZnnKN^V;sXtJmyy!scGn+Y)!%UYK3UQ7(~Q
zaNA>Z@A=${yv4=Rb8g?b)Ar2lLeAqeW=7i+)1+tI-f^exsoANV#YNInZqK;W_QXtS
zyJMR4gxeCEc@1xKZ0<dtYmv9OP`c-K#GU%KM`l9X8+Y^`&XvepTp-<YTjEaJ1G57;
zk58NJ$yt2bY)4M=X)~tnj5~Vw=l;lDoG%@<?cny_y}2nj*{0>j+-$pN_Gw$=c3$n<
zD>m_J-QIEg*p%EGxr_6pgSI(t@71{7u&H-v?up#TC(Ryhdzd0!aJ%Am+ilaj^$)9i
z?`6N)$yWc~^h-?gcd1?1PZaaoTtBh**xc+JJK5fu=B-bx?!A?LAujow)TZkzihFNl
zABbx{XBxNO@VV5w>l2E5uVrtDOa3ag>Uu{puf=tby~k!}FNk~mMJjJyVpZ>@><Mv;
z&zjzdNj_`pwqCJ{*YrBaUbYvemtr1&mYR3{#U8fjre^CGR@U>HT(>ChJ(E3SC)+bq
zz4eKey++qp>|uLqs<vLSviC&xgq>_pOpnDlpD{fY^Z27w-}Q_=Y>!RF)-SB+J(8^u
z_xOWU+jWgSY!6M@)+<)<8eIQT#H)Y(MG>#w^&3UK2eKLB9>15WyZ&N#+qCQtvB~eH
zBG)xOl?q?Cu)KFq_Ji2N^`}f%#WbHXeYei=sZ`nZ6}#J}WM7C?K4rQfCi$II(e;X=
z-W}N%JK64--dp$3toMHA55L9bQq{{2TJzdozA?A$oyjL3=JO^+%L~(`%9b@+_ukID
z;kUR<YRlyrbKBmSyz)^lliF~3fpPEk%ri6FUYk7eSzIc$=5oZ`wpS*Pe3;MGn*=TY
zm?pL2vc=rC*_ku^7MDmZxtw9lYj!!pxc6dahoAFV6Q|{jR=p;df6QrnZeq3kpk?pb
z%nU!~vnJ<!l#8X(mNi=Tp3aQ$TYSbuYq_Ik@5xLLKjk8+lx2*Ty@r<sjC&0(SIlX9
zY$CP%phfS|ObtKfLaC0+7IWGjns6<5theYrn91SCe8yy-&*9T1yL_5Yn{4w@E|6-t
z{9|_8eUo3y7N$zoT)r{eZCYl+%wtnCU-&BLOI2LnVAQL1d4W;y?o6MVZFfyxEmKUD
zD!JTY#H(?6#_YB`Ci8rh^Q8QiF`D;o&)hNhTlK8>nR}PNwe0<FV!Qn9yk*r<^Dcjw
zGp+ho{oKoM=CoDcdYidz`PF%D)wkYcZd!iTQumw5jmt0Qe5<-O=W>ky<l?B;nQNA>
zowuwiYR=^cbEZ|@nteII-`e)<+013jr_OV$ikf}-#++@>wp_gI;vZZb^&)e@@}-t<
zKbxGtY~}yhCik<+*~=H^ls(&W=JJ_2ZI!p4W=>l^)pG48lT-DV)%+*h=zcOWTJAW{
z?3sz-@>a{YA5D&5mhw-w$^B?@^s<n@v(4KNCWkL``77JxelR(B`M{iSPfhffmx97Q
z+K=0Y_rsR`m;d;^w)Q=pS+o4@+-Xlube6|j`o7<?=kf=?%|%gDFRz$WR(@;B<u`t-
zt$j~rmMnL*<UN&Hy!_<c`fpFR?6^E<4qN%H+nITb|Moqzj(VR_?e<vK_k70g#V`A&
zrJL9+e%e=-ZeqRoVPBc`thX6k7hmi%v!3-PqtvZimbc8rYVqDavviZ|7oYT8vyyt9
zv3l{!KCyHYi^WU(zNML5x#;I!Y&`2_#?r+T`>v&#m@Qr_nOkCV@#2*pF)OM17a5su
zzh%xAZ#j3-!u__4Z?TEV;<=K##U{p!6Z^_6XFbhGbz3ddTeRimMHTmMncg!Q6BhSM
z`ku*1bgPy*Te#)eMG5z48Q;P!M=lDuTg#j+*mCG1hr6_lZ-I%y;#$eGr!!*R9vj`7
zc9F@QS>|oN$^MJK+%6kQ-Os3AoGQtCx;`V^?Xt9PzR8}8YkI;`O|%x@?KMj^*?sYq
z+hilDyBUFQ%|^HGWE3s7mDJ5MQD1zp_nX<Q+s1YCAO7ySm;R=b?Y;3Ao8<43yUw5Z
z%wu!@MD4M;={G9b-Wli3PyF3;EB%69@;Av%=U060xsiUruKApC+<e35lIzY-_}p_X
zeS=+c{a49V=Q}?0Se*B$JvKXif!*UTl6mtIfAw5SpJ2E6tnm$-<g><Z^A&&bn4agT
zWqV<K$>#BA$$95r)UZ7_Hk-fjCy&W_i_bl0(q~k%Ju}vupZK%K==_Qrwx`Bw^A&&g
zoJgNg$@awfn2qxp<3l!&KT7tU&!}O0Y%Df^;g6mp=?ZrBk3UGZo!6*gduYryU-1Wz
z!TBGc#b+-*@#vku@u}xPI)mNg_mXwzUsShEOaEY-{9ZD0UgJ~A@OcZr_v}f3V0-wK
z@hY3<Q^xP+89tRPJHMj3ZA$tDTjf*63v80#Nfw>2_|&r_-J+81j`6*D54ZK)PyL~{
zxLmS&+QHpCwx@5zw$;Bg`lQ2r-l%ALVY+15w8q^%w^MKEEiRMXa(YH=+Z&@-I?82|
z8%{6S+;ctkOk~?@qbE9xOC{Hwj)-l0W%Njg`J7SE^p9zhD^6R)w#`nRp|`k1a>?n8
z%{*qOBR2P3OzqHfK5OJOopD!>$>|?4ZO@IYrXSqdb2c?YkNK?8IUVKVddakDjXQfz
zr$*>4K4YXc-En8n$y5(L<s!+HX^cC23{MMe?lCxB5!3eANNW1Q9X&@=HT0AVB|A=A
z#I!v$;+pQbqvv2MhaU48qkTGuPaEyhX+CYVO-H#vvf=cP=(hVtzosorm8>~^Bie0R
zYC`0(si`k?mGdPlPH))Mqjh@0rk?uUsXmcyca2_6Q%sdCIo+{|N8|L2=(amX^K_K+
zB>ko_ZtvNix<mHycZq$+U)Ua-m;6D_`MhCS|HA5?_TCkXgT86#xm0v`IEg5B38b{8
z<xDDZ7V<C<kYH<T4^?|!#4haM7`?>MQ{bqEK=TyCQxeWSib_z>If?nRM16>F^=wOV
z-Sc(t=az=v_`)Rg{lJAAX6fSkV)HlXUQBp=<JJTXze@=T8fw?BSw6YD@zy%$Wt>O1
z{8Qxddbm6`rck@r;g-?P{%!U9^}anS)oW`>Zd7&ru(@@i&EBm?w%ktX>6x;5;og0}
zRDXW#+A(Ks>ty~%k$2v1dUxrLawS*Z*7|6RZKrQelR8yBsblS=K;=2U3%Z}C{Z_X0
z(3xd6`vJ?jg_7H@siisVSFAPSNIZRQ=V$k6xq?sSpN4Jw&upE(JEy2#bKk~j!Jxh2
zx^la&EV$I$m+SUnZ`?uy`$nJkF5bBv?>39u=#}g3jy(S-?#Q|gdYxx2`K2?r^QfsA
z)w8dzZ#50w^5AgTzwKudh5x@1l@Qx@Dk;6J&L#90*COsT<r@-OSFBvvb2!=my!psE
zTRHjUzHfpzT})q?waEGi)N6FqPrQD8n)fP}8s1($dF}O6mGmC3?Y#4;@UL_CHLn$}
zkrKU2I#yYxZ|%02d#xm8+Jg<5zN#%(4=r4<HK+AyQb~R9_0>CgEgm0UtG#q}YA0L2
zV8O-f50)~p^RC{$=lj==>q?)J4U9WNYvp2of6|}vi!t#@RoU^|%RjZ6|HyLWi1kdE
zn5ZPXdR^qsDn%ae118x=cE|J|^gX}f``QN1?YeU_CLDCya!Tm#S%>B?n|~#|`)%Z7
z>@n-A=)o{c4e!RTocfHVDH(x_*Kt1ky>Ex<h8w@)bj@u0y6+n9KKicpO9;b*&^vWL
zpSDz-do0LkbD{pk#>{)CN+*AHvAw@l!1w1=q13y5!KaFzF8Vp^q)YuJuhP|bWEglw
zIUbgL@D=!xd{%9(Do1?D!(-pW-BNr5yq~J_?~L}{IyF#u!NgR(RRZS8^(m~I7Hu`i
zG2t!Xe&!a?n!Rh1=4HJZhbGzh)jkd5RF2sfxIyv`*JGBq!rECTQC&fuElV`-?~I;Q
zaZ4b~n>BV_yia<6a!lKcpd(Y3EUf4`5al>;=~lH_TOLX^{eBRwd2ZFMQ-&h-PdYCt
zP0c;=Qz&Q?hqi~=Ew0~fxeHXPnY`+~WKuht6s|iu*X&sPAb#xv!_bcvz80?(R{ee;
zYt;92*0li6=s$cq9};)3wc4>D+#@1DYu$CpHC`8rYHpvpxz~N=sZBqk%dK9noEq-E
zEo$MEYwX%WmLYMiX1`evhOJ{f!fbhS%ZFokt<sh=$*&4?y^`p8IH#z^z30gPy5!di
zcj_B&mR5)_JF!XrOVO3H3=bOb9RAhh->1xGU$N!piHWx+I9txLJ(fJvYE#2{?fEJB
zFIFo1>m2`Cv83(6rY8&7o=SDKw>;*vjC!r1Qr5yQWx1?%yH$tjtv5f|_V-=;dq_HF
zg|g)e5p_GY6^pi%K44|~Ix+1AL-~}QipLFJ3miM_Uf)`2xg&S2^Zf@?4^QG<mZg?y
zeeK+gwMFU2uC=B5OuN32eNTE*qL59%-p64_A4Nzxc|~|91}m5rg{q2FB*_%q5#O>&
z(`3e^#OI%w+Ez1UPP#S!z77L-1H+5(&##s~aXfnAvD3lt6Jn?3E_uH)LQltcV@_-7
zIz^3XGB@4N@B6M@|2=Y(Rl!yM#E<Xiu6;P;1NXkAoZ1UEOW%w7!}7mQ$+%ro)!5!C
zbV@R3sH~`e(aNJ)s~NR>wPR-&7wvKTt-JEqADvY<{LJ4>TiW83>%3}SmHxR$%y+q@
zzuZ1>^ZNQLflHDEckdHBGj;!`&6iRp?tGDKkXNs~JIwpc0i|xP>o?u&d6S=RVTgR1
z%3OCPF7>8UvWg(n9^s|@7w76O{NZq`S^bybZ3mGzx16{4%&F1&E9>6h=(*0J_Pyl6
z-Ctu%HUB*;5}s7dx%55Lk+z0oE*=Z7&gVR#)Wedsh`X!t!4-w)BAT0wYFu*o|8F_;
zH8)v)`QfP+`yMU$;?vQ#;*X9-$+G&4wi8Dm);C|c)BZ+nTHnPZg4dL}r1l)?Xs|Zx
zUa3Fn&2Hz^h9F^8lR0J^d+Ih?e9&wwX}1afAb5jCE_1K)sRf%JtZeb#vYWZ%r|Gq1
zt7(_5H@#)x_<N_b<X_s6g$`FVn3`r-{mMwT-F$ZbzVd0FcmB3@F{oa!_k3c_SW~&M
zwO*9pmoez4V0^D#mB{ng49{w0*{$N;{Znmqe>qtF=4ajgI{p7ApXM`N9rxAVyykiR
z_Sl>Hw$0@s|1D$gR%}wR;T2i;=6j49_xm@;jPKlbx|hyZoHub_<RQgxeXsQS^UEJy
zP-lI-uwHAOrQZ4*HdizRZ|zp=(@|EiUiffD|F8e`j~;H+bGtY<$N24<(p-mw4Yy)$
zTq{#l2@qDdGswMGc_G;M)uG760t&Vaejx!1lh6H<Y-tV7G7rptof}Ze^+xU7tR39T
z*W_khk2|*@Z}z0{*(=w+Jym(@{goSjJ}<6Jy)o<3ZuO6oPiXm@n+7Ugn0QOHUn~1d
ze}Um9z9VWo+ji6!TBL89?ak^mC+kdW%_5&p&l<g)Q*YybBu8~lG5SBvQDzS-&xW-N
z4YnLvz_(D<-JG}6d)?!yW?Y3YH?8>OFD}#7)1!Kdr9#1Vf{iNAf#qKdHU?N2a#<89
z@a=ioSH4)Y{B_>8X!AFvuhaHazV0a8-q&09`U+F<?$XPm3DNAS^=H>kWNg@2Dw?&j
z_IYu@mf!{!8*@b|uZ~-bbX})D>a01!*2o|%Z(KbyOX-!%Y_EW%5A3e9R%lvgD1T~K
z5j&&uFNH(k<MP)FnWSn%)D%Nf1(z6o-@0Y$f%yfqo<#VHx}K8upXA2=T`y<tGvkLk
zOqTz<WX*Hr@{@U#LlcBHve$PrdSBp?;yt2zDUfNtl$=tSHPgq$sq9}L+~Cn+Uz0fF
zwfCpuZlk9$dp#8R`8KczvDX|<nKsLD;mk!o4z9h9!9qt262l+gTkdq~8pj$2=LX$R
z0&x~c{ACv1D0{0}Fwd~;ZhFgPG3SXHVSZnJ9p--E!fO<`<*HNQm%H7I7&;f#uW1%`
zjn25MvFemYRrr1lFONeSmaM0|FKyG73@g^~i0j)nH|>R)a_q{As<7J{xuq_D9&tRH
zz9e+}#vA87C+wG5Yvr~0Hcv_H$5)+xQje}bh<zGh=&2psST>=UU8H!+Rn>c2XKl>(
zH2gkMNq4vP_S+u(iaMEBQ*W(evyOVLHM{=mn~dY@URiAFj^c_q#I$r>74MNZ2FsuC
zc*U{Q&U=9zTVSjx>r%U<!1V<yn-ASH*D%?tdinj7{>!IbHysyQSM@xoU)p--$$iOp
zE#9~$tGmAL-ZFXRI_+>dzv;%?*S%+Xxo#;ti{7iXLI3M6b9Y@ge|d9S-`DhCNiPpb
zt-jLv+PU7sU$pkAoBQmN`5$lG`{-T!h;jFa3HN-h<Xvj5n;%bIy|i7zdd;stFJCn&
zNc?|l!~SZ8+$!(dP219KZLh4`E~$ELPrzQc)hq5@lFnSYSkmNV&CZtHM+(xp9{%0o
zveu~W)t6hV17gnwo#$NUWaw<!xb>py#Hpu!_n11CUh`OKe!YIx<dRFKi>ge1c%@ja
z$`<38X2q{%zt)r^RlZ>ti)H!61_!=?SB)F=S)1leo)Yi7>YQnlLJZdxBUba55zMt;
z9{E0bXr!rN{!RF=;Kjd>o6ol&`OZ^)#gFNS|0AD<e`~e*D{ip$nK!>@c^>k1jaSn8
z-Rti;E`RUWk))qLbuw39{bgnQ<=Pkh`mNk@=KMT$^Yz}U+WQ$lM3`L~&vbiNTzX#k
z<BG7)s?s-e7%uY(FMC#dc~9)=>FTygb+cvm9n0K2>HQ4m|BIiOJv(tqpk-Iy%d=bJ
zT;~?A40pA)V5pB;?mu5`uGzb5?vAB-POG>A&84rt4AOsJc+yDWRm_jPk1s0fi;v{+
ztoq+M-TlhT_*AE_T<c~}`F`0nGO)i^F{i+kv9Rj)`R!Ut(_UV+w{4V~v{`C7`{&|a
zlZsy#N*mWc+v=%r5&K-YF6aEu%gb%UMf)w}`1U<t^n&x!;r*E&AG`{RL}K}TgVZi9
zzoi*^(YRsmiJwASt)EWXwsiAvh05f0v7Yrw@|+&6zwHm(F8U->JS*Wd=d&FvqVsdw
zZGTr>d;Ig|zv8b&XAgh5mOgdYrZlNl@o86+>$-*QYb|edKl}2;M}GVKyhmT8R`7kf
z=H9$6NdJKUa*a~KRmVe@PqtgS!g}J|ApK*N7U#Yg>gbkM{3%(x;?I<q;f71+Db6jq
zYPrhTFtA=WPIaf#UET@PsuV*ehQ6<4UZpHly72Af-KB|%-g{T?&6||9UPiC<-r*A4
zEbo2m&cA%SU2o=!<5R3sU22og`?YU5{nEX#|HIeamtK6E&3^S9d&vE1tgG+!f9>>s
zXKo{@Tl!D3davR0$#H?Nj+WZ~xH<dF?9V!1bzd=Uu3sf55K+%)%Gg-GvRNhY{@N>z
zwjr-F4lHq6$*uV6<@bddM}mdkU-%!^nqB<BBw^)uwO2J$fAKtGVC)dSZngAZ|MRDw
z)?HsU*(TiFBY5KV7yH@+FFo&mduep;rSOI2ocBT}gk^lWGvDryW$c!@?OuB|K2`3V
z%52e7F*|R9L)X%IJLjG-@~^*fPH~dJtS5pQvRiX6PcXO|uq>isL!@P5g{yeSk*ivj
zKQ`uG30LkiH;a1Ww*7hWdS8L(Cll=!CC|O+yp~n8|02(H+m5X3lZ1-0{_1+%I@0Ro
zaA0X~?(U|=MIn!;wb|T%yi-DEQ`rNapYMx%R$52wb*<ssEz><`t8V9%(;sjBspqO^
zz3Dgi(b4y>a`p*-n&G{E!SY1rDVsZ^elBu2(KW%4TVwWArr_fmTCQex^#ymHeMwJ~
zJC<C1I_LQsr=^E{6~C!>bmu%hVy*No+9D>bXG2&2=Tq5NR|&k#y3w#r>2>zZ#+9<)
z?VsD2S_tb**!X_e&GfIouWd2b`o*H5EH}lheo4$c9Y&v|_K&>j)s|1~=J;-F$%+m+
z6=Q6g-IE`;ae+&xK&9N_IY$qle!y{n(Mfyn(>Io$TGdyC_1`|%+I>jIf7AR4n{59#
zgxoxT-hSKFpi_Z6-TM=l%s5%GRYm6E+EcZe*Cy1+pN@NOHihSQTVi4P!FKcHci%5`
z%uc*ua?Pr~?y*NW!!u(a$$5nvUmkgX=}3@{jP1AEQ8WBjU-P<jbaLsZ%ORG#D@^Cw
zNJ$-02uXQ+DoMFfkvHW`>#dM|g@@kiTuH31pDm<onlX)8y~*l)`gE7@iJ?}7zdExH
zFG!d^<CtXr1^I-7k_QT&H(iX_xBjb~UW>ysgZKKISTpq9nCee>hcDq+)cIyE5BI8V
z2MlB6PJOyPwSVdpW5MPP5xrrDwO9jgC1q%rN6dW@vRHSs*U_|kgZo#%ie%3_SKxUk
zEJ5(hv~x#Gob~@LojG-b<clZ&3@r>b7tY;yKhetT_pvEwdDisS{S;sJX~FA24gb~i
z<X$H`{Mu(Pu5+{X>ZI_0dG$S492BGL-|&g}JjhD<8u4<?k@dn$R#ipHPd?j!twvOq
z%bcs;)89$VPRDgt#4(rZ_=saMPmb;jiCDg+(dOi-wY^qS)t5`=uF15qa`)vAjBxck
z@?puLJDioGn_nu+1b*6@C26B+R+8$cx<pN+Q+;-%e_yZqI+m&qGlSjN^Be2Ew%!ql
zIqmmIL-XOO>vpE{Ys;ri`I7%=(msPFt3#4`(x0!IoFc^SY@=a*r(tWF&`Sw%pZl&`
zr=GlZcH@e?%rKL`CLWc>g7dfC7Z0)8>RKYd=6bF8(#HqX`d)5Xqap11Q!w|6Sa#B^
zl^RCVj$U3@7I-;mp~k|jnYNiKN0#}Eeyo4k++uIGIc!d7#nJ-BWfIT28AE=zO*mnY
z<aA+6p2`$KJE<AmYAdwO4rMV~>gsk$tzEOe;&$Ts7X?XA6pBN;>`EQy{T1HQVRyMe
z=TeK%^eMAm{I2(y$v!d1WXB7uGmGcQT<>30?DtQ7CS&rt_J~ItA6TDE5n8qA`=x20
zg`zLn*UvH$;!$6G+rwguTjT+L#o$0w(Sk+EjZO=56AkpDG8F|?OrETsm#J#5_cU5*
zd02+>&F_^)7aqQO+SAp0V@A(GW<!bHxwS79W**<d;Kr}qb?7duP(V*l-YJGBH(mJL
z%ekCnxo5hVO4spUey6(dh}oTKGk=>$6eT=9csR%Sl2AQ&#*!X?5y!;_(q++cN`aC`
z=Cz&KkkHv?H-B3<gY=ISZ?6*@+W#kP`D4P``#vQsD%InYr_ZYvfwX-_n-;lS)JY|&
zPO@!N-g#Z{L*iT`v4sYC0TZ1Mo@Qb3sL;H@q%}G8Q0^tcqYEy*wP8G}pX%to=JJv&
z)3TL1q9YGk^}TbbUmC!poWzpqvNlTa!lN$D2}eX&HF^d1E#Qq%NM5KK#aO!U<wRX?
zvxJ@%b}bWpUx)uuiO+I3Wi9<se73F6Wc!=oZ5ev1O0D6tvP)xEr0tr;W1wo7Y2Xrn
z?atAcL9hHJijCIme^5VG;9WSCp<>OIg%Oh~nmMI+8~sRI!N7UE!_y;8<R(wN;5%E6
zz#jjgvKcd*?}^NMZWF8#YFKrnb3sYzRi&eg0uP)~S!JMJGI5Pv6ia|NGm8iV2r#wO
zM4r{Q{%OO)z+f}|!h1#~!LL0Y=^9)0|0Zy=PgP`KvphJR`2(YF{p!lHn8|g`^E$r#
z%ATKlJ324M`e^R&V(!{*#_bGS7?v{3sxG#d>dey9&nTWWf8BKR>x+N)Rm3Q+ziUzD
zExR>UW^Ja-(v^MZ!rc9@1r|Ha5Gy|3`KvPUUYd-V<jS;J8KySY-s*2R`J9>id-Bhn
zGq2|4FF(Xksr!>fnYBWhqptobtLvSX9XZ#vJwK+#`%QnO{qYWG&*Dw2HlJ>tF`Vx5
z^Wuti$ERo%T1w1$8DY>H;M4n(F~_Fix@mi|LlVEg!m8D)7-lbjbL2Ri(^ijzOH;2i
zJ}UT;kl1{b)p2Ugve&PI;x4>1OHy7r^@2~@-pLJ>PM<C>eUh;2Usr{D{^G^kuGL?>
z^;$!>tc1<FYFFQ^g$cW|-d&w=y(#ZmNonN-KM(Wk$?NY$KeCHyob&r*?1@jC7V(8A
zJiqt2tR^!uQF5KbCD+zDPg7Ml7wp?9C(!G?%e-G-_gk4=URvb31zso8)VM;ErOv1Y
zo;@r&qtNhFySBk2uRrQlhW}ma#P&1%udEQM*H4?r5cipF4*P@e)8>jZUw1HlyKQr_
zedXigHD4e2Est58^;vPV-FxG&$HQgT{yur@vH$gTm0w>eZ>rn7{NnEENq4I{SBKtz
z_)gaJ)opc)+9C#tv)0?T9hs*V+q8&VCPkOkZtL;L1!k2uFRq&%ar@vRx1VeOJ_tGA
zXDnUDbS=rCewpF%h}%`qoZ5M$*7RCrdUiNR7=7mOPMWt-<>vP}ymA%^8^RxLn!^<u
zCYm61=FpaixCy#W@-<oaZKKL}%Kh5X((Q2ef#}NZA+c2r0gvzL<d^RH-u<h?{h@^Z
z@()`+&fOF$=k@>4iwDX4d7Jox|C;{USroqV`gJ|2iW|{CF21TaoLnzp!Li(N-@)q>
z?Gmo&?Qi(dH|@N~+Xp7W^Z&T*Ia4AM*nX!eG;6}@Q+jvTS(OTgE!JCbb@_9rxxbe$
za-QdyHF3GotS2fFoBfI|sy@H*V&ZJITjl+(>_6Ph^&0lAXf#losUg(CGEv2I;RQwp
z<wXx|1PfFvl`>PGhfbP5Ex6v^Jz0eD^PGy@z)jzs-D<wc3OR4Dyiy}D+4-#<OKr!c
z8!0D3lsylgc`!p_lj{kl<zGcZe@y>w85=PBD4SWvo85}vba*<8GiH7Wc$>0p^QOy(
zc!YN}&(v9PzJl}dkqPPH$qOvgJqipDO>7W3q}tQ0vgT9CBqN`xC%d1#TYf0`dwuZ3
zmA_XWz9`(<vieiz&)F+~PtKj2Z2su(ORwFRc-g)FuDN>6_0~+mt^?eb!VOVB8XSv6
z8Ma!Uac7&b|Kj5H+uzR3JiV_%W}#u(1MW?yG(1{39hJ7$pNzYh7@u+Aafjbt-HW38
ze|_NiGwVfHd~F3woo~s}^>#K~5kDFyN3Cd_ugp`($DdnhTKCf)R(fzSfJ%?0g0B`Z
z1~M|Vw6RTI*DN+Y_6s9dJ*W)n*~>md#=UH*cGV`&0zQWe#c39w;zZRpuTojNr0l?!
z8xG99#{^=o@4i$N{<$or%)~$c>lwX0-|tnw=Y1&qaPM}NJ>T#B7N2-Ebn3)X<xTtZ
z+ol%&n>^86$yg~rMSRmKDU%$F*A<IHX3zis==uK-_V)U}f5q4R+a6yZ_p5&X|8MX2
z{X2Z#`~S!J|GrMQ|DRv~ef9aurjzHp>pym%Z+>K7|L^qr|36>H|2uvC|I_~ZAD`C$
zy|sRC>=(D6`uqO<UjP4L_==j%FRmu7_Y~f<(q-?(HKo#j-bK5v7p<PUHk)DZ)7NX|
zGm>A1b8ay2iqj0Ut_cbGQdM|t{<Ys>Ti0Ezzpc5~{dL&W)E~NA-8<L);%)ufc5MIR
z0?&Z2yQ{QU>}oW7wMux^E1|82+gH7s)MLN$``XpFuJ60%JL{4DmvsjX>w-O({*qX)
zJ^$W?efPt6m{=UMuF|$Rc6-&SOOIZ?TCXDYZ^4VVXVP*vZu@T#k{2A>+yD8g(Ajzs
zt?YlE`(jr8tnYVDJ!^k<`e~E@HX+yk7|U+XdpF-iqiXe*nC;HD|0#t3ip<oE3yck1
zb?a+mpY>%O|Leg&U0pwiJ)J6gw!W=?@kG7<JoQVW<F9*vn!CvSYuN?+`W4m+H-7QP
z{tXvBtDQB=O#iU=&PjGRR%<OXy4$^eW!0Cqb<e+FS(oalFZOb6{Q~j(m)vB3$*=x!
z>I&cc)e@(S>KYf7pVFFTvU0`R3^|#NE7z^j(^$8f<4SyZwTUO2?0t(HJimU}9-Y19
zOW5M?U3CEq&lN?wu6{J>)Tb!f+(?&T*@pdLXV+w`J96vOvmd9wcT0tttk+zhCw6b`
z9*3*ebqo1I1zRq;<c6|Fi)sngi>_S7Tfa2w+M*pis_(@_SDw#t4_n2T9T*vTF1!15
zU7P*YrLR8u@IO*t6&|%^-5Qsb)Ap~?`@LzWoo4+yt+jcfi_QQ0G=^Q>r6ha(^v+3n
zAM(w0vKAz-S^F!pvb5AS(y}WwX6E95U)Wt;OO>}CJ^!Eac3jok7fV_0h5k>?_)%4V
z@$^xi^{@H+%)hoDi2mig$fLfZBAP#Ry=tAec<r9W_96VQ1D9R7A8>S%*^iL;%vC>x
zYwxD$U1qThSi4@E#aSi(O8AHVEAy5<t?+ywc~R!VQT-2_^up>I`M(~G_~|-XsI@LS
zeAV_)`$zlJ^ds8tpUf-zlXpxg=GqV2s}FJ(ZmVa>jz4u}={LUz`=4%;{HM45?8nb{
zwjKCC@%FSI?|0_%@4xVP!6)v}r|woa%zn7k*R-Aw37^K=yw<1mVE@;vF)?9ba}T^v
zH<$dUu>0i4_M5(q_NVf^{uGv7K0H6gyjG=Y<Dy^A{xecvDLwtQS&31w#>gXgMFQua
z*=N`e$ETQC{Hr(GtoR{(CU57XGi~jY67u=<e~5f&_U}yj@KRB<=9dTie9u3bA7&n!
z&pJQzPi6BW^Ua4vq`zqDtoC~FzS+O6{zCi8Aj7}$GkM$o&%F8I$MrL92ma5!IpIgW
zk+j^u`w97d^*na0|1^J0`5}LBd3!wDe7k>^KYSjAA57=^pZ;OyvHJZlx>lXucj*7}
zR{hg~tIqjFO4qEho2Byc^)Kb6&wqt~`Ec{orPr?dp$V(I{-$1?`#R3);_Zbu8Kp(*
zBTe7!b#vwIdD!o(zmhrBWWBE2<1=oa292R%Q|lJ8-TohRH7s%Oz38KN9O{2mIJ+)c
zA6xpHds*vQ{gtvt$ug;{w2f!4s$XPUm9y<g_Nn!3wx_zg;)3UM)vVUe3GEHP`ZOYV
z-ScLaSx>e}&RX?)f1Y)t*iR<s(>0BYt>OhTrs{iKdBQCh^_<(TZkoQz$JBijoMzW3
z_O36wSn$V$y@Kh#S$x8e@}Eq{_n#MM`RBY(;iLR#R{pI&oBIDx(O3C6|8uLzKehOJ
zkH`7N7db+nG<ZDN|Ad<-{&|d0{oLE%KDg%Hc2CW-?o8YHR%F)mZI@T9T5U2T@n3m!
zOyk10-tWcsPSsVtpc|9&LQb#f3x_ysRa>{v-b1eZdk?$H*B&~m@~f>|^9#55<rn{h
z?A-dw6jz+P-cT?xF=59ELyZ^_2^l>v9!_yvo_b#KS{`ok$r5sU%M6rae3DZ%+#0_r
zt~mE-MZv_xk{u@u)nY^>r1iXdxW#RI_{D2|IK(H<kkDIZpc&(nT%_UF$)~6xJVQv&
z$cI<la#?FP)8)giosth1wa<LGs6X;ylF;VEM@62sbZ4I7J^Er{GDj8X8E$dOXYJiG
z+=pBn^Xm_}cD6oT)b9FlQUB40NkZO-j*3ic>(12SKKf#DFvl-W9e#1iu$FEa?Zd8(
zp$lhCdLg5=^nz-L*W%aS?^iIc`6Lv2D9d#D{-EI4g_D#`zrK3&q}X&x^xr?>@z?*n
zwy%|4vhCokhoW=8g-%`3{VG&>YIWq<=WDgJy+S`N%vZT7TR*%0ulASvNZ*%7Ka}1&
z@?qA$2QzEDAMpNFG|rsfzC9!>ZhOV5tB$6jQ(qP3o=$M%zZSIh)v{0Rx8ALGiOjTG
zxGuC@VjZ{Ea=x-P0i9uKfubU(L%%q#nsdc^n~V3~i){I;cX&+*Y84VoDOI)E5kHk<
z&uV*>x&`(&4o5?`f8u&o|9fSZ_w>-1Uv)w{M@nMq*Sxip%F>k%cM{)J_;+iU_GTsS
zuGC%Or7@X%lfAcGlGoZCQaJUIf9S#~4{iS*ycki>v1h%#irocyIlC*~GXrAkmtH>T
z6Z0!iOy^!nO#PC#c6OJd%=8wDF)w@?z2X1<aLbYm&hY5g{}akzIxt_~f4YA67bm}+
z|B~-5onF-V`u?-xyFpVWYsK_76{y9mGMXpf+RbEtPTo<U^{QajXZH&?>k3-df708l
z5VG$7lIh8kuCkxXomJcJb5FIXvkwva!F<>7X7QKScWa7j)?}Ywr^Wms-Ky=)sZHOO
zAHAB&v;NhA&*}@l^!jN1@B9~H`Fi=xJN1?)9)4YGHU02^U%U4Iw`=&;=bzmv^Y8Vs
zR|P*yvjX<-o0}r^+vn%`;+>UD|4p}_b+WYMfBHjd%Hz`keeWOcU(Ib8(ehd*YJJs5
z@m=Cki?;p?$j-m}<;^Pdj4CGmr(0^u_Xw?F?z-x?>Vxp#gUwqu`>>o|V$^y6io9H%
zckIqm?fNGR6n#q$&EHv6x=mDP#@DI8)o;CHe?33p<(l;|)8ga)DDB_#<M*FWkK{j{
z?yf(hum4YT|DGT6Kc61eFFm)$|Em3K=alu0UUMB*Z#}j?q&)rF)5bZGCMrgp+oP&j
zIJd`Dv1IPUNkmn#)JN`$s`|73LGYvc{VVNlry8<K@AL9*>RqzyJG-=IX)~|crB`}l
zarIm3fBsc!k(Xk*Say2V)`Pnuo_?t6z5g&(w%zXf*^=0-IVD_Lq0UP_d*@%(jox)7
z*RXW*iJZczJ5#r{c-=CJ4tknBQ`diKmZNHnYUaVVfR~XQ6ho85aw_VzRJqM+ruMK(
zdroa*mDZeE#44RRHRq-AJ)!tlU0<Wp0vxU+r4=~bdQg7F@}$jAj;NQcNAEmQxq5(a
zUIWY0X9tCr|2{oa_1xzdLdzeYo-eXjzS1kGymWi@&&7+rEAe|bX;tsJv*E?uH^P%0
zUVbV%$hGqF>G`6y5g$~Ru6woZU|{`X{*b0sRWDcV)6mZkjGJp4KR3S4dixfsMbmRa
zD;B>0aZq@A!t#0gtFP9Dez>8kQGT^LW!}yO-%p>qwMZvAGG4T%`Ht}P1AnLAx?q+4
zLj29_$mzWmo~)Cr`GjY*znr}Ada81K(D5@iQ#lWO*mX5h+Enw&ybD*hhRYj&pQBa~
zzOp{;%8ES>&*h))5}JGd8JE@UwWoudj^3FO(77_kEdG(!)U(rDPNjTST`6?4=45bX
z&b}wBP0ZrGo^8I75NNI%;<b8>srZa@k;NWsg_pH^`J}G-DHP6`y-y`%-z<I4sq3D3
z&pQ?NS=E028n)BBD;EZNsV@H+6!mo3&I2WBvz)UEH`E)1Bx&iNm0WtttC-dQ>5`pI
z>y0kOD6af;F-CFer}Ht2Yd4+WwQKbk-}KZKM?&7s^mo1587-@4w6%#}bZ6Ad_AaLE
z!>*mB4;Qt6eYmKf_hFKd^x>l-X06?sIebS?Y*b~rYI#RW&uHr3wl105hg}<GA1*p_
zuHfR~bp;oXzbi28tharb#8rLxsL8k1B#|5PO|MGiIK(CS+PY=h54koTez@pJ`NKu+
z?+?4myB|8L(%06lDZ?#(*+Q->@R1A0s;&1<7EF8?vg1UdRg8#*u%6c(UUAzw+~T!!
z_{Ash5YSszU>M``I7q{df4XzP+OO+cx_QD6yY^*2Ty)@TLEs~|9WUx1rtNs~IBds^
zBX0`~Tdf}^NtHVr{@Nkll(n|pJ|?9?K(EM#N1RozHOuQ!;fg7TCI@KtE`PZ7-sT0<
z?)+WczpH*v?9b2pHUIt+-_Iddou4LNy?%ACTI$uO6`uCbFZX}FA0R!oYQ<}vMM3ea
zt5PFYN`LCQwc^q|^WN5n*<R~@{;b#EcWk|==-yvX&)5GnUAC?E<>5tcs#lMm%_`+v
z_D=BEVxxt>W?Y*2Dz;Q#?~3rMqbdojKB_!;wP&Kk3OmKd5Km@Nk?`j;F11?SoT7I%
za(RjW+<LF?S6dxkdX~BdRC&cpO_>*Vonzs4zZEJ?mu8;g@9fK1YqeXLcgCqj9tT1f
zIoC5S{1htWF|{<%apjUpS6aPlHDaGGUGi?F&xA|0Q?-Jtf|dqNnHQufzjS%%Cb4VY
z`_^2Oe|4%ZtE4O}{gs};yN)^Y0zR8?&X~2)^T5?RzDx_#3WYpO=Lb6$mg+AmsSRIL
zvhrD#*Y_*A-Ir=h|1bKoWY@&NDzCd2HEh@B<+iL_SN}$M$+;`KT`xFlQ{xvg27l)l
zDkxP~Yz+7=BIHq8zOd<$mhOU*h3{re(Yv~K@+I3+{-q`HcYQQ%SH4>{WnR$sa4-MW
zzt==rvsRr9*LBf7KBe5LX?+Xp`#(!wY<AGl%?n)><`HV@DEm`0-Lt1T@rm{RxG&Dr
zl^fqb(&732NJpzavuiU)jeL5bWq?n!#V?Zr>nlc<#}qGWA6qDP-;hr}IZ4SksisG{
zwbQWAL@iS0=f<68#|~~ddFk!Kk5<~}4jEXVJ7lPSPRYRhoRXpaGRG`l!N&qK#2-(H
zka+yT!1UO|<ftCy&X<OLB56k~F3pfEyb`k2KyMPuzRp5}p01MW`bOo(jfG-ug@s~$
zoP}bICkw?o?;7$xiV%4$5Fzt;LWI=g4;yrjJxq@1QSKBp>=S7_ZgEK>x9~}Nqpcy|
zREfx4wkF(jx;7ik>Dye8)3KRjO~+=BHC>qk$Nx#RA83?lKXOo_z5TEupZxA58Bdwc
z!X*Vd$0i;-SzZ_O#q-=kvHF?ER3{ynd_88)!-v`P#cQ*kbAGA0o0(AYWL@Lqjkgs(
zT*;kK@hqn$YRjH?k6xX7|3s*E&K>7?_3szYpPVf5;)y0t!6%bnlWpuz&7b^tvi#%R
zlf@EJ5AWV#+xn?Q+TzwuUMBq$lVzlCzMb3qq~bx&5(oC@|Agkwx#OI!{{7<7lUM7x
zuAK~i@$gAzNxkjtXVb&#Ca&;JNY{JM&(bElOku-W5tVIitjk{ANaEs|?dzDZSkptN
zetF_WYsrOfYgdG-zE<WsysJZLgW4jGnrscL8CL~c6H{FTE#v}K`kpc61l^gl^6B{x
zY>EeioxZc#>-^GC4l!AowDQ9X&xBXeWv2@M*PoRat<71?=~H*QQF7T1gX0<EViM0f
zd}80{-&%PfnSE--qXlyFtXA}=^UZRpc0ZH#@X>;-i%w<kzOFz0wP*b^X?&=6#-Qnt
z>dw8(1JsSWuNt*xh2DMOov^Oi=#28}b&Iu}%M#l@>Ydqmyp{EvmD1xG?-wvB%Z4QN
zHCIWzSzuS+W|1M}ENjvl$hRu!mbtz5uL}#No?R7nb&7d*;AhdQeLpiVvbS#N{HImj
zZ*H$yWq;;M$+C4ee_NZIS%TQFWE`J;v)!O{#hOJC_3s}TtTdLXH2!_%<OSgupTsyN
zc3o<CY!f^60IQTo%#y}-@02Yvw>@GLv{$a4%D=KY!t<5gy!y#iLbCT4eG##mSS2-=
z`>Rl`n4b8x_(kUrojufdp^C|v+d7)L&C=w-3~%PfN|Ol^9?blAPlgrTQHoY9n0-a{
z-Gp83yO+F6W~#iSvTR}%*JV%JUdjC0m@7A~M6bAfS*dZK*r5yk3aSU~{_3_QIvo7C
zy6fxq<X`(8U%ryacd@pqzaSjp!`$X-@*u*4xiLROVPgZE+_T9Si@vCqHrg%GyEyxb
z?H8p|d1p`C&eSFI4h04ICwnf8TXg=AO;Gxbh#P`Y{ts@xR!OMvW9}0-5y<eCcYY+d
zx4m62WlPqXy?!s5%spd$*DiUtP&smcKi`shN1Qj!6L~keN{T<Ozi*P(-}+i5)4E;z
z9EIERSTs4mz06bOvAy?W!HUEgDS0cFFa8^KFXpcC>DkHuZnHYvH5C2v?Ctk%0k(r@
zzx!;Fe041P>aI#(rgYtwhc{kN++8$HrQj<schU}_+$7mYJ8~>19T4T7xFbtZZe3(Y
zzxXtcNjEYTHNVtOR?Cd(o+okj@71e2>i@W@@UGI!kBW+u`6F)Ir6eA#FnvLKpvu%M
zRTEfQclxbgbi(rN1EDW^H`a&tYKf-XU09y5-$CCoK5PG{We4k?`d;k6wSG?~=Pz;B
zbqn=RcV9i~y@H3Cb851{LN1=ZZ>Og}RgIsfZqRZ?vTc&paf2Xn3BOlDZ$0iFUi_k0
z??P?8joMe0c`o@gwcOWAyI#~@-DfbTlW(ey=Yy5JhBh0o951}(#Nrp(am17RW5FS(
z8ky}ARL(_vaGlpx=}o^Fxa66ZX_wr7!F>*`@;aukrY-)po9S4WQIq7ny;ExwoFB4S
ziSM*<7FU1%;?)zTyK`ca8YWlINaZ=t@x0<)*4_i$;`P%qUaz`y*!lWByIZ@z`7LVZ
zUVh<X>*W_u4y+ZP@%B*G(g!a>|E?(MoNTO9TGW^7r?=tGN!73&hr(Y=iifS15}!8X
z{UJHA9UJ=dV=Ovn>diT%B<`1N*!o&ddc{VYi>;DwL3?K%=JY8lYCGw7&hY3-)@4>Z
zkC>=`H@JJ%rY}%Wr{3UJtLm{G8`?MOy-A+Kt!{X)HF(B@Lzz7V38vH1cNiS@&0;(M
zkmZ`S<zYYd=Qo}{VcI=6CW+tFJI(Sqo4DAG7l(vq$J{uaCjM>X(bmZ~ULDfRDSl|O
zZNKQbJX1c~C$~Sl{ZNeOV|(3mH*neiDM2w|aToVURNvpUQRq##+=jl;`mO6UkFCp?
zCeXB%=X(6cchOC<-)g<D>@$z+JT|3PBeL@^>&LXnY#sFo&ksj7330DkW4h09p08$D
zSWw_%<Ars{gpGN>Og1;o*VDP7%)ry#lVZXz6p^dQb3cmXxD>ZwM7E;PYn>y9`K&Vf
zj+zKWI5BrFHTkfiht2NUWra>rlX{OtRo+Vxu1u!uW0x=<R^8<PC8q4Qlf=6Sj^nZ1
zf;-X`g}&<^Im~R8vDIjKW7Lex4r@<mE|~Vz<U`?HHqSc?9J$0ZCLCMq6d=?y^|48U
zMZ^ttMvn(Atp;<p2=oPuDHYsuQaKmV(Z0Flf=qjcLct6+q5BsP9R6<dp|FL`Q)X$s
zBiHea3CElsXF7?k*jUte$GLav=al&`HePz1JIjD)lR)1=F(rc|PAY9t9qoz7K5vzI
z_`;XDah}P97p~0wwHXf<>+?=};odCr>#{;GV}AR5Ll%V}ryHbn59f9ywy>_;eDh$N
z#5@tvMU&2KoOf$N)Y0e(|8Dj08t&29aqCNPacTXMcdX`@7z|2`<vu=jWXjcTdD!^T
zLD}EJOtN48vrB5Nn9|0BPBv@I<vu^-a1Y;Q$`qTSaB(J^oYlnx?(R}6LhX2iGW?rO
zZcTKUd$Kfz`D$g1_qWb@%+sPc4hwMyzHDvf(Agx=*(&zvp|n-TRGo_s52B?!)+}pm
z4>bvh<l(Ik5p!-9nRZ#BH??(vii9?I#(@o+Huy75IKarAcq3m?X11<N!ur+~Q>V99
z-0)>TTR4S{$vs2C@Z68$FuoPPRrj{{zt5O(BvEQfK?hr=j7p=F_U?=YVY@*=Xmra-
zqAi-^xB<7IM3$mZv(AyjELItPvL*sI{Fpm)O+IXx%2uDH>(i|A?V>{KM-z|ao{#S9
z8CdGC1}{!s#cSxZS)tTy`E7y2JWNwl`b;mkNu)(ju(~EAoiyWjRZ3t~T-2>aJ9aI4
zwwmQxq~u`{?Z-26Qkf>N@kv@VwNfG})y^#<Qb;+T|9_+7<_D2SSG-`2{{H>4*OuO8
zb)`#8Z;4J4`n&vUz1NoQ6RuU{{MqY0@j>Q^8`qWj<+W!0FRje<EjxSvW3JHlRfp#;
zazFj|Y+1~f(4G5lp6iNZ{JH;TpUJ;lB2HR&H!Jo%yvU>R{rR1pVoC-Fom7rRceEdz
zruI`J`=)xQq1dED8Qh-9Q$Dt@Xn3(t?wi{W>-?y5|1Gx*&Aq?v^0gO2^=J1Q*S}rs
z5-zpHZToiRe%apr%;8B3CT~A>qDfo%bNeH&GaDvcoAI;k+x)x~&mZ|GrvCC(+swr$
zy=}{}H%+IE>(kdADdEoS-5D}>r@do%(!9yrk1?%!_G#Ix8O1tFOqaR-wzXbV<u>2%
z+Ll!pUGM%_d2z0Y_SNQGE?x;Wcjgt$^_)GM1lrz-9V%4Wwcw;cpXZm4tZJ7Wl9+gH
zdX_i#7n*n^>F@?gI5(TPxnFPRGFhpU7j;qQzI1)td4<1+W@|4w_d#}Lv5Z=2qw^BI
z!U-2v&oVj4xajoiFRNqPTs|M-Ns#bi?sGH|knmvcWIQJBVdQW&=xp<eZ{nhHYFAT3
z*VYT&NLS>kUflgu$1`CvuVKy>#XjC?&V_F_3N+poQ`&gi$>z-#0eNj*mCm<flMab-
zdnOkLCid4y#$JE?y7TV7HP>wSopZW!YwC;L4Jrkf+a&UC2plgxz3@ucWv45XE<0VB
zb=m34qRUP-Z#GO&c^B=$wOv=GH`;aL?6=dO9@W~px8Cg}Pq=2J?rV+62S$pkMScjW
z8pkY2>EoWFQ=n&M$a4RG;Kcb$84pWJ74&r437%wVO#UJ#bUgFHLl)keIZGUcmU}Fy
zdTGKdaqe=%V?JJ%cb6O<$?@9QENJX+H}QBB_=W3q?fWc0lZzm#%w+l5`uACrcn!B~
zR_xn-k;n9V{nRy)(-c_JqB@T7aDOzo>r~^jd4kHaC=ae+9hKgb7kw-~PhDfZKK*}f
zxa;O?xnK6{RnPk^9xvIN7|a~}@P<Sni<yf^=U3iM+aLB^+O@2-v^ezt*>H`y55-m%
z-%%@Vv|gfD_<gFID{qn2W#3(Y{9KYEt}7@N-l?)yS{W!^>REs1T&h!P(6-p`af*zG
zYo!Wo7Bn7kmhy-(zP;?f;C<K4xxeB++8Qjr_TTM&-%Yc>`oG_~$F6#PX4}S?+j6rR
zQyC8nOBL8GZ9HHs<zZuf``Fb){TaEBUp0I`vtp;!mAfmJtm|E|<eB>BT$}6L#M2xi
z4_x>bDpas#aic@Elt)R$)%p(i6^G}U-d4N9m_Ix4b@|yh#^+}Tu<sNt^}J(#TdgSf
zbq3oMR-WZ&+|n73GfHvDENMKV_<7Gu`Tskzw)w7NzGt#{+OJRMx7DsX=DEZQ@t@sx
zGUhhlY{t~aDEA8ukE^9PWEM6a3EZ4J&nidV*u}qISyijh#_0C5mCZJNUPAu$XSP-D
z+$L+xe2S^<vB?7qN9M-KCKGPtZ##Q^diPC%`1$6>S$}seP7m~a5LM!CI_K=RpF6kB
zHDf--G&SU6!($!ZkVkIJouAWgPx>{>#Mx&Rb6lsFjQ*Kzs#hKL++&4u?;G~bKC|_0
zlVpI{ik2yCGSf2_@Od+LYNy=}dbQ#0S%LcCR}Iq_O`5gsOyJBQ_MHNyo?FasPdl~s
z?JCItF$0@LjK?#Iznx@iG&GqYk$rA*X6cP>X&srR2R;XOE^fZLZOcB3MS7imX}2%!
z+|~>B#7C0{GaQ&3J546c_>yJed};f;YPV%e-`|<5#O40HL+OI*B9A$yMr;4XR)1PO
z<(xr%>lMpolXe}@2%0`4Z;tLd<F)C>Dj!%~wo0DEzRq~<<v`B|At6C$jnV|>o!^os
z5j%&O$2fCAP?;3RnPrVfWH#siDzP=Yz3lvTPvflGL;H-=1lFCu(YeC=QT68BpT%a^
zc{J)TJ-gVDY{$zYcERD1^yXZv%T*cMeAA<jpIuS9thD|}&g^qr%G_gLEz8`dJ3sTQ
zG4rYPsJWR3F1E5U#bzp8JPVS4H#_(8%xgZzS#vXGjc+eAUi&!E^HIyqZ8giT-sRDl
zpZRqGqr1Np$CpKoF8N>^Qb0DSgKf~#;yhze?T{Yj-*a=~bK|vkX%0VqR_pUxSY32j
zU|-Lx!ZrhBcqYhjU&F=MW?q}Q!aE`8it5KhInj2Pr83y2oZrHBu_4)jm!<83L(+<y
zW^*%T%|HrSKzinO?_xRT9xL=#O5*t0^r*vU8a~auRv=Z-v!L;Shm?m8*u9_Au2@};
zN^)Ckyp}s~`hhDeymjZC+4j_UZM~e^rHRZu`bGISOc{<BORW&q<W-sX>b}Ye=DC@%
zCLmj~K(?&y@nSl?c#=!{=G>n?uRA3J>@HXNFmJuHpz*-E>mCcHg{IvuSyp-y6j*7q
z17G{RF1n(+!Q#~<i}b$jHOsyp=rNg}d3SkZ)V<3N3no``J~3W<ZhecfN^O0$b^a5d
zU+>DE<_169Ar*LKmD-{e*2^bmK7F4P+mjynQ#v|4==lq`S4_5^v5R(3{LDQ!GuHfE
z^M#q$Y98Bg%+0*pv5V!IYpl@pp3SPCW`;#C?k;XvsLUIp<j36j%_QLRbWm{dn!d|&
zN{=c&1B!ChS3(gIrJg!QZZl7$*GJtx3kt9(se&~N8xL$ZnGlh?%{RU){mhE5TP+N<
z3VAxbm{y<J_VV<{S(W!bU4N`)yx<S(&Pi-C+8GPf^rSq_fWvnkIDE4};kz$z`hhho
zyj6>yRh~|d@&=nOBvqiZtnq-7l!uN9DEZ6-DW8?+w$`|Qtz6*r16x*jFIo>uPG5UL
zAsgkuJoWEohXhGpm2We1FV74!2Sq`z@nXKX*J7nBx$R#~dXWxFt#3_1skJ)ez{c5Z
zuhzLScg_VxgXxi;sPrgpkY~5*Xsvu295P8F2dvxxr2KWpfsMUvOwpMN8;|DH&px-M
z3Y@xQKz8u<?P9s+5-a2kP8?@JNi|!T*TU?QLjp6e$~BO}uzHX}Ur+)JTff3PA?eCe
zA<N=<XVRmB!G6t<D(G3%cxpnXg5e=ha$uVo_}V9XjpWvITjX3~nZy_Cl}65Zt~)=I
z*PQuOdVSR4j01*K*s@ZcnLFd$mMzU^yx!~MeV_4{i%4IcpzDK`6Ek1FtY1Ab^J)5j
zN4K^q^Z&3<+O=!qq+L-RlXlJ8*Rxr*blKLkFG^M#uXS~c0&!HdIM?)e37Pk32R<`i
zyU$JhdBZC^se&)d8V@8$d7Lo?MZoN1rfQ|jN)PnZN2N#oUOef*y%j2jr$PB`Rx&8R
zrCn}F7UN|}yX27621-D8%|N<rLD6<gcIuV4s#>0R3~x_6zh&8M#;3+>Gff^yI5RhD
znoO9Hv(1-(^X|0UUo4_i-PW?-y0$`PgQ}KiiD8<+O!@4|XBs{==PL19s9kVKu;EoX
zRu78bYX-%8UixG=gTiQ=do0uI#d@YcXXTzwkNVydn##ALb>YUObBujEO#-;Jq&&{l
zpY3o@k6H{WUACSBMRoS#NxPEWRXYvSZhxA2jmdaH&9bk&ycS}Y98yo1Oo+$<6-LTu
zx4rbq-U_l}U#}OF^&)Gp9;4gS>d$YPHUs2^r6vI@WqDbiU2sTh1^JlQ)cMNHYv)!R
z*{H3>xu(}ks2ZFkrh=1%D6d7>We3$~CKDn)NB!_y-F{o)@oej=Ex9J|j#X{Q?b2YH
zt=x6Q;c?$w`ONJ2#U-V8CtDq_3JO1|ywW?zBx(u!O@_s;RXw@pi|VgB=eWBF-jEDb
z`6aD;s((GFo666JrY%=O<6K`c9rlP#+Hq0IcFQ*@-Al@^7YMGEi)dHsI<T!{(X~3a
z?oW%eS_D1o0(w<Sr?O7obs!@sJb3CwCEEqxq;)Sn&YUT@R?d%INv^S3(Dl)Ti;Mn5
zNM*ZBlJ|P-F*n&}<?58KE2?VsQo5J8r^&hHRPEh#Cu3sVI-On(rgr7(l{cTi{UG=`
zd#b0K%Fm^zQi7h(aC*fQ>=~Oh>!Q+LpO;xjmW6A&D{~!BTI^cYyUFs=#E6V78vC7Q
z=DAFYUvNgDv~T7TJ%jEms%iVD{bk#6t-1W(mA(5{88tpFJ5lgy>zn-yS}mM0MFI6~
zr}<759&%(|XSL#pDf`!gyZ03Og3B}tZt<y}yRoo+bJ>f>b7a*D@9_oiNNCTD*>dO_
z=ba7CZL_cayZnDeS$DHhPH8}2E}zzhyN;@5H=LjCNNs1@{x)E3#G4C;W39gwp68pq
zBfnkq&E~@<X5xo-nFp-AxZ^UP<gtB07wRo%9H@VI=-%1Dw)Zkq9#^xAT0B}H<Su*V
zuwixcm06ehSijv^cqGpH%Z8JDwQsf`R^hvm$i@EFpw~HUh1=so>y#IHZ|!ZR`1fWl
z=V_On^4OX^v~pgvmrUhVsZFQezYR#(F1u>ZeLmYaTb<>9zcJ|iTQ=hmqqWcD2di(^
zcSs(1#gMKZSzr3MuVVUxo0r$cPy3knO<pP_%yg~BRr}?4R&8^!*R%d><SXG9$#LA1
zThJm=QRsO5xqr8N4LJ9`uRqfk!j|kT;~u|N!BqIhw@E+dx&3;0%W#*|-wAJb@UC2a
zJ#67Cp4)Lk>X}RCH@{t=edYXNzMBHa9i@dVQmv;QVXV^sdm?LpJ+I-Jt%`k@zij-n
zcunoLy;n>;mG|Dco9xW9JJ+MPMmp$oC-2HToo-dVRd+#+`w3p`IUW!Ccs=hdn-~{y
zZ;N7Ew%L)wHapF#vz1r3O+O+k_44Y2ROzH0Db6zDxgHOics=JVpBQ&&?$X;!uNOVf
z>3BS6tyAOHZF^PDU8;Urdc8hTI%!6xvrPAk<d>>9OK!&ry*}|X^n9GRZcAckYr&cA
z0*yDtlnmQ>^nRFq)R0b^k?x%3=~d?PLH9B1w!JF*7EXNE*=lxV!-=*K2{V-qEqwvs
zj|EA+tgMlYT{!Rf^xFc*xuk<$r%6wWa8<VLU%ySUEkCy-xtDjPW0JGb>A0o!>!j{V
zzCQWd^ZQXY?uR!r6q#o0xFi{Sme}WP6xbTJMPRGn7J;p9TLe0%s$AT@$xiH$!6hdP
zw}_4d1>6%Q+=ObyrLz=yoON1~H?(r_Y!c{fRJmxrfl=(xh5Jqxzal#v;<+cj@D-|k
zFO{pvldRK{yrz|-XR|=(N0p1)*K&#-syDdkWT6(>aUg|z;tVID+T*jb6nQS|v?N<v
zZu|N+t1VT&^R<4eXLp)Cx83SfT6N3Z|4zJ9{J<daWo7f5*|UmvS$!+#UG#N})_NWN
zwTTfEyHBaeXzRKpOl|d8b8fBa)&E~>?YErrdf@Qxx+J&e;YWA)1%*r+C+-Zd5}vWJ
zpS!sJOIc!LT;GY1WfOlNJ>aCZ;ezAU+-r`uIt2mplVwdhJ^5xG%47FU7P%?A^Zuqc
zFAwq9YRvhsdgi0v8H2u8uXjx?V@j3{Ictz|wKT-{yxy4$XIm}(0>u-=xYsPN+QL`7
zry!uQtS`)SOKAZAcNx>)H{LDqlqtO+!e*5rC0x9}rGDnph0~vWKlfK?8DsPHLtjt*
zy^|_?dT)fBHb;oi9&5SdMf>$4+MBK?su#3fkv#Y8o=wt=SA3q#ZHXoiB>b2g1x+Tr
z@Mq>1K9O;CR_B$gpC(?pdc^;gT-%enU7Blt&+z&+d4}67O&|5ICTSwCRDbEnD3!LA
zw#qL2%5v?3!_zY+^$#L^nM-#sY&^m#Rbk=hc6wva71n8!cO4N6`o3Z2mD(JouPSDf
zs<@;*Y<suvG&g(xWmo*6m?TA>-5fzeZ#G|cx)KsoQ1jR8E{oi=x>$oX#xdvjzCC?G
z_=XpAo36=&8$Qg9y(SZGI56{{KAB<pZt|`Ju|d;!IKPt1o4l((dda-{BfdfY4;fZ2
z-?%nF|6+Qg>`LP`x^Fnvs(+B>mEgP7kZjG%^6j!il02`?8`Z^6e@wf=8a8=XROjSf
z?NgV$OSWCPI$D0^@`9Etmw(uOS6etI?z{JlTCQXM-=-V%KfgC~in{7SyX87Ay$kQm
zj(P3ztgTA<`>}g#V!nINxLuUrd#bU1(u3&++n?P#8O7c-`~BM&jm7z)dlM9So)_nb
z_D*1tyDe~7*}L{tTDl^Wx~|LFr(%yDPHO$}Lc}(8XZZ4M+b4+3i^}qT?D^$LO5EIA
zmfw{QuaWtsdglFN;f!aZD}LzB@{-E8INJE4clA5dWivuI#IOFKpLkB^hW-U_1B+QV
zrPkL=9$j$9KSW{&%jWxCG0q3|6VEAyv`OcSt&+LU5jyvI#(tLdR^AUxRvO1BmiGN!
zqPL;{iYnX0UG01qw`g9dwNd%&Y175`^N#dQKG*eYK-BYlYsC~fW40)^aZmeY5f;&L
zK#F_fjTA+>yoiqeYOzIC2c3c}(iJmfPVFtzb+7-TVKwdd+*Pms#HYWWws<P1PR;d3
z$z{*}S$IEWQM0r>oTC1G#-k@p%5!6qW@*=ehK#X~7-x09IPmN42fZ@}hcB-Fr7d`P
z?wo~-Cl{9#6*Zpo)4O<7)b6;CxY&%RhlDoA-Z(5H{>|`u>*N{F>knz3DSv1p7O>;p
z#s;a?v9U82N?%=VImf|XJ^jV|Co;@)ViFciULD<{UDNkYPv^qnR@JIq8`|Ua-YnkE
zt$y)fYw(N5hcdsECYXk??>0Cr;J*IV#$Rh1yNzwii~7X<^e$Yhtm!OU_rbDl*M<WI
z;?pwTACi+ZD@c&<kFn@Ht~aOtkg&Ml<ILta%6raCZ`e9#?tI}NX5TILO)y$hX&P<n
zcy-pjR>^HUH$+A5+HinReA<tDt+sm#wsa>iZ01^19MJid?^R-R^RF8(7I@|qT@W#|
z&X9_J6T*9U+fIEkv7olH^^v=S`0qUbHt*WwZSC3lee?8mE}U*vt+Hl%p7DMGlWe_A
z$fCu~RWF__u(Ptx5Q>#CX<f%R%gwwgP5lMSFAELl_(j^qCXL=lGS4Kooz^>3c(j#u
z-L4HsOvS$y%-vD3dB)=Ti?1^Ml?_R1Xs(iYvA~YaGDB#)tl{#4gN~PXWGw&1{hRMp
z!R32Xt2WpbCAJ;cJM-~KE9<;n8;%&eKakljQy=nRPqWvZ!T|nq*$~}0S(DD+e6tQQ
zv->`NxO%>LZB)?TqyE{muWebG8y6b;`SPnzYD=fat7K`f-lwzv*sAiiZP#?8G!84x
zZoGPR!>zm%S2p~(`(S-eDck1{r#+_K%(pzai9ObF)0sN$-iD~qt$o`nJMT_d`7nC6
zV)c)uWzNgm>P_FX@lTnua8adi?q{#CpnE2bhu^dL2l#B=Hg%Qo>`qyUeadACGiA34
zSQpgzzd2xRonu<Q;#|v?`*#!<r<L7seRH6o=f*<4C3BDP$+&mQzH++d_eP<h<%VNQ
z*^RU}7gkN0YOe2{uy~{M+*vmt3T$LP%$C%?%S=Y4>_LS4n}F-}ZOljbm|l0vzPh#H
z{GEkX!k5&F+k0l+Oyu9ld^{?teb<C<Le?u%rh=@v`+i^Om#mZFx<V0=b8jZih}wSC
zaDAS4Lgn3QM=tHs<zM}3Tg{qvx4n{lOPd#&Uk%GGO!Z}&y!w-B+rx!I$2$T%6H->F
z7;1%lGO!ByWT+P6m6Rh|-*xZW(n+hXo%KE~9C7!MfJE2~rsF}u0y8qXgkJj`Jj@sT
zVdFuONfGxK6<*~3<dj?d_w7MvU2d1gzpab<eOr@+w4?XMe%U1!TC{bCYuW6?puE}1
zPI=J@NqNypMR~UmPr0+Lf91}%D$BP1IK1e-X6~ge+qUef^sU@#Rpz@^FD#_~s@U{t
z)3#@Y{@%RI*L(G>tpSr|^7j6YcAf8jW^Ht6`rOo`rbl0~{a+xb*Vg{EpwMPjyZ3`Q
z+Zdtn`+v_alHVOGWB%Be$^4P8(Dlc@a(TyR*WBqeS1mNZH|5aQieRblUne(hSQ(mG
zSR57|b;l`H;;F^WfV<V)g^{H%#b4ZevAKQ`KX+tl<?2sTUou>-Mc<8@8g+F+y0WRG
z=%cWwQ(w4--+r}R)HmzG?0f6oS}z}OI;C~tdfTdkvrV(MI_-DUx~=81HhRT8ZTI?B
zjW*W{?@9^E9ygXXpOB@v{`C&WlD#+kq`i)wZtknTG+~X|<wDt)e@l*+Zc?~f7@NC-
ztM=aFiS@5`=!G2rm~*^znUqG6?DfW17876Y_!e@!b5~!s`}NsxcWes@cDYdaeMO&e
zsqFVXiaY1O+mSA|#OmEj-q1vrtA$E0b{yyJ>=VA(SAAtd#)31Ycbv0UobowtyC6#A
zwbeVj*!*pe;{tpqIv(#`a;|;N>m7n2?WY$!Zi}AqYDc13NPX+VkmDy8JZ=jJpL3?{
z&Vv)Gq1Tgt376-r`NVCL`{lK(J<n#fhta7kx8-Dix$SDdF?yEC)y+9f(XW$}BksN^
z@2a!CHtoRnub&KM)=uw#yu0cr%eQN%)eCpT+3~l(diuF=L)<<UzALAvANp7I^U{r=
z`InDv+-Z09BTL$~)5i@K$JN{Qz5M!#(`WT`|D>$FHClVuOh12oMb*zqGeY#^xrARo
z^-gxG^_zWQ*{=|FA&Gc>`NNxj$%G{(*XGEXT{-?NxwbaPbIt00-PVb`uM@qKeU*(j
zo8*Gly?beGFu51fy6*i>pR--jS6`%C^wuqY;a(OTIx~v(T;Ep%ovyD1Iwkd0QCsx1
zbq|{R`Ip|DepNN%!V7iR`H{B2%C0@#dtdh#?`q{O0<-VGXkOHoxVY}ilmp+6wY+(F
zw7TWZV%?{Hi)2-oUzj)P@{0>Uj=Ropd9vwJmqP1`wQIEgF51JxteolA_xgVEyq|F_
zX8P-L14Cnl?_`CWZ#%H5>)DTO8!OAtJKwFh_0HY0YtIgzY^m8Nef!cTP1)LeHaPO$
zlG4?m5~hmetn6h8J60tTW@5HJ`OxG8Pbwxyy*@tM;@FYdEXR(`R&hH%+oq@A{O1gT
ze6OUKJ({hOAD5Y=9h)cG_jIG^%H5yVR0tn_Qz3kOPQ~N{cPb_y*;6sO{pUwDdG1HP
zo;AYxUWxUIdo+7pH>SHLMCQ1@TDIHb-jNL|-!g<&C)vuW9jmJG>Hn%?sC#Ylp(_#o
zQo&NQx%<_w9zA<1`?R-rw)bl9)2mmnUS+s@;n_w1=5IWcm2oeDOLwi-Ec3NG$IqN#
zGRV&fTJvnbq~4hg3l>%e8x%6Vo;xRT?&RV%rA3XVetI9*POh(xc>BaI%(7BQd#+9E
zN56T;0>Zx+<&?i&w`{$l-#LRDCoS15cOGC+pZ?<g6S-eIXQ^*E+p4;4*M@doy*J65
zxz#tGYz@Bg;!x(AjEuC3$~&)CKT{Po<t*teH2Bh4Sn#E<kmE~Np~sh;3_r`Z#cOu0
znt5t|^^q!xUFIgwQw~i&P=BOC_;5>w@bL{dCRpq`TE(*KSe44JV^uaj-Cuvqkb3Qz
z<h4e<_2kBRCTgZ8*O#`=n=cw3HYIV+*RX{(@nNCURSnF}9WqcmcgWD{oRWdoIi-)j
zbB-SVVA0(#$ZM~cC9^4Hir97!7VqB3iN`ximxQ)P-Prp*@M1OV3Z+IrlY|%bKjca!
z{+{=gH(kVe*qQrbMS>#JcU_l9^I9u*WGmXui}Vn3)_LohxS@54VaGd}KE5w{ua=o!
zaFSq);Bc?!7JQMem=zJ-(SA<s(c<l`Di=>X1--~r%>1&z+I@lT-fpkj-O(J*=DUnK
z%S=98n8s!oXQJdNbUt&!Az`T{A7`+H)^pxr<&?;4%v$)5ec|$xiL3v+zL4a8Xpya`
zRj#<c_;0_{`N(e{3l2Kf#B7<Mq8I7GHC<PwH<<V2xraOa%lo8E!(X1dvSMeNqRe$&
zmj`XF9(T3~@VDz6Ij+a;nY6ui$%f-jmu`5M_Z_g^ReWW|P51C+Zf6;H8FijB`B2cx
zX7{ZA!t^Sk;>-z$Y^0WKH2&1Soacm%%^cq|3_3e1&no7{=@fsS<;_#NEI;mZ#sP!*
zHa+_)c{dBRJrp}+c*%*yPw;SnaA)AOTAQA20`kc^DxDj}CLOZi_Dp8FYbnG1BKC^G
zoD4;t$vQ2`n_4-1HVSmE6kB8z$o<jquG6F)9`jjD>v{AeJfxm?c29pf`#R4rgDi=8
zi?xFff6$4YdBo|LNJWGTvwU~vgd;*yOEyel%j{8a+*LVO;TglS{J7(too>x6-=aAV
z`*A<qk*&y7uH*7(TWiIRR7JaU(H=tGCykfo$5rd7^xl24GsJv-@|VObD{i`iLV%C^
z;f*{+rfgl8`bRTbD=hL9?fN1-gziUsaQ)U%>5VLT&LOkZ+P!yHVM%J%m7b;AlP#R$
z=gaL|-Uyp*-q6gJDbrJPU4_}meEsB$9kaJyvsZqXSX#0o^MU3ETh<!ZeTyA}8V_Im
z>E0Z&R?44U$0fl&bY@-VJw>Ss3y-T)uesk$R%F^;zw*?V?vLLt9ypw~Nbhg*K^bcu
zmjvs;$Pd;BcV|3ER1X!u?0z#zk*Rv+sV~|A+b<qC9JWetU4PL{Cl<ZHsV~K=<CZph
zonNu5#qN|Px1fbn=(7EDt0%GviDx``Xj3Y%lD{4lO#xG1mRHSN*mxvUs=~rm_Q>V-
z_*6wE?Ukp#)Ms1wUp#O)P-;oRG^>vF{QD0%u}lk``m($%Z%JdjuZc&ZW$A<^c7LR}
z1%FIi<+Fc7u`RF7n#GP>*(;k@ylh?QpFFGJ%T|G{c3TBH|Mhk<d`a2b*yZCN7W=|B
zNWw){Wvz3am#Nx}`|~0?4kU9=tZ;E%mcFksB&F)vYxic6d4l!q7x%w9S#aJdL@8BK
zYoZbpcipScil7NhN3QEDnhj)c@Nx%g7jO&i@RxNvzkYk>f=|{`OA01iaa?cws^GU@
zP3Eqy%L3`bq$c^BZ+w~g_hw9R@_(h^#D49jlZ91ehlBeogQ`vMyqNiaXHGcu`MaI|
zFB6tS`kQ#`7A0BEVQZ_;Px$vxHETkI;A4-+ll~n~En`3KR4&5QeTS{{XkK%>YF=~y
z(mZFO(=lv+W0%_IF(1|p_h)5(<J9B)sHjJ|w|L#XYxynqFZ+V;9>2@h`8cn+{c>J&
zzj2<kP<kxe-)CRf<}n{O3-@Q;e5_G|{rEwN_5%wg+K)VxXpfRQVsXi${=L=mUvod*
zzPnd(!{OWsH(r`m+<0pC<HlPvm5tX^FTCF*|HRBd=U?OE<xQOBVLi>sX+6#fZavOP
zRXv9fZ;^19S|0N}<iGW9SNj4f7O`EtLicy@%8BjZwR^Uk*YixV<d^l#X9}eyw(YL+
zk`#)NdYlj;{WzerxW`%bf+1h$Rl|Bd{?$n`nR80#mi|4>ar@3+)eTp3C){{sw&LiH
z+Ygc_-=1*9ZMx%srNaf=8y9Y0z1*hun)PuD3Az2R%L+<*Ryr?m?r~mJ+jH1?w}iWt
zcuacie<@e}@_NoMCDJNYJ9y=G?dG-HwUgJgq*U_D`UIO&)8PLS$Aqs-e*PLM|MHc0
zeEs~df`)v3c7}Y7iH3Zg3k~`Bok8v?DQ}Nr-eDb*&~#hD@Lui*gLAnb46o&SB=y{$
zaH!*$pR?5AShl~nRsY7eU$9TSbpGmf&)T~sB~JrDR7YXKmj1#OM{|5+gmRN#efXfX
ztj}cKj&;(1ck9;P{j0j+T<(My?@n#`a(H2VUS4zmx;$s0*gI_^R=asKd5UAre~G8d
z?Vh!=zT(-$)$4<Q?UH<E6@Sop{X#>&K1D;m#)F1@rnSc{uFTR}rkgpZAlCfX^)k6#
zzr7zc-d4DHuJqg&;awN=n)?IuoQ0I{vYFoB!JElb7;FBkyh(4ztd;e8FZQfnfBDz0
zonns@cGSx~ey~IEvA_<=#}jslKK@W(eQe^f!t14r>y=;5*}b3T+%8_B^gC?);&<8P
zr{8H)(c8_d8B-{IN#fOxye4_3J&#u?{;)i-yKmpMHWt3477}GgEG+hQ7jo3dKb~MA
z^!P)8$+3yYT#uIu|6zG#TVBs8Qzorawu@J;Y$vZ>*=}CX`ZtBrK{sBTE!lWJm7}il
zdTxTnyI0xE*3aJ8_q(}lg^cF0gBR_ODH<poQ#3R<_As%cN4fX(y4P;@3vC|0({G%d
z*L*~C`@zGZ+Yh??ACGN&tv+S%oi>rG-MpD!3MIc7pHp9_@YVR-@^!D<UcXKY>1j?Z
z>1lpEGx_Q=S*G*JGD7<GNiuS`jvbkJEc1Hl;`vQ>#owoQUCy2G;)$KAoZHTKg1<Ch
zFnm{>B=N#*iNT3nkK_%f7tCK=RwykoZRfA37q8R2dYTindYY5VdYluIdYqH;dJZ3+
zB;hWV9&^37?$WM1cjK9~@33`F&1-Hy2y%92?E7_!oxFL@T$As#nW+7*Kkz3!C$Txs
zPqv__@2{Vp0i&Lt;l-1+K4qU&!mM`qz3#WLJYJ$MF7fz@Q1F~PhgH<S7hbqnZ`B;z
zZ?Ey|tw4TQVA$$zR{iAxi}OSG?v>$w^;R?@Hh=w*?O(rD%?Qh1-@ozeH#Ng`_wI>2
zyK;N>u{*!2c6z;k^)~fJP`>{0i&gd2tov4P4^OJ!yI1bp)!VO=gZJ+B%vrZRT=&ka
zx4w_FYWFHNdVl?96w~rE?4(ovi&VAe%8@N*()mo5?4L{JZcX@EwQ||<`^NGAR;>KD
z{c|Zh*SZTVW=i*tZdfR%*H`+rfOE~-cJCzKGxguRc33<+KAYv)(b+0#$7kD|=`#O0
zL$W^KE6Ht-X6wq2%S?{B|C{RHoo2s!h1N=4zXvW)x-Uf@Dri-EdbZH?Q|l9}pw_1o
zuU}7X{C6;G%?9VGmtF3e-dMG4$F60i+_g7fuP*UX{+uUv=&Xsn?9c7?mg{ava%->8
zYCS1-ZA+-B-t7oq?U?>OJsPL?Y5ZTcWz(J=yI9PX*4Cf3iV~S#*XV5)5qnQ)wbV>z
zEAFeFSMyJ-K9qa<;A<YOJ<;E^POX|XJ^bOay<E8gp>y(5&V`$XI_8U?3(vZfpB)pg
zfBafiHS4x3x3xF!ycfqm`_<d88#msIn`(Of_UuFNs;V#l*taiks(ej&zW(8dRn?Y!
zS8r<<Y`7QK$^7-(s`@_*?#0PhzkchR<iB^X=9=}}&3j|NeqHq^A${*&9&4r7)^APo
ze!f=9|8S}8k8XWRa`A`ucVbItzAW=|{>(7(w<lBaUADH$iRBqCyqr4Sg$6qPg#|es
zg&cP}Z4`Q}RPv5n*u?Y~{@5Y-*yB-Jk8*3aVV{Ydi_zW=XH~0r?*i&KA9nukcxBE9
zjbjHtS{zgSpmI#{qsg&{4<maHAO9@jE~K9%qq(K`<wlcRJJ**qzI>;3<wBnP<AfIv
z>^fZ3w;w$0wEf_5rR|EHNAsMyyzjJ`Omn;EC~TN=Z|<dy5Ba;gytKWww6ndx<g2}@
zvX4pIkut?nPb#&M+b#0Zp1^Mf=jt_nTVzj}ykn03>X~|t+HNx+p82g&wR>I6;`+%i
zXK5P5oPU3D$&Q^;f7~K@zy9I-wfiII9V6DZ+waYFs?=3f3Jew*$aHDQv@3T-wY!US
z-W5E0cw)z+#~Ci3k0M+=A6B?{K5n_m(PzEP)mKklnd|V%jzfi3i#TF>HH2*K4Mh3t
zZI!zE-wCRA<_W5{t`k)4)svU#71)t27sGq)+%vzdg0e0R8Cm5nhxQJoi*}1Dc8FU}
z>n{`Z7s^%k;?h^DUi$Hljj+)D*<v#fZ0<Pp!DLZ^O{WG^zjD`+#T}0xCc1q5sIW+-
z@IKFl!*am^CueG^Y&<U#bR(ZDQ|3g!(bxS+^;^zLm&{Dupjp6U#M;=nOyS~j5gQ&u
zR(a?9`5{*n^jCOXIQV6Yi+gZJbE4Y{)fZV3UJ`AynT~S=3rJ*g2`%<Hc$gvhgW)lb
ztuF1i?ta<g!XKQ`ywPpN(if=`UXsUVG99l77MPL1B_!;7@UTko2g92prwmo=c{3L-
zvRpQ+E3W>L^jqz#Z>#UznDFKDhW1`*@xKQqWX*n@m;AUw_u^Te1-!o`{|2;)ACpVv
zsqM8rW$|lv(*dU72@#oGa$z%@`mef8K2<XL*35a+GV-}{Kde>Uvg+8Z?_XE98ausH
ztY;E$GUszoY5Ux3uagy`u=?ceE0TVbckR-jyz78q(DWTHuj=L2P1x1Hdda*ara}G>
ztyV5CY<|VoS3D*5?%}*iR)=+ip6~E|m37xqHtd0ps`s3QDg4VdZXP%E@_VGAx;olY
zb@lJc$K~DkR!zQI@@qk19m9*8CAk?6FHV-s4HS#WpQ36ek;*UKyQ$xI;m0{M-Q^|S
zb2_WtcJi9-m*?8R^8Ii`{n>@lhu8yxUxY2z*>G0FJIDN#T$x0wy!By5w$17Jhc62G
z_i)@waJ4uP^o8g4)iaiNHHyy|oMJNW-E>4}@teeLf!_>|YfMkh%aG$(cBZmzLE4$0
z57k(O)5M(v#hI-3|Bt)4JJHp5`t-xRp_`uuFTTTe`4F$Z^YVg(tW|%GX%yG%n4Dtj
z?%8xCY4MxH&cJUQO=L<8Jni_|mpnU>?zWS6T5EQI@sm$EJ0sK1Ui^8uU}oZ!z-JPf
zQ&`<3QadlY-8?L@_)YSfK)<A#eKKn-mr16UuB?eDe>UstTFYlMm=3@BBbU`X@qoqR
zGlmB>xOvP^2~F<V)PB<K=5dk5bB<i8VW_wI>y^-^X>jJxhIebOaB_a>)OfW_GSFg|
zi%8=?LDwV)myZSpi+)Im1WuBW2@H}D3cMtdW!AKKerDk3{~J0E85k}q$m!Eynyu7z
z<XFd}L}!<e8|@aUY}8w{WP{nFB^!_Bv^a!cUUbdizMDwfRl(NeP#3`n$v~Ab7ZLu=
zN?rZ+PX$#wQw3F9mkO%(s-`Kc?3yXIwJlO6P(sXA#B{5RNMpWI*O8`<M~QVV9}V>v
zsTf}8x!_zL{NdtZkx4Hyxir5RwVG7bN0e*liR}_qH+aeH6efO;y>w-(qPoG%D_2)F
zU9oJNxa&Yb&~yo>S8{BVcS&_GnRmn?$Ul)`<?@U3>tC^%ZVfCm4f;LN%2__>`3v7y
zOtmWyuM(9|_3l}o!v9z!@-BylWvAhkIfpX5{F1M*mu`<%UG0<mm3`+*tH~=RQ~PS&
zA`{jJPP>sj#rBQaDZ8>%c}JGi(z{7r4>LL?-FEVpwK3})Tw@S6^A_LYGaK(}to8w=
zGt*OY+ayxu>t`QkWSe=*>F`CN`w~yhZb<NL5czy4A~h?!$LP4)Vx0{KHN4lDpOU*K
znJVAkTV$D+GT(|db?VByCM;7|-sLjaJaI)#vTK)s!Pn+pKDw({_~f<}E}1qXcgpPt
zWjh|G&X7K)6?{8?isd_r)W+Rzk&osDek-`I@w-BR&+5b#F^RtVX*2St+<uU_V~x>P
z_n!^3S7z7x$(dii{;PEHnU6;_xNS^N37zlR)c)M<=5g-DF^$`P{&v`WW~=?#f-OgU
z7O%PXTE2}*@8I^tHS7L<`?+V;%zfXiB~lyv+#(lE4*XVdYsLBFj*DX&oqx*ZPm|<c
z&ExL9#^h9PfyJG}OJ~R(%hIW-PoHAB&-_$YW&RY^KB-jx<2{@DAG__8QST{Y^?TOd
zq-TCy(>WmdvBQqegEt})HL7xS&iD8BEIQ_<|JXx!;~9<Z2WJ+zPf5&_SiQ0Ennv*)
z<5Nt}dp8|nUHs<ZqQGy3CpE$!6zvc^7!jFd5tXztFt1tr;U+2V@WW5_PZz#z-d^7o
z$9qj&_)ESgyLR`-tH1aUPL01ZZA;!IlOKC;y}ETtKkMqlQ>TS?eA_tJsN|<hz3Oo%
z;TNwD@%)XMv3Nf>H%~!g=Q+JMi4(YgOT0Pc$y4}Hq-|G$)M2}g-!<LCkDt?8F8S^d
zk8(_eZggx!!W8b+u4}nho37(t?Q^_!vc&U4n)Nn+ZZuxr@n(k~>n_VzVciS0`*vMD
z^<vfHyv}!hn_n($Z~pb-<pR$yMHfV>tTUu)?JmAwb1v}f{0AEw>rB6{?bqpec*y<N
z@0!*XT+a5F?w526iG@@gKPB;Q5_|HlQ;J{me8MZwpOScYIn*fhX+o9xy45uasXY8I
zwRWy564*QYLVc*+CZo3FB~zV3EhpUbeWf)&Lh^9%F0YlVWE|c<d#TkQC3#qGmzRI&
z&G~M-j_ox&vY~%Z)0dqu-QS7Knf2G~NI}CI|M@fGp7<TCw9IhkX+QDnOXN%McOrA9
z95!1rNpX{1t=F#!)~xAIs-=@GoUScD@19?z9)ErN?KX+NiC=%Ns^9nSiJxce{X*#^
z3&(5IL%x1hDffE$%kP%JVa-kZrmR{oy)!uKdikw3iE|UaE)A`<wd0z0uGHo1lwa97
zoG~YC3Jg=*y5-sWnUso{etX2Oo$N99F~dsk8vj>9?4EZID+YZp{21)z|A=Yj@`8C+
zE?a!pyvQpveZ}EbI!|;$d%f)I&rPs8o*5+mqwk8U-^5+*lb5`E%(7CwaL$!r3%6I9
zGD~+kCP-i9)he`b3SDf}_I_oS%C_L^v)W~+yh&D9Ro^&!Qt%DulbJa`Km1jClJd7F
zaKFWaU&mXu4)Z!a-Ql@{X}cYtyx;1Bzpe9wjSkCtJ=@`ZlIc5F>fiO(-Uk~UX7;KN
z3)fTSw$YmMb$?Es&q*GCjhT-%Rk>}nr+nRCSL=6@M_*&+;<xH8Iv3UjT+82_?SGO-
zR%7O3WmWDHttnrvFS7+19nSK4_G8*4)@vfCew~fU)|{Crt6IEfc}gR1>!!Nu%Wht2
zH(XB2%+`!d@Lss)zvrtkue2L(CuLS^L?*Z|tY7ng=L<itv>UD`Wp-;uCY)ElcyU$x
zxj3iLol*;n-l&H(GPAZnuG%BNBxP!9pwaO}FR>eO8m|{T4>CIJ;`MBX%Soom+^M$r
zmIoLe4)J<6!|f!~We`6-!051#*RvU}Cz&>b`QkoOG5z0Dr<~b1WfJQ&kyBNB^p~Zy
zxz<lPvvI;C)_s~&zE;FLoaFheIWt*KmHUkDl&=-@olj=%3Es4eO*8UAz``}he>-}G
ztrqeMb8er+`c3py)t>iDQ?{xsPBFcw8JQ4%@NQ)MJ$KHjiGBx9ToeCZ;UqdEyx=dV
z?Y(j_mxcAx%+?w+ldV-(=d232_PADC)i`HiifMju{iXw-nx?&0wA{a-x5`CNA*3&#
zBQzngaaH?cC8yK~pA|CR9H9xBjjP%p89Aj!_^pu9<_JwlZCus<ki{w0BJx6dm4KXb
zNaJJHRdP-D1y`MF;+*=JV<FcZjexHotX)^|Ea#m1m}eo^9NmDg7p&b^@I2?7`j}}U
zSB%L#&S(F{qU)m<wV%Al|8~m5b<IUF<pGU+@;6t=Ir*>s{c7Tsa~t*DtJy_g<Seb)
zcQe&?-Mn%>YmMW@?4lKkORHkGZ*^OG{JZe+eOi)bZ&nv2X<vQ3ue;f(q%@#U``U^x
zH3i4LzV0cw>L|I+QsX!;yXcP0rB(NKyj{REU3SXjdCgpRwg=g+ueT`(Xlv&?_3?(|
zRjUuqf3uH8M#ZkPGdh3%>n6*E^2?KtFZc8I4ds^k>GD5m%h5wdS7m-(d3*6|&HFX&
ztY2+<Kfb84vgkkBTvc|oqQv(2+~Y!yw&qDTyXMXI>*slO^Hq;K+t)cI*B-Nez0>{i
zMb$fN+q!`Bap^X70p~T-ZBH(!pVw|E7ki$0xw`0;;scWYS}UG!**vc#;QU>Q{&mmK
zsrPx?6-(YLi!RZf9^?LKW?<ost6K9UMekd_KG{;Ndu;LRosYhxxE*y&x0xAOcr4OC
zEo4jSwaJpAv2*90S#d-D@x`xmN{>yJ6qTL(HO0-fdbzr>);Ew#pU?T2;&$}2M1B9d
z=QA%)mK3c93sk1t&J6r$acuGH$|t*?7*9WMc73l>@z<CVS<e)=qhjH!UD85g3P2W2
zPqx`L&u`rXWAV?z;fIZ{e=41>TCAC0E~`<jDqnha(#{F-J9KwVD_h5$s`vPAUiZ4E
zGhRE)?B20?^|UjlcaEl>j5%a|S1h*vG-tTZOzt1kZZFE-nN<_K!0N|^dQtBy)268x
zTs#rxyDIFP{LA_oeiyrzon5`^>c88`GCa5KF0J3RP-g9wRrmR>-ul1zgShdh38_x^
z-yGtZZD+Jgt!MMW{GQDQIUBz4b03O-zkr83hjEj_zx<dPi3@ctrghf`&S_2DsOz-s
zar~qD1w7uljGq+#rRts8a7Rq${L%eN=MHVSB&K-%<NBh2wyWD1Tm}Ab*E?fyUu@2a
zqx;Ry9oleCY~h8E<tFo#XG~ex{PE}#fvJvv-o{3({cgXFMZNgr_TJ3~do~=^Fa2{~
zcFMxpx(@ms^%J;_dA71XfBHyXC_Pak=XIk0@%npW`<Fkiw@+W}_#<6*%EL*iacB7N
zoi^la)1G$HP-0j3+X)Y6sct)SVDGyHJmxxuPut&~m0)*X-v9DJlcV)1p-O`^>*#ag
zX(!4G1KQTfUoL#g{>Iq*$<L3s?g~{J#8lr<boTeonR)QmX-CPbXr=S~SM3b>+V)L*
z(A0SAJfGyR=%mv;ml^B%P8nPf3a^`RZ`+s3x}LrbF#-n#WOLhjl6As2$-CHHbCf(5
z`RG&e#Qy$51D_2n&tIQVzomFs#XIMu!l#pbrwlF$g&T?gyduGV*u=ZQQ}$<xb;^vy
zPF+zKyUUJ}X_1e-o+{0keVi~OnNx46^8D;}p3gcPmo}eRZlQ6wz&qw;eaw@?Nisay
zItQ1QKH2?dLSnj#+0+N-nk(08=7%o+e{X}Kk<;Oj)D07FuW=0Jdv=Ita_o%cY24gr
zN)kII_1+}zS{y6$S~etMZnM{#(g6N!nJdS)^UXSv#qOIpwRzdbOI}~&%a>Vd91h72
zXS2Uyc&qiQ&9g%?hhrlWTDZM?N)qe&7t6eTG~>|%rpYoPNsF4RB%Up>J7%3B^jOBE
zbtB)bV>9}{?k@bi#nUtR?{v<ob80-8+M?y#_FtXF&N*j;K-*)nLxpFYSo)$mjtFvp
z+%T1I)?;fajycO4k621oSa>tbr)Nw!k}0*Mpo=YYj>*5ckl?F}%q;THe%Zg=<H5D%
zW%Z{DK41R8Dz{K8^Y59)&||L;$;^$3Sg@GeyQCnI|849IcWZIKMQgd2e>ikiH`Av4
zi{^3O<L6>}Ma9Eb>x)0Dcy)-$KPKW)A9r=dn?rVTI}3#PV=Y?Q_2wL_b)U}_Q~pLr
zXvgzIJms>b-Z8}i{Nb`!j*GJUKHAj0tl+le<rlx|BkxZBFh4B3cBTA`w2TM3x_O~d
z7i}7!+V_1nIP-gBUE$KplMno=5I(%CLiqTtipd9dR7^f{pki{n<3~05=EuICJl*C?
z4Q%dBIo5Gw^P!De+muX$d-}{*mZt3KOwQTknc%d?Q&sJw8vo=+zIJNIXHS|Tl<$?8
zwMVm85;W-=T%Y5bwQi<levtOw8;d=2`oC7(5PSV`qv^H9MZY8bxulbAGjo0v-c9!I
zUar-cH~(ma#IK`gaznGcq(ojPO31u^C?WJ(P(teUL<zCiA1|n1d%U=Oje2L?#(5%D
z$Eq&95G>cS_;oCF@w0{RHp;dA+bGw!a-&?M=0>^BlN;qsS&vrL*ZA~&RWVe&Hu=zx
z2!E-^kNjE>%-E52<%zM)wZjHx?*fDtKi0|0l}`U?XmoDUkq!%QF6Ku%nLK~iyqoWv
zrn7eTpDkPVg#BG_)F^JhMWJoE{hHs;53_p2Ub5e0*p|Lc%W~e02?vU$C%yQg%>C)Y
z$+nu3Z3|U)-3Z`{&DH456AP)I>)<b)^dj};%B-v1_oE~aOGQtWvCZ*F*vspwvssb<
zbnc1cT+&`iOL>=WIMsG3;_u>J&p8=`qFg^q)m~lY_eaq;L|*E;TZc-)?Hya3J06}(
zFR7K;tLrZGB3V(WR`-arJ@?0t2ZS7j%ym>+XNyfb_W%5i=+fI0pG3~{cYd|*RsG#i
zTVva7RhP6B7q;Vu#>d~RVvp^}DfQar<vB6%<C67CX>f3D#@xqs{Tt_t>;A2;mM=Cg
zc3%Gb$g&T){+#D!xejtif8|)((y!O~V6w7p*L1$t_Xe_F4cGZpk4U!*JzVSD`SILB
zm5TQPK{Y>m@0{0ae*9m=P@bXwx8~wGYXrai%`Hp3cg5?)BQefj7QstjytyJ#WxbVQ
z@0_TPd49T1{L94_?+*69aCYU=7q2e;NZ4^c^mdJj%@=9+rJWBy^hK-ec<VFu(SqP)
ziw9SJ@Euy&y5r3iC7XgQ!*|wOS-#JS>e?-~c5g?ebnTim!kgR*j;{=~DazWjf0s#p
z9h<y`Y141N-beF-lXv{y{YEHwUFY#D72>+_GWrk0>Kres3E%nC`$IZkZ)b~f+}sCS
z!k1e-y(;Nf^_4+=PgTd`INL*p>*XGA2=_PmxZQ5<`+N1TSH^Wo?|br-FKwTG<-Y33
z2Uj)4s!H2j<7^KIt(Qw$8Q#C)%<AJ2@2(23`B48#^V*M9(;x0yU-ffc%2NHxeaewf
zz3mQ|#^2{GysGZL<I&_~nU5zq&;5KNlD<|o|JTmsNd3gETc7Oo+wg7sqxW2vUxnI!
z^Rg)aVe6V$$9!00zv6+6{fb8<_A4HW*spl(;{6I<&SO7=Jq+(fUlw`2hx3DBLeqT*
zgDd$9W;`)B@TvbM&E)e@+R5juw35$f=_H@;(n4oGNC%zya?Rv$RX$UV#f+!s0%yL-
zDIQ>3FPF49ynn;V)yHqVxhj0->nqK+m#dF&(%T{w_Tu$|wBCfB`yAF})d-Y!vsB+z
zIx4sQ#D?3tY&V{YIln3C7A)IwRO0sCq^8_F!=p**Zv%CT|4sP*u6}La#DwnXB{$xP
zNt*3E${>9=se?Dq@KDuuiR8J_J{zv-e#*QPS<T};*ZzrZ+1BVMo3|T>?%Pn9rTENp
zE63-#Q9Z4Gx(Ah(PfKbn)e?_VFWg+Rx|DJLNtWAn>90TUj5$=d-fzQ+)xl>zyy7w5
zTh$O5XL}@Py<B2nc)#KG)#@|qpI;3=^F37msMP)QmH%TOT;&w2D(!k1H#c!(_;cQy
zt3QkG3V*I$vi@B7i@3S#FYK-An!4{*>WzoLE#E&_y~pZcR{ST%vR_XGcGncO+_kej
z<}iOwLchP?#_O@0)IMh1(tI5=?=_41+U}`ods~?6m=A{SZ%k^xe_+Gie2xug^Eo!w
zAI<OBa5}$Z<L&$h&kyE5NIw*PSwtNiP4n+NY`CAl;KoaHgE`-%nPNUlJKgy#t#s$R
zbdt>nX(5|0(m^(#u9+Mz1jSOt8*>4hk8+9!4A;v&S`pq~aAfsyi#Jz=Wj?*q<a;H)
z{q%Iroho|ncBS1c=j&$MPE8f`ws;-LxvqYf@Un_`Vv=z?jxy-qP3j2GGdz^NUE*<X
zw9kiYy32OF7P&pW;GC||obqe)cQn+UT`V-GP~E5SpsrC&NjKxV-A4t??<BR%&NDow
zyM4xkZP7l3&$r)f-nUcZ9dqY&RlUE*v;<<da6UTto4c%We*66tdBxRSi_&9$&1L=k
zrG9#?ZhhE6)j1oAnjVMw6`naI*>{WY^!b&%#&fnmWO$xqaU@c@ui#i)@|<lCS=e(e
zj-I@q`8G7+W4}bl2LJdSQ$h<To&J=%cjNS@p9HS0(tY+~_RLkMf)6~pKTGma?fVYZ
z`FpO*Zo9JkMgI1+a~@2a8Z5J+s4aNg;UJk!iEXpZWFBndZLi;vbXaiRt%9CxvpElT
z@h;zyXj%XEsQpvnD`$)T-3mW{U-k6Q?E5wUo<*IDU)J^6+<kx3m1ocYYp9>Kei-pr
zFJ!Zu!Q1j%2Li8pNjwqbJZ2HRbjGVI63Z;MGEANu)#2%<d&p(ESaMUax52%YOC_FP
zs@N{^_6mpFPA%V(33_=G7u0Vy4(*!g*PGZ9%x!RIWvj%qD@r`2S%z&ETUnatMs=;*
zul;}L%NXJLe8~}?tC`s%);fP|x*R3U7V+ozo7pX?8v8sogzn}pWc>Cg?%kHAY4)4;
z9&BS?W4`gkQ7vh{4VNqy8Srnuz~IcQweP+8K_})O^A$hT?lW=oZn&`Ek#$vcy^hI&
zwC1k-`@NekFf8WP+81tq#EIF*bj6QjcVxJE4X!VEWIfee*W>_Kb638<-sTGor|b3g
zgHG}P@4RaBzP79};lul<>Zz-oIQPnMIr_IdT|B(dprl-bahK&3fmm6u7WGq;lS5VA
z(vRm0)fD|vJ9_zkq;`AK1Y5&>`|HyDd+PJo%(oWl66b4uxYU{R!>NTW6>kHS_7rLu
z-m{v*@?OTP>-)D;i4qy>W%jB5OYrY`-)etLnA_mMJv-+w+qngQ-E5Vpee2X@SElHi
z|1|UOv+eD#J{?axs`}o&Rj%pysn<MV{+#(;zAXG*z9RYEzC7={d>QYF&vvrWG;{qC
zk<(Rw@$Y7*;3m1F%S3GLwXL*8__;S9`CYvJ(BhBl?(V+fa&h^MBNw*c5LpnvvGaR>
zQgBP&(Pa|yU9UAAuRod+RHA94&EwDAe~f+7xmNeb$)}bdnkV@na-sT>&rbd=;;r)}
z^to+0<3y{1_JrNzH?s9rjnUX7Fh}2FQic7Ch=uJBGU^w$Kk``E{;*)7`hkT`{vFM&
z^ElMGZ4LFrs)S;+zAV`hQ=+ADPE_^q@rBy0a!spS<+^^i%C%Lu&SNO&wpDy5Ql)f9
z@5_-Lp)WiOHeZ<h$nE0F1dHe?Jn}W`#H%>giOOmnn5pF5aoKem$MP;6Lq3s6p*b3x
zmRS7z{r}3NdU3J(!mmqW|J64YzWulKsD0=E?Jpy~3%@<`zy0KV%@qqnqkVp@`Exz1
z_{t42;n2(Xy-OulDh9O&N-ZjtSg07*{!xnSm4TmgqU^COja7#wc#oD!{EghUblrk)
zy|Pl)Nr%#(+H3vU?)UcApXRcx$U|zP0y!a!N7-0EFs=W;=Fjso;|ujIrSqr$e^wx8
zzU3GD(WqwDh{?|<ZVvM;ee_~?iOYsy=RXbBpRCRY2yd&O_LjFe$nJ$1H}8hfQ|m0A
zC8?^-Sbjw0^VAvdk58TP{>W5|BTAOfcE(P+zPsb-w$PZ~`?{%Xc}~~g%G{c#`@c~@
z_|w@9|9*d(zaug+VB^L3%z%#*f4;1*+Vn_Y>W!h|{UxvWr$5?sM60>W|EvGiOoe|5
z2Tg1vKVD~9_97tX)Aagjd#5WWe0}|O(Wz}U(_(XjmVS~?kbZH&#Vy^+WMaD`pKs0I
z`W4Q?^`6NyY-c|z$u0Bb+5BeGiXRWlJVRaz>{i)iaYL_DhOfYr$JkE$f9?COD=j;N
z*y~SQos*Geo$+_(j)c3*{+NaRY!kLmO!CpW?|SIS`ny^O*R4OAYO?O%l~>D``K@hL
zu+#YZ!(UA1@v_dN?VWkI3wr+63q{}2(kmATTx|V@>0qaQ=iXhKQwnY@6!@}T-EHGt
zJ%cx!xEafCw+d9hacXKVQ#`6T`#?o3?`Cepwtt>?>YFdJ{A<pOzfsY%E`A2*)c;;L
zqfZN-s$ZUSK|D>CYhlYrzSe{de>Fse-!dIm*)5Q;DVD?VsGiD<Eb$<p4V;YY*W4>p
zbkm<L@F~7zJGY_LZI+9hkKbTzy0|T>$K`L`mMw7$Km1+vHl@=($mmp^NZZ6R#RD9>
z1(J5eKFGbO_hDOohPV*VMsCexw^%;1PyTg-wQ1kBTV5`?dpBMUQ_5Q1ZEM(7SJt?2
ze=LW=0lgN93~`|^Tevl=B3RUJT~j!HGIdez*{z(5a?ft&X8EjJs?;L%%Sz;4`P<Gw
zoekVP+;5#4T+0-Xl<XEr+!5Phct}r0B2zr*%NEW>xx5>>S(tN6>x1&5{bjfg23IGE
zFu!3s%(z=1VSOye#>;vt6)ECDcQ$b*34T1ICt$OEs)1;#{o??RbGL*SS0su{#NBFT
z5P$2`F}Y0fpyuugkG7<`i3Go})b205Hz`12+5+)6Ob2DWdpQ=i1oE{e%(6A?@-Az9
zxG9#S;HX~9jx2Gdn9baVY4tZ-S&ZL0bxE2zt~s~t7HgB{HY?5b=hQ4knofQ@qLFr+
zwe4b=;sJ@>0!eFPI}Fb09g)Zv7xLM_t*LgaWrlq6<k*gl7cY4o6-u2y_4tjnhe`c~
zM^16}Me)gWP2PA(PhicaWiH@~HM+84YnWV8=T!HItfz+4A}gDohRsWAt#9r2EZ#a*
zc+JKTm&#Lnvc);xMFua5$a%{1dX3G2DsBFa2Tv*IZ2Ke;y~gHXn6`i6@k2*hTYrc7
zeK-&#xN*foyRyaw^G#K|+QZI$ICYA7&$dqj*Voz{;??fIc=(j?mrb8U_Wqypw#|55
z+;NMU@0aLm9o%>R$l`dutu4)VGaodl*XMRUmYe!;hC6S;mBnoqj{=2dzOAScf2|`V
zxcOq;e3{2B(PF=^xZBNq@G$+6XTkKCL%i$#3_kMDd!i}#qwie7eRcL7&nG+I`Fc|D
z-H#^{+iQ!Oy6r5F`fiWeH|yy-F~j5i|C=|5oi_d(v)<3({B)b67W2;-TvPun+ThP;
zcuU>AUgGKGpIoQZ)n~k&9DJtm^dqg;HS>Ko9Ee@L#%5`Csomz^la@!km@Jw0>j^`5
zt<n6iwMO&*)EdPz|47*<^5JBS>w{@W<z7zNt=%#AQs#G#pnLjX3$9mHUcYj=yrj!`
z-(urAUr#cg`}suRd2LY(x1HrN-T8AC%wC_Mx$FNy)AWwS`n}WLRbRaIiwgOvFyF`E
z#$+>B`TkQEt}kYL@hZ^y%l8$WY!z2TnCH4KN;+wHL|vGtbep5%^be<Qwzc|wxK+7q
zWkeazXW6+Ad*5GYocH62fPZaKOS+xqvEuo29<=)VeY~XGt@&+ZwAkb)(er&ioQr+=
z)N|AP-%F;dpR|1!B|iB{ef9l|l6F6yFv!;yb@<y^I;F>7ODg$zl2h-ukbmLHoi{|<
z@4bEF_UOd!Ijd%*mQ`Jmu%5GO$Hg6Y7YpSSPqPqMUbWW$S#*H^Es<zjt;1RUQVE;g
zc{iS1tQPS;FnCSzsz*gf#QMDojz!8o^%h=UYjjZ4|CUI)t=3_-eyN1T?oaDy`=##|
znXbRC@(N41ZC01IUuaI2zg4m7wr%QjR_*v!duOrGo6@k7pISfc=KE|oG1=^>VgIRu
zD~s7I9tAqfd|M&NS9wK(-8QR<S<dum-Eyt4iN-cs2TRgJr(K_*Tw`>Q#s8MbWgD%-
zA^lPbUGBVwHy5kTcoG=g^QXC@eATLL^}pxqTAOTpe`VjoeP8c?c<BG?%5@#HZMl)x
zcL~1#pYr#k{-f-kiuC^<_1|um@6X<sdSl<m_j6ypvf7$`|3|R;M~6QT<lk@|)M<Az
zyuHxi%uhxA{Q;kpO#Xoyi4WdBJn=nm>Vp;TPivq5Uh`w^tuU^en@VRd<CB%Xz2)@}
z?W#Y4^)^?NI_&@6*=4Ht&(UV0awhLmp}0R68(7Z!dVD<7!sE|-Li&xcu+SZ2#iO;t
zMm8o2x(_FEa>~t^kaYVwTS`Tq!!hxFYEM?j3J2{mR6HEb%%-}>Sn*)Ba8Qkb;$e5@
zHq{y<MaSp%k`oqnb4u=-W#C{g-1Z{x5XbYgi;r8RAE@s*udb$2kuzs;$M(d_Cku|W
zF!IedI1(%Dwj=S7#C2YY=>=z7Sf=}W6drBi3Fn>h;ajHDJ_$p`ql&^tHiijNhk}KL
zY>X9;`U)G}`DIhRM5}$O;-cU3M~!QQ^!WMie!2Xm+|HuJRMAmfIH<%-@vwUBfuGfy
z2e^gXek2^?c<);;@pvxd&xX&}T3GUZJU-rP;aTq^@n9*ZbB#%2OPt$H&z^g1Pnsj-
zg@wMDD!SSW8+|cPY+zL5F}%<s$Trj9SOW8>#=;Ix&bC<-^8fjsFu2pg=r+T^#b4OX
zB0a>YyYP5J&4$`Mr+bb*9v`o??72MC;6So)TSdwt4t`&W$Bm5jKN;%ox70Y9C?1U!
zHi|JwY`Cq)Q+T>XFmIN@F<$0RjDL1<a;}>>;n6Bi$#pXf4x|dV?MQL5yEwz(nAYOf
z4~&0WI63=fPw+QUd-9uG_)~5x=g+)DEj7Z4PW#FY6y}TY&6tqT&8hiq=7hxcoXl?w
z6Fcsz^=vrZVpt|M!QaH%r`}`3-IkidRHwGJYCHv(T52AdCAL_r^%VZ@zx5>argY4&
znAv-dtYawuGIQOhZ-@7u-)w!s@?F6FO}WXpt?q2+Oyd7=Ku^GC3%7{-Tc*RE=Qpoy
z)G_H?f9~$D#j%PzUIu97S%|c~t{3rd^6vW4=P`R(+cB#-e{62Mp9uPWY#J|b{aULl
z4<9_gxh*KHKJ)&n<m5=ZwbwIK>r3728I#gmH_uPsz3$rEpMLMf_r<Oh_AmG!qHc75
zmF~Ja&3&57Ugh4pvVG-@9S?uZKN>%?OtL~N;#c~|RsF{U+coR|EjpU+=iT1t`}UTp
zS;D9JXZJkQD_rGYQQ9?m-kNP$w-;_S{Z{p<{-A86om|zcC3pPfYG2w-Fu3w?qdjMn
z`acy9*?GSvKe%mIFSLb^`TrZ=ro^7*$66NhE&l7tBJtF`MdGEoi^M~#wY+=mx9C4y
z5w33W=&B}Pb!nS(ob3U}^>U9I!uvP;KOdQHUJ}QA<NNbBiy9Yxx>tQhbDP$~o@f<|
z=QbJI`*iB<p9cO+cpmt(;d$WC1<wPSV?M7?T(^t+h<Q!k#D|-rc?wSIw(WQ!rW{ky
zZFp|?QI_<(NnMxyHg>*$b4SV1JpFIy^wqnoLz?8|rY>6Up8DcxAoG`RD-?h2;C8uq
zUDxPKaW~_y-A4tO?<BS8<ryAR*ghj+L$r_Kkx1^h(sf4zp4a==XC4v0&T(ni%76Ja
zPF3Giw<%osS|)o$;M2LUpUY&WX5BJN+M%8P*6M@dv%T4T8P^wv-u~5gtg5D9*?;ff
zZSl7rEnc1T*1F_~`t=X`Vx~!{*MDlSZ(MLXypJc1?Or;U%$~<078<+6EhMT$ESSFN
z6tuhueb8}X_W{Ah{*B6x_4k?FyXyXVEr0ReziHZsYghA(*blyCVm~^UiT&_hCijMF
z);@vn+%kf4A{I$DIt3~fArCx0-ncI+k@s_Qf~t*ffq>0td6fgj`xTFH?^itJy<hQ|
z_I|~K+4~ibO2=EC&i=4_-PQbO3Ohb8O^%;r?X@E|MR7-X%EBG-DG$@Poj6o~-g{!}
z{FzGJ_U5i)b!A;TfBuL>{*csKQQx(lwey2v%8cySGom@<M3y>z+;`&8hlMAE9wbjx
zJ+fTMyXBm#YM0^a6O$6$C#oL()+Bdm!&(JFyE!?!n*?$+HwEPAZ8FHw+Qf1rB879~
zniE2awG&m3?Nah?+Uhz@B%AA%{ef3aF7-`9VWN>7VPcUQVIq+d)5Ig0-e_)Wxe=Sv
zxncDQq2%<5st4PYyt@inzse`oI4UJ_3U}$S2zTp<OzzU*3GUWmTqYXn<fFgIWkz61
zr{U%klM-?!svdpQ^sC=sxx&O1EjwMsnkrqzx_-KfwXw49?A^HGz{G^WM&+YP&o?bQ
zd%phvLD`5)dnRXH`^lzP@3ypMcKolBCh54jk9LKp??}7;bJ~JG9|i9G(Yl`@RkeDX
zY~j(b#Zf<h@?Jlm`SbboSo`#;^W)3^&Y4<Y_wjVi?3E`LHF@uNAjWyFerA4y@5Yv5
zxv39&+?QIs4wTHRe|SdZsP6U?1s7uHFqq%n&r^6<*R6iXJF(=L;%?4$yN-&4ze(DC
z?3?{mgR{CqKIPpa#&?tspV6NsJ^9D38|UBNddl|kt#sE;`yVB3l|Pdcp7KRiPFbD0
z{8yjstGagqhmNklqjivV^{o%zPvxJl4rzK^W@`P8v)`-0=)J-ut-SAB-dtG~cZc!R
z_cviX7hbh5xHi!tR<*wIU>}RtCXa**;gM@k@!4(qm~7H1a;{d@Zt=@2C+kbRymx#F
zHH*9L`^aK??D3VWWzrXDcXsJbl9&+x=!DMxYvnojcLle{-@ev;oKgDLqgB^G6kFT;
zR<f2<diY7#^k?I~?D{iN%a=`)zqNCg{i(}3UGBg1o@{@i;#F|lNmDOMqyBI*H}8i_
zPCxT5Iw|vPxFEsos}*-+V?fhEF)za-PLgd=84ZbIQb{{n-6Rqh8oJGzlCS<dTH{~_
z_fm=Eg*?f+CI>9IpT73y?lm~*l<Z@odB4tgQ$W*6F)zcTPLjJKG8)3uUO(Qw{JNOb
z!`{|o71==_IhM8x?b#mC#V>a1!^L_h=9*0x1m>RI^pW?NcelA)_~p~nzu(oFvS4>>
z(3cGXZS%yW7EEt#dy%_P@K;1e&px%Mrytgdc^RB?(!3U-aX5;bcf&a+=QZ0ea7gQz
z9CMp|Of_dyK+{<<uZ>5YB)3Ir+_#L*=y)q8mDt|;$#$9NWTCQ1je~jIOK&7E<f+y*
zsXt)H&Aaiwld{Z)3liKur_@hnik-UdBX&yMTkKT0r<hd2wAQv6xeIH=(-#^(tD9Hb
zxNv5e+EMMFhaJ!Ev5}24vszox@pV$GP|TKqE^e_?1^1RDIp!~9N!Ok7sHfFvj+y5C
zIKN1ZgLT|XXQVIWDfT_JywOt3%iy+?W?H0%{n>i8r-#4ta6dhJ+(~m;q{iWp$)6HS
zm$ZJ$Jnf{Z7O8RAg`3ylpwmy2?1h5IA~Sk6sy#jYN`m|8X(sNa5~&M$f<ePq+`Jo4
zIw|LDxga6!bE^I7S23>*=bSXJMQI$Cn*8Zu{?=BZGus2Yriz`~aA--*jHtYYET?s*
zB&}>U()qc#-fm8aoYtJ__OH}F9@{n}zsGLsajxah{J)ug{<HH?Ynbbuy0|9+9AefY
zU8;Poi7%huG_LQuq>>k*drqGHifWUqUT4xm@1%$c`vb4&baDqyW#^gxv|m6g>a%d$
z>CelVM0ft`c*wQ5N&CFSI+JtmKNSy${#-omms!>G>tT%v?Rxc3W<P&h)|fC`G3EG;
zEOCx)E1aB**FF26Aj%>3!fD>>*0RR=md+>o43Fx4Nc_~E^E^uMNOUHz@BS@koi;`v
zUt3fBdefDM-@+etf7I)?u+}YHej&Jh_s_3wtCs)N_-Zj@|GTt6>4FEp*Yv(z<*`ey
zy{3qnZ}p}fZo&7Owy)DsQLA5hHDv1Tj<lF<K4;c{2+_HET4t`5+19MA*5yB+D!ZOP
zq8_i&eqPUZ$x@%XIq|VUPqJ?H_iSmM*U4`hSTlR)#@yP|*VexBlK4G0SY^i7xk0Zs
z-B|VR`8QdsZP_`uFRXeS?|tK2ZDVV~@9#GcC`8}dp7VSAG}Si+SuEDEQs=+vEw4W&
zeqox9>B^n=f>RW4%-(OS896Kd^qi!XTc2$=Qs3#?)p>tq`lg>zVP%`l>ev2_$WxuY
zFD%;g>8qe(?Z_Wn=WV;?bxkVMdu@vEznAaQHYNxE^a}YIbm!Ij&E3Ifp)vQOp8l%*
z`Rj()_4A)sCu?t6b3Oa2(L;9A*P^z<$Nw(~^RC|;rTchGU*yEqnf<1hrmadn!+%rs
zh>s3`WX+DJMSW38ufMl0v&#7ycec&s$H!}dhbq>luCO||<Nc=gqid^{Pb>BPpP`fJ
z#H(lb>UV5u@7mdQnu%GwdQF*C`?dy~?_Uy@?|S}-v+CC?rYn}eEAaG>wk>t<*|Ida
ze9IKwZljG=^{G`muL(}+zI1xuxoH-gG^R<b>io6Zt)T1ubSa1Zl8E|*iPtU|yC>hi
zv*Aa!a`4To?^M&4trFjLedf$n+UZ>hduOh+G7XkK<HPB08Z5m(tlio0_O>@F{p}TB
zdT)vOaL?!e_(3|g?|jTxU;FKEZ`{~d8tlC>sxHCT^V6Tjsjr3V-(7#8v?IK^`%xaR
zUYoV)4yHTn4vIdSwqEYYrs>xY`^I}63SQT4YPy3X?D~U65uwf9iK)En7__qrS|k4T
z-m2HuySE_P)TC>9VSdfxvj0B+tJ~*SIPpd#t##A;Y#M#pzh>9}Y-O?f#eO!T{>g{q
zUF8byRy91kdY*H>Pr+B|#r4^tsrT-A?+ALJu;Wkf#kHsV_+)=7J)X3+L3Cs4;s3vO
zIv=-+`E%^W-aDUzS8waRIbBOHBHKYCYU|qLKl5{HqgT|ZW&9VGKV*2huH{>A{qw_n
zBxaqfP42P#dNZKPb@unwK|lA+wEV;|_5b#T8=Es2rf;d-pOkU=hMM)K$n3w<n(N;r
zezAS_VY>AulXDUNn<8{Rxp-N;oy_SMSv=w7Q?r6i2OJB|M%6#neWO`yCm^(b*1r3<
zUiE1&s^0Qw@8a{@x2+QYT{y8If4P>P!<S#zu4!pc=e_Vb`nIQFQmXm=!!u3ZPq)aM
z>r)Z>!S3RfeRtk$kC2`-VUzox-L=`)>W38CBI-;2UaR8JGvEG_|5nh>EWu{sT{pTT
zru{!9?V7&c@54!}4Nt9(Ca*vD;oxfaiuYHY_k4aOc(3ZKME;(trt5ZlYdQVb{58AI
zDOX+E<sLWp;lgnKf=jF0f4sP=T=VIbp;h(i`3t&BL&VKrSN@O8dmpoZ+Wo%B#J4t&
zs;2Mwv;ERyq53tO%DR1Y6ZiY8-FQA(^V_eKHrsh~0@r+7!IN#1)$mTv^oU@;)WZ$#
zy@e+ht697V43;SfbDzAU#_FO~c8bwKmia!0_tcGhHlI2>f0@+pUd!~5w8t-Rg>8!z
zoc!^H{F%rVHk^SzzYoqibj9|FSr*^UvzA)%)kj0VO3mD0a%JW2`i{HzrB=J0RVowQ
zz0Wkv_0H0FO4p)-FO>^gXB7zMtUu_waV?wp;cHScjN7g};M#aIod2d?(q#Rm&ti{A
z6mQMj!QpoO!6FGzx=i6+$Do{5&?=F~ckE8+UDq6w-K#Eqjy+;fJTZ3S(%2&b#k^;2
zf9fSQe`PqkyW^|f%!Iq!-RhsOI`vfa$vGzWgSo|Cn$|~pTB5I&EqbSvH*2-$yNP9j
z=4UP%7<a@TDJ?!ab>&&@b&by!`9-YS-I0A?>ao9b+1thYxBmLvxO%>6YNq(b>$e<M
z?e188?Uye5O}$0iceb6Ejg$&sqrS6Jyzr*_=iYgy?4R@=Wi&QFXxjSk)b0+&XZ1Y_
zDsiUI*FKNE<vM5cyze#Qh1XVg#U7E&UVWxaaQRu)61A{0!R2#2yJL?S72nnhsT41~
z6lJ2Ay1PU8TCoz()7T@0*|Wupif6~A_#K(KbWgWMntoF1)DzN2mDc+iTwNXP^YInW
z<h@l5o^iHET-M7aHih>a-dn9M@%-{ny`;AKt@oc<9V}UYZn|#V+{9Jk{02u?x6gQU
zRr$>KSBC3m@8<FJFF3pBiT0OMdP!^c7KfGzCa;;hd{dcj;ud$+7wK=sW=0)ynD1k7
zKt1@&_mez}Z7df{ybP3dtGL2&*fy&pQO@*GK)+OShr6%Ajm66(o~-Hnr1z+ya_S;2
zVVN%{McV6Yj1DKRzO$#>A}OwN)ty-fd5Xm^)&#Q~pN(~Kt`tg&NP4FvbtU#lS+TgH
z%qP91jnnFWK5RS{d*ohJ`6{oe>_4kBuPd$Ib)v%m?XD14UHco8)7Q6`=^Abic|WD(
z`n$s%?%((v%)Q@f1*w+D>h4^<Pwv9eNxQ8~%jbW(u4v+IT3<eQf0%gFp}_TbPeguu
zJ6-qRgYO--H-d6~XWo1@J8Ike3Hp-LGlVxh5?p=k=&ffih9>@-^7n<kpJ%rF$=`b>
z)!V-E-aizT!@`}lG4Ra#qsI)^i$yo=+<L?5%*vx;iDkOmBsOPlRGsm2?R%M&>a&vf
zw#+i0&A)HmLAQeaV$w(VM%Cx=lxJ^b-4Wcad*p3Yj$q!^r0&AaV$n_4Z&l2_y~%yY
zy<aI!<+s*O^I7+9((>%!z`PUlG-t2XEft-au+I6Mk@<ObVVkYbZSTk5zkaQA=bZcv
z?<-52cHi=fyL$Te>bP5recD<Ro|HfP5w-qKru65zTP1#~RemYIW*B@^b^mrB!}>$P
zch&lTovTt>z5Ax%{gvnKQrkYMlw33FO^I?&em!mfr?u}~fA2h@zG(F~9<$Pnd%5Q?
zZA+WESSWOpMNGAt%4U=Lne}~T&N|mCUmbo^Iw#+weOKy6W!X=2El;Ky#Ru_k3(qa}
zw>mM`>c9TEn!nG*>bIQeU3>bu#rhPUk|&Ec)o+l05OiAm_rGsCA!1WhzkEK)Qu|hP
z{z=t68^15{dA_(Ke0jzDtCDsVUm4{0R(0s@dlYE1p+8Y{=EH^ld>_uJw^zKIti0#j
zNyE4wPgwM8i@L1eRb>iExBi`WG&NNH#`CM1->OR6?oKPseQIg3=i<ER)m~Fe-)4VZ
z8RIE__@wsRde75ctJY+j2cOp3Sh?mz?CcemJIpe+i|?vS_uDedeNFD}$YU?r3U5Y6
z-7eeCBYIZO@W%HGa(%%(XAl3aJbi21o2<CHkENmh)!VL`Msd!~-Y8hJY0V`b>7%!!
za(HU9EHfIyw=NNTl&QOo!#``|(TbRE-Gj=fZ*9vueQn#`y-#!M<8JHyUB)KuxIHRI
z<Zkvx!!Ik2iY-Xj-6pU%YoqIn;BMVx4N*CqY?0U64^7jRXaAkjwC)yfgU`Bkx%yFV
z;fW{iPv4+Ee|@gF#S@+4$u&j?xldQET3GcyV|H1u!Sv5t-<GZS+i-Z(tyQn4-gb8t
zYl^+~xA%<F+T8Nn-ZR(de$S{kzo@daa)Whxq!pKcY3}apbxNzVZ`a<Rk$t=E4b$n&
z)3?knf7<#s5R?QKCx7Gd%Dyf9EjeV7m)Q1O{t=&VecPF;C2HmWw_$JJ=dEuYx9eQn
z*1lzS%A_;lQ`_H$M#tWkD_nl=fA{ohTh~tby7g_KyPj6|?PR^Jd$!r;op(wVtUn@d
zX*V-r?%qenJ6o2=&3!O4e0jyYtCDdQUm5iGR&|8$dlb0l`$-<@nxckYJIf<c^JNk@
z`}b`;sjmLx#pGa{!t36XBW~~8w8nR})j`(veualu8{2FyJ#lKnr%Ri*t@W6?^)2`5
zTibrhve;~2lPi5?>sz<ex56T>Y<<hNDy~aBzdrm*w?6a3nPsLn!4*ak%VTrbd)eIl
z#J*-;ZT>%t-S_uinUweK=ac95Df-b~lO*=U{aL_wwkh1NkW+uI)Isg#ZXa*0G~82|
z#dyzZtHAp?Q7zSey2oPQu5({E_4bRR?H(Hr=?3W(ck`^ilhklE&+v%Uc8SEL(LIF+
z!q{)JKm3+a-(TPvb#KMIto(bO;fkC4MILtk5=vb@|Mthd*Zp&ET{kaVm9O(~qrY0k
zl2UcO)$aqYKEB$|I*qMFcV+Ci^pDGy{fMvrIO*ys`MX*NSIJ*>?OXFqKVq%M7lAb&
zD>qGFJx#QVBkcbT8=JqUreDdm-j<(rcV+6&k}bk(i}JsoHS6}P-*9bp@SD%Ccz&;b
zyJD4j8u#_~tKur96CYgqes<~Vu3M`Yf9JMk`Oal4QqFD5Q@$$yh3C9&S#5k__rDg;
z<lqyXt-&WgTcYpD{F-3jo3l5%+iD#&+<wacY+=7w!J)O+rWagVER<8Unpbtr_Z2MC
zIcqiR1%GT?Yx*>w>3&hyBju^}c@rPB1wV1!`%a8=ouzBsh1GczA9$6{SlxA1_uOB3
zowK3U_CGhR^~~1Su-Et!P@-ug=KNfK)^v?Y&!6{Y^_g9NpmZasxjQMFSFbI1+n(*K
z*RPrL>1^@B_WT8_KhJ&gbN>FW;*STW2`?<o(XF-3zs`R)TKjHj<=6V{xiV`dPI1=1
z*}}^%y7$-LhW2-hJ5FotPfGr3ntt~KcmCx58l!{B<#P3c^4F!4XJ^kUZQ2+&H)&3I
zy2Sgd%sgLTDXLX`<q+Om)#DjwdoX4Fyrfh8^Ik2jc(gh$>QG4hrzr`u!k5o@cU3a2
z;w!`6Jyjk2_x`y5cyTqk=F=-qtLpywi@MiWN!HK%H7Q-S<g4m=j$J<|@f(~`Z<lyC
zS-I!KNkg|^PgoY$6m?y^TDnN_d4Eyq|BFVlTeI&*<$SyF*!}baWw$Axe#}^U;c{4g
z&7rIlLY47%^-t~kaVqe=yzb+$r?aMd?9%=9CquGf^J~}I+~aHCoH(U@r!H>pg8gqF
zgzjzGS;u_PW`BKS(!To#HXO+3*l;1AW8;ZvuP(;8xrqzH`3+92ZkKp-Rhj4GE5o$v
zuPnuTs=6ZAah)=^x}IB{eDy$h_SJ61FlWx`TrxFlBOWZU2!4=Y5&D3mVAlb`N6Yqa
z5DEXw)}~$O+;F<ixud(zxrMvVx#x48b5n7hbJu1)=XSS!J07pCPg=J6W(W_<_P?3|
zcQ&s5f9k&MWcK6HXQiiKOL`$X`^&5AyW4`7dl;Nidse=*ZI^2kXPBY&Gmq^a27S+F
zZ%Etti$(w5ggst5t9GpTIqS*gz^fNR_9p%6dUAQ9@46V%TF*~vd7nF)_bB{q2q^or
zs-FAzO#lBoW&57qZ(aE>k-6|<{nnrS;%64x%}v-AzI?{htCDHAzJ~pj*NgDp>}68=
zaXE9^j=Fh&A2yY)n}7M!`RBX9oUiNVhyN=$IBU<>6`Ov@1#_;xt8{SHp3KN2LSmI6
zO|5cMlN#MqXS_cra@1@4i4BKz*&^PFIqMX63r^d0RO0iUq$X9+8o1pNKM%9kU%sn!
z-)@I%*UG$!iCkx`va2&KPQ?FPYg0ZiK4XIHt>(Y-pR>20mAm-xGkfi+Y5e!olh-Cr
zl>c8pKYm4U%&pj|<@eLSYw=F5ihr70SG~Mw=CAa>{YE)!%T&70ZD{?M-CZxXE5K5>
zRO{iXaI43w``2%>_lZkYEzy*mki=oC5MM7e!=AtJlm*|>SMN)YE&n86DwfpyuzSO`
z1kP)jJs&=m>b>mwe)s)V9-)Z`4WrmN&p%*OKFBbC3y;vegS~C~ht!%?Z~UqK*64rm
z)A_ECTklL4^C|e*{pQ@%Chr#?m-Y&mY%l7{3p;n=>M7=ujh_VO=H6WL<4Y^6@t3VT
zna^x~C{SOVYjH?M`WbhLw4cH0w%{{=f~<s@K5NZSG-!Gm?{#+3A+H%}PE9M-mPjNz
zwVhPsF*w<xs3v8(XhwoT+ebETgL4Ur$E0))Ni-jo$Tis4ZXVG!(QDc5KY!$tzRXZ6
z|H`E@<4@=}!6Z&0pCxKbCA9e!M7<18`b<r1xRa@E;80&L-1Z{<kVNhN>wNw$i)wXD
z9P*pH0w4eCFM53{gVQ{B#`>%ECYKXlWO`a2aNy?Mc)&^d%=Q2~_vnl+X*W5I^M_>)
zdsoV(MQ60gP7_JWG1qvUo4C4Fs3)*(PtUXsCs&xNW#w*G<?YIJyEkLTS)F?f*}5i2
zM7+5K)uJ=Hdfm9{8TF65ES721I35Tl4ZWrISW6%0c4^-haBr#Zl=3X@-Vg7rCm*Xw
zTBzA4Vafe-=k3ged(OnaY~^;H=`WGW=Q`6r>e7OWlqX70Qs*t;7o5W;k-t#!SY$@a
zMlq?!jZZT;*<LvFFW2mo{@GjM`(|^%J|&||y%jghqWAC|?x~;Sx+mwbuE~)A?p}i<
zPRVb!%IG@QFU}FvzwOX`Y>&wH-k>9UMA&;vlH<CZ#iSl=Xl>h(>S=z+w^?mZ9s3j?
zuY$+cdp%VbE#=)dLvvlUP}+|4g^atTWz`-^zDrvuxGO57M^9{4(u9edr#xS?`Jr#+
zIXg*9@5*y_Q5h}!rj;bu*ZlCCeeuYtvL$xjU7lG}E|$1lmq{^UH~h|b?RnhBC$hQA
zPF?Vi`yHOpu{~{>(jLRap6hBphMxMGqS@`?Rn7uiS~yj?)g<ygn;$KW+Z^KaHCXo^
z!+G6%0_Sy14h3`femvw9>|@xcruOT5Tlkfm8i&0reS0pj$2)pgEaczERA0Qku5IOv
zn)edNg)hI1<xZ_wUY@J9?DB81{El`psfQa|KPley2=`e&`O7?~qb!zJoh08y2XTBj
z;^cg0!xDkSWv$0%q%PE)C;hT(Wx>usGegs_MnM9HbNFjlDr9iV_p}^+VSQo}%i#oW
zUV|e}KV^~@3bsXN^gI-s^=R=#W5WgYeFl4y9Aq;W3RX$@M)1tF<(<`~cxuJGkdX7q
z%EC!5vbi#TJu5EjnjFY_EVFb^)wIZrmZM@)$y4nD0`1PdyXNk4(c1jQ<D8wv`wUMh
z{CbwMIKS&+`6RnvL2-9_pKX-5_dnwR1NWIVZ8tIxaoqNmNS@6ZyyxoX^G7D^36!72
z`n3LXznu!Vk3p3Eb040Q+FxI#C3)Oi60Rid{3(r_cjHkf<(#b-B(infy$#&#d3|`U
zDEIv7$}CwM`0P_${-VHVpT1a~o5Xh1N?ANfOE&u>n?%||#buEhEnlaVB(K?UL1c2T
zh)egqv@5eRF3s*SntuCbb<!+NGwF6=-O}z>wi{XX3l+;EH16Mv%IJv|o0YU8&|)L+
z-8>P$GcD3RhYSOE>~otf5d1LaaY2&O?ffr&4f1*Ig%*Z;-(FGAchuY^-7dWOo}RZ5
z&*vVWj>!DJ*0zX@g@R&{89l0Evy%1(T5Qyf$$#Q=rnS1~(A4ksu`5_Vn{EtD+j#YM
zs*HcmzHd^R_3lcY{BFWMJ1gfW_O<R@U1(!ide5j&-Aws+uZqybBi4^AG|lA=&a5=B
z-#$Zfn$%XEv=!ZAQjh1@?dwjf37F66qHUKlhu=`^uIVW?KX?DdaxQtlUvBP;5SVvW
zo%tds-)u>af+H=8eX|S>847=zG>hHq!tFCbUDj1InKnM%nO?t;<@wirx*43$b<?`I
ze`#_YS+OT#{SAknQ<rt8=o^Bu$uY^(7lmrB%=S?@_G+_R-BHc`DI)7?&aHoWo1u|&
zUBsmYJ93^l&3O>%)^}8LUhYE0XAv1KJH@0PcRkJE{Pp6jp}_K=t0u-9R-L<CJ!^sL
z8sk$j`>t&}IEn3OsB*n{Qo!8&ClNfWxOJxRuM#`O9?IRj@p#b|7XRMQI&HUd-Rh18
z>^|<q`RrA8s-t&B$`zMNmUoN&I~sQ|ZNGkE_6{cVvl{IZ)22scbSxE<N}Snx>_)m}
zRMU1h-wy2p{$8W*?A+hIV)C6v-!EN{V-o&ic1ma68CioO#dQZ|w_FgY->qxnWbVDo
zkS}tN<}T^Djl3~=TONHfE7%d(7cnKdN0qnh@Uf3a-hG%En4i>DowZQ0FCwU;;EGeS
z%;pOs>%YGA)Ts`8ad`KO?Z<oXcQfrb`J{TP`hb&W)r;cB7pK&}L^^+YqWDWy^WsGI
zSq4WuKR*}rn`v+?Q+U~n^~*J@UVGK=eLH=+)%=b$(d=2FySDCG@^Yzo$eN6>*VjHZ
zEt{Pusy*HNm#1KO;P=<&`;J__RI8Q}k#dM3{fvpTj@ewnX)_Ft1qm;+Si4+v9mAYU
z=WBm59bmoewb92vL}%T!*#-x=gxezW4{>Pwp4k3R&8N_?ep=*#Yrgflq5AXAytuHk
zba}m1;rGqYr{DZ6W;S#FPSv0B%$r~G{QbQBcWzNbot@>On}4>-%sz8_lfU1E({oFe
zx~|<`EnM?QtNPu!b<;0A_g>$aRQT`A?5hqJ)$4h0tun4T^S^CL=<}=3bsanY#n~QW
zSTC2{U|!D|SO4^KFwd7I`eqExHlh)6r}V#sERa|fUs-RY;P!g@yx<7A9r{oDr6YMo
z^!KnQRJ^;&Z1ee*;=HP_9Q}K$dJfK$5<9(FG0yg=r2o&ODh5|RS8uG?{bTR$rqic)
zB+d(tnHL~d;kPm3mhHDI4G~XxzdtiLAhKRAX?J-4hQq6m-*|ad_|2zRnq}1`hTGqL
ze8p02D;g6QGVk-8MfIOP6@{J5UNGaOrE8MvuJf~9+tlX;Yg}6+zo)9>dz|ed>-BQU
z`_1b)`6|AOJhz?evL<s|Wu1Q5$$Z<z0hN1eP89Q<)_$(|Gx%c}^U;#?nnK-g%;#wd
zoql`xo)U}2qpQv`UtbCKReqH?zPGALIL`K{XTRRHNz3<G9n3s0sd0Z<ef(SVfHNQF
zFm7~<eQ=iXu+w_Ego)wLyCc@0yS_PYu72*`s;1O9+oMJOdV0F+K7=IvRC;Xl-76?&
z->fHbT3xRrYHN#H8h_5-W@dTpl)i0IQ|P-*fg2~yd9OEnN2kBx^}7};cD&erthnLR
zUa9kpr^K1A|Kw#UzSF$NAmGfmw24XeP1U*{M}J1X*L7;(jk7)Cwq7oAa(Ms7o2%6&
zrtI&_x*DAG@lV{HfLpItKK$gn#C0B*NcY|9cSmb}I<|fMd40j#Do*MBAEY$4RTeuK
zo-5`$di9d;JsGweZ>~D$e0(Lit?H}9?LAdZZ{uu__UX^r8!_kLT)UYGjmyhizP|q?
z6MLoppV;>ws_)d!mGcKY+V+So{u$Gok0%ws{d&UjyQZk8a^D(NiKlYbM|DsAeRsrl
z^ZeI-F<X9FzKhZ~|F!U4bGMr9&FNN$J?^h(?)h+1G3~cdzrkts<1=1P7UuabYkkyU
zyUw?{#&<fqbDx*YKlA<Xz9Y$BzMZVu`Nr;H(&>r|cl}S*OYd9zu1@J&_T@W~;<wkv
zz1!1y_nKn)y(4K^tE>w*PM`HCujlF7knryZkJ@Fd(JyTiw6i==FkdEVhJT;I74_p5
zZ&pudIbB=SHSb+f_uun@-`5}gmfo>D?DX#Wci*uE-@7eSVlJ_9tK<v4oi}ct`If48
zKxV#7(mMY>gY)X@FCMP0-!Ag`?tZcAX{_(6G~ZkB?Rf1Pqa*ibop@pEj@{PzkD@+0
zmEQ}B+vFObB0pW@^rO4$=fB$F7rpgpooe(==_*-mF}?m%@zSO#AD<rlC^t2!<lnS4
z3%6z8-PKZiPPuqy!g~K@Hy%%xEc^Y0VRubY$6PzhLwxgPl6P;~kUeeo{kiw*-(2Mk
zt1RuB8aFr5RkOM>_-f@nsg);Emd}56YQ3hue(lvq#q;&+XIDjT-1zC<mrIxG^FOZN
zx$$Rd>AhX-wYj26CBF}9sYK}PKRkW!)pON1g{0LYwD!-q(c>MF_LODwnmI|WQ;pYb
z`NXh#jm?p)Xfw@gHw+F(N%L(u*XF!t`$LZU^SKtsVx|2Gj<f~e`R`P9)-NIMDL;=}
z!E^4H_d;5yemK;^qVMbR@l*@XdS8hLy`0W_Op9DTo=f0)&nxzz`JhvbNkq$Iw(i11
z37mdXI!84tcjT_;*}nUUVPMVVO_eV+__wP+VGNykw4nOZ;-EQ2YO!*8<-byzWbI}q
zZTC;JsDC$^S?2Re#lBxpINWQD;&q<BpRu~=b3yfq)xt7gUe!GM_KN3s<~OIktIYfR
zo(fmDr@Vh=?YpP(L7e7qfri7XQU~Au`K{o`fAnh8;;rCCMbiZ8kIg>P-uP}hm#>Zg
zQMInhqwSBQ4<rRQ1Rh-`u(;ENJId#$&W?7k$+OQ+KVRSUyQ_C<WI_JF_K=)oZPR#p
z-+D*9v+hpiKiK8OAI~jPB@rfC#k5B6OG`xXi_Q&Z|BZUD2J74Hom4M>{8dIy_t!Y*
z)k0=Jcv+<P&dfNve3dUNUsEb8UzaW`U)x&NK8D*|GK$-Nb9-+*oS$&xrTK@W8}17v
zZND#&*neLjdHwzR2?_J>Pe|H){lbyynx9mQFFSnL@MQICwR>7}q81!-;uae7L@Xrc
ziCHk&Xcx3pywle?%Dunw;nMp854PSHc+`7e;NjZ)0*m|q&ry5v-h7F~gSYmeg+&GV
zLi<gU7UqYXwtHrO==sTgulAoPU+_)#$FmdW*`4{zI^X}9uVE$_^QqqV{mhjur%iWo
zth@4H(T=d@?uS*p>ln<l3R-tWHftYRD-~12AJVLSuvsdmrah#lN#mWUx~un&i01AG
z4!n9@&89mf_^v+SD%imm-l1%|LrG@sLD9z%yz5wwXBRBJ5nt0J;U}hk)XO5Yx%)xM
zM@D8HJ+oGe;GC|4Z8t<7$84<EJoqyyxQpAhG~k}<9&ORx9QUSgvQK?hJoUpuG3kTH
zqjE&%XKgerQ8T^46th-!t3YhFr|zNEQ9<2>E5)Lly0_l=A-VQpOyHdLiiUP=b5|dA
zE7&F`eRO724$t!JjjR@--MU9kM&$_hT~F#R+$k2_q`mb<(3}+yV+><fD;nC(sgGTM
z)XiW`+qTmhZP${LC4#zj4?Kv<QDnQC)O}&CSaeU_)*C`!)*hX<sGL_hwIR+_MQqB2
z&0^9A4?xFjdsZG5OK{ZPCh$3Xqw9?5Zrx*ZqH;LXt|xgLu5K$9O-keKY*jt8;;5U!
zUNPyTTcUD!g0nZW&Isz(J@O<fN3dS)YErl1MzQE7&aF3sde%RTag_*nP735q&fX|k
zvht|g#l>RMhwn<ceUvEADp1`K+N^!l_de^*Klu~yYHZE;xWAL%e!9WZ+HdhqC*S@r
z?*6~{k7dTW<6E-%IMlZNUM}`O-MPJNuGieCX&V&Qxv{iQuFv~e|1^*@{mBIX|3w~!
z^?rOm*SYX9$4odZApX?gkgDaA%WGvmWrp$v$M~+QTw<ZrS@W{UmydJZX~m<wmMJ?l
z+ZpptCU)E|l1N-Fb1Xu!oiprIVpnL8oMfL@U_)|{$fF%1t`>@}hJIdwO~FA^9+fnS
z{nzY%b=0-(s+K~;<UiNN{zo#Y>RjhIXx1B$Q-7V~Fkdgrjg=DxcAE$#Zg)$1bJ3$|
zuaxITe_fWNQCAP0|4`Ta;p`T-AIBn<d7hgJEvQ~KNx;@bXyJ0Vq%W5|n(j$?UQ`d|
zI=I14H?-la7RN?MRzb5>3dht$Rc@?l5{Z7IWY)=hXM%?+OY`FT%#Z#?nh$eVC^pU8
z)y>~!mGq*1-C~BjB}dGCt-3C_x*J-();Oqc8?R~CmQ|_2mbw4&+sDn{tnWG8d)@J9
zZl6Te%S_f8s}~Ctmxv^8>q|OwDX?j#m6xHjwLK5BsZc_Lo70!;9xZiJofpHEIe%re
z=;to3&;58_GV|}|AKAxMzxbBAT{xd0@|X9RfkLj_{8wHQ^%|}$&6l1%+}O8$=fxrq
z12;a+W2Y1kTUhcK#PK=rS;M!dbWiTxsfQ)LuS!mnbv4)YuMt*uWo+{b>_`j}N!%fF
zR6@~})6FZeEAZ;N_;7u<r@ybpX)zpZ&eGRy7J8S_@?ff)MqGBw!(KP0Jy$(C%B4C#
z#3>uvt&m(&v4W}1y<UssLj<ehycG(Ef<=#1ENfypA3EVt>zvJMhE=}nx7{#OoDufc
zN%YY4I;%%(J>5kXmmV?ja%XgVrE!Eq_T#YyizWD1KMs-%^tt-!oPnz{qgr;$cB@{O
z87n4A2%Ahw*y9$|(>?2UN-d}S>e>bK9t3Y~zVi9kZgFqLgSD1RDiqr5dHA0i98k98
z`53{cY%}q&g#S~6gT9tNANTBfw`Tc^n75C<*0|IwR{qTR7sRI-cT(|iwk6L8H$LY*
zQx9{ze`0W~+S2EPBj3+A%1<XQ?4IHtc1Zo?X^wLz6pz+frdTMnGxD8E>~JoUc(_64
zn1xb1XWyyBuH+y&=8~zOB&HO^e5z+-QGYVw(GnS>Ig<`EEPrZn#Llv(AdD}0&g3G&
zq-j_FDcza0MPmC>jf1k9UWMlW>ZAT#*U7rM@9+Ary4D{iGChC%fBVt>88fz5#jHH{
zBK6!_@hu{!{7+0NxY)+2chlhL(;xe{{?Hf76H30k^g;uRxUWay!Im0RsejYObd7GD
zFt3jaPqJLLW7QGf9coV(8qO{#j5X`DzqRU!$oVCcFGchhc204NbAG&fv0i(PcL(RC
z9~W*kbb5YviNAkiRqoFNlT*B<eWo2Qo1xUY_J7;TtDEl!95T^-wEAM!Z;^WMV#lx3
zANi;2O>ypWEjqCwf{$5e;$Z>rrv`_NEPD(c_=HO))*lv$U1}3_W`c{ISm|Wvrgudr
z!cP{RxDd?8{AH3*+6+xs#$#TA9XEqS68l7s&d_${eC8S0_0zLhe#M;CLRGIj7WDUN
z{Cd@~aDE@t7yp0n#a1jZv)}&i#=@)dw?CO(vCMpDZYlcrW6V~2v#qP2q$Zf2<a)&I
zEE~vkO)!4d%Z~N+*GrBp_m};6a@Vbz+5axy__zF;@BRllH)2xXdRZvDvMfLR%=lX0
z_7l!U9t8n>ntUe|57$}pZ1CrEelztjhx${4W73vBAENkz_e}caQRpR9*<GXA&Z7Th
z!lRusMmCcVGdyR~<oOs9_LE1i-B9jyVv~E(j7O`cxVPC(I+1>yy{P^~xMYz>p+BGG
zyHkk`)<qJJw#j^Y>B5(MXUbs~^Ct#JOEvo${XCTB%dS7EIG<NZbIA^kb{_F31_vrF
zc?!e$l;=!7ED^nW_2x3gKJUt|0-vrVnW>9d+?Qw^efZ&j_Q(61R-_*9>wSCsnyE?I
zlVpo+F8}u)-LK`PCet<R`klA0>W@B4aVZtlV>o!?RY?Gw>YE?kUL0jvElJbeB+9Z?
z4#@R>;9EG6<F|=W@_sj=oNFFk&Gms?j)q>kEbo=tugHIlD~eDSaW^?s5TeZ3m)SDk
zw%5gC;Y0~_(@77exCPA#<34B*rOWc(IsJ<K$Gj~*$|9Rh4jDu%Gd{~uITF+BQa@w)
zM2XL)lM));f^<T-1rvIrIPRSkTWSC3Z_Y+HjcFMzi7VZh&Rp^6cq-Mo!AaRLY?UO>
z#?8B8#T5>TT|H>MnoB4r*s<&Fr^Hj;hnuhRM$QzFS@BL%dr8Y%E2SArl=lhnm>ekx
zb7wsFLgPrLtgFRR<$ETjQy#SR1<CMV`ShXwZ_l*gd&m1S_dlNdW<_6x{$;_#X0ogn
zOBM^Tmx?@W==)Kza52m8k|{~+!{pj(qbe)PZ!iAa_kH_at4GfB`y|R<X&m5_ZN0Hh
zdEdp$fj!TyygvB2YtGAh%DEw2oBw^K|Cak7*L{z07wIoKV*b~P>w|;4;=9)xhiqk!
z?pXcQ<Vd~T+Vw6s)=rezZ89lgxm(bij#=jRZ>>vmIz#I-=drln*;Tslapd3W&rEY7
zSG-wz?T_ofO4(~#g|^rJF5Ri4BqqJ|Yu8dn>3^5@?9bAlw@GXH?m)w>2h~*b+KaEs
z|2?z(<te7GK@)txxZIhv`@{6CBh&rrPhJyJ(t5Z_=2PtwnNRf>o%tl!olI<aU35ZR
zw@Bh)o6NBt>g}9zClb4ugXA>dc`3<D@;%>eBPb*@$+@e!=tO}7AM=~(hXsB=F*p=w
z*|RZ{Px#HW!y>ysac>1#qWsk2K&~cNp?8<kohgeX#FuIutkm=>)SNF>5u$%BTsYKW
zgYy3HDUCvUSuGEm-0C&XWw$(><HjU&(WApys<R+U+0ZXj<)CNp5{rrFCyF|4jNSUd
zxF$xK<+zDcVTdx%YEz+vg>Ftd7d%>iN_86gD|0@}QaLKKRiNftpku>YEsl+@tb*TG
zC>#?NRoStI>7LS)9+S?k2Pf6AoZ*OPczQU+Z-?f6tLGiNOI$X3xbxI=mx?4b_Boxo
zE*SserN%K8S=AYf7mIBE?0sMI(alSz4&Oem^R|3X<C!ahM|oroeXa*KWLj|<hPn$b
zd!cbGMfPLaqQxS+w|@Fyb@iOY`k>wqQdd1%c1m?_^z^noXw<tTLMm;#w#V0Lci#L7
zi#ArCk!sMz$(B4LQ1<gHuNiu-EcKUH9bUXcb-N=U=e5&{N3ASVBDC8XuboKja4nKZ
zoGSClb;lI$u9ZPY3|zaIe5NfDn7l;ekc(!QVQ`mF&ZI>m(yQkN-O1ESY~|vZ7G9kx
zImJLF{&IRt!Ui`Dw#=5q1#V0w*F8G^Np)WES2p|=ww%{dW7<l~XVOZdED?*E1iI@(
z1s<;9O5zFcpOi3zD~M-m@ii5<_2;gNMeqFH+IdwkL{at2Rl$P=vVlC81rG<vvPvvk
zEYMskk~pC+iRVhuso(8x634Pt4p{WINUWa7k!&KAyv0qZ=aNU)#Ggl{mGVx0UAa#B
z6=&S@>+LQfhpxQ(<auY(Y^8RQ$xkOFPLg4hs8?)PR6CK_^07!Fd5(;lgm$~;F^|e6
zFLXO=T;z4zSr$K;khDa`XwP~+hDX!x1Y7cabm3FBnRZyB|B1mtXG@=t3vOM0Em`H+
z{?vNM?@80&-&=P|F@DJ@#d!Wxitz%c6ypU?DaH$(O6-v?n(<(l%(5M7PYoUXHT!GA
z%ltoml8vl4k6ijftDPtIiGf49CC^7sKdnRVmOURGPq}u;UE0}e;Lw$HX2K$t%S$zm
zZrPtQ{a0s^_q)hhcPC9Y$p0D<9?SLQ_WJUbvz*Gj->u~p-m@{W>w4z4>{A7kC1zi9
zXH&V6;MCQtmU3fCzJlk|X?IqK^l8Rv6*9+pRA%f`{T^fXvEH(*O6j<W`I33}BKo%n
zxy{Nyx%c$<ZK)b%v)(_AH+>NN?@k}b=GwI9f8ypR<X&*)yZx|vio@&u50mQ{XLUpu
z#eSZ>&gIUe*I|E`?ohoR&&SDjLh-1BWr~DKd(EfGhXuZ`nklgT$-7gDJ=R4t9&D3Y
zwnOLXj7L*dK5cxlOhzbXdcAX3cF~D~P(J3Ei9%^F6hm3xuL=@bG+o5?g|4gNFOR^c
zeL+(e#oxKZ{wccX`{&ihmP!>1l)Kukl6EXz%+SC1O%dC~{FAnwvwrv1c<1GAwSTt#
z$-J=1XYx<lUKTuBENi&uLSVymE3S`j?t*fmv-3ae?lirsE%(+dLf4h$^QY?i5GAdL
zTV*~8I`L`VJEeHo+LGr(9G`Q|1ZTUXQ;9wPMKd0>$}D>kH23`Nz%^4`?kVklwtbSz
ziQiLXf=Z@4-+S?7!gedmCujZmDtAwIzW3pY!9fm7pN&qZln--h_SclfXjcAwv%`x|
zGwihDVJS<V4PkuFYbGD&c>UDi7@MWfhQNBhV4dloBocN`aksP1o3^K>?2b~qNc7VQ
z?_WGMI8bKEv(cGP`OTEW61$hgw7gJv75wEL*uxk!<-yV`LBV^tHbob?>{s1xa$=pE
znfA;LIvH`r-Mcw!q{Xxw5(4ko$WCE=FDIoKu~@Kct5jmd@`((uO@)#cx+&#c;kbV+
zOXXl%eeaSRi^Q6eHfBAHO<C>6u`RPDX}6n1S%%61zuuM`ODA&dHWf<lcN2PZ)uYQZ
zOHpFl3bA|bZ5b^IE8KqAxhwM=Ha!&nR*KUwNLkP=vnAi!WKu$pTTo9Zw_w7`tcP=R
z=D2Yj%Wio;*W{2vpfV#{w#t!|UY8k*CQ1Zf<!OspR^P;NI#eLJl}l(%h+|jir$nvp
z!>^vsFnXwc_*G1VqH4@F!Gof*fom=a9yXO_-LY=5fO*Lgb0Mp)LT~pP!zGJFo^Sp1
z!F6rgquQM4(obs@nhsknvRI_tw%CfRz{g#&@3qDuPT8XtD;KjYFPZYFr1WC6TaE3y
zsqS|euh*$B)0M3cJab*}Fq156#EQiN-6bN48~c)UE(SI&wes5NqwU{tHmb7XeDu1%
zedT*atsZ4_%Nm}!9N2Kxiff~ryWq7~8po`3mn&||$kjd+*8Ab<E;pf=3m#qFj-Q_`
zukp@{x?{t+YWtIA$IoU*yqtEY=TG4=qqT>9RM#C}^Xib*epU16jrAr8e*bQySf1PF
zRV*4TeJ1!(*^KzVfnTTHc~(;%u`eL{ke=FcmfsO(ETNBt+MWqlvkLG8Gals-HRuU(
zY{<)6q_}Iff|I}KkrzvwSoVfaSkynMxa)6MW4}~wsKW(+R*}D<2Mioo8QE4T98nN;
zkyz6t;T$p{VFg#vokd0GB>Cz!R5=YoJAZyzd_*u#Yk$9?MgIJ!76%nn{VrPfpPv5V
z$A`)P_Z9UDT7TvVdnA;$x*=g2mqyyEhQu~5CZBM}j!dl%10PnyWlx^!Fz>q&l+@<x
zb*9};m2aa%r}CNbM-rc>SR9N|^)p;<`EP6N%3x0Q|Gs^kej0{H3suuBmK|ZN@AKOD
z<FJhTlo{s}y?hEiIwkqE3LA2RbpEj&tW$kf8{Vn;P0R4GoGRaj=&qyJzm{tn=8Jpz
zY;^9F+@@XFz#OFWZ^12DRka;!kBDraGUMSgA@&`LM;|4BxIT5pgIPksF`-Fq!Co>C
zHi>le?}!gdYU=j#DRk_VJf~gQP#mOVS07s!*2#HJ!|-UPYFfnVBaC8yqs?kHuFD;N
zvUbzHulHTFV`ltcCG*+z;QrLREB>rAIe)B1RNzc7<IxyVgEN7S4L6Mha?7W2{kRpy
zDq<XRz#x{DaoJPL|0`3YCtf`3<+H)F^QTupr=*#N;r;KP8&5p8QdNssb3`P2%8bOV
zBHjP$w|I5_Y;x_C4AU^Y|HOOa3;WYkW+bc>3f2isYTNf;@A#feOC!F_kM!~}2=1)x
z)izvTp!)2q?$Jg6eL|C(G`)NbBRhZo^Xp{xnUZv|UQNR=U&zbH(63YSm{wtfVUW(R
z^BJmY5=)PWC{LY{*doL}<MaEQTPN(_miyw0)b!8Z%JoV){a63bGj;!X+{^WI^irm#
zsagvn7BaOx)#BLj=KkiRix{5Tv+N2{X7M&Tq;A&xVWqEfO;K=1#yxHmCxdupk<TWF
z48oNe)ihm9{`CJ}Ce*|)wXkBnU|YEq=Z7%GMc#WZavaR=4cK#u<FI!x%Z}9o7cJ*y
zw>+5XrZF$8<zZ*N8<R~~#|yQ76Q>UW$|C$GhYI4A8Q*ETl*|y9df1)$G^WGPI7FGp
z*+eK|gOkg3$t8kKjZzCG76`Welj6MKulR`Hy(Z|B&c8je@@JhdMl18|H4$2{-06sX
z;p>HhP4A=@zE~#MwoZ!kg6q2}ix!uJF&>;+qqd5n>*;@I#v0{S^-T<)|F@US_;f!t
zdV!#waCS@5L^lbsY?T8-tsQ^#u5uhS>iw|LLzze0R48GclgsgYD+KM1XSF14c9Yna
zp>iOswWDvZuQH3UiIZW3@*d4>l>-5-9euVw$}ERX4{e_#^|0EnmqlW&z{QQnGg=bX
zxc!*3RItra>LK$ACzs>%R@MtP-IQ85W3gacs1)Z0N5w_S>#uVhjOzVR+Uxd%VV3=e
zNfQ~~iz-=tv+fnxbCpAWmg%7n9?Fby8ZISoo=ZJ+-t6|{!Wuz4lWdg(hyPg4GkVBr
zAI9jYzw>t2F`EF^8u#^041b@VN?Mfs{W6DRd~d*)iyY4Ry(~X8#2Y&M?goFX@96s*
z^*LbAMUMDsS^K#*_$e+@OUrCYXmit8mfey#%Z<q=wByB%U=t^UNM#XW(?bSv%8YKB
zE+uc|q#i!r>-M8!y`bH_?3VuTT!ON@H@k7zX>QfB`X*2*m%J$X`vs4NcOdcEZXCO^
zS{8M?Nmym898gznUzA*bg(JQ=v!#ChPLo3)B9v>+E)}@A@qPwq^yEX|T0y&%jF$48
z)+yH8+$xS=<9L5V>Y;43GUL6IbAwEF6@FsTy>%x-G@vJt@o<Ld2R8NaDg~==9=!rR
z7dVa<^cwJ7=9s@&B<S4@DMg7jf?bYMi4rR&GBArMS(VlI3VgZD;Tqp-@a3XM!@YVg
z!O}b4$}HwjgoQhz>=p~QU6$f3@KRiqJpT&ELC)R)nd=;f4SQKERta3(*q_nzV1t{+
zw(ORN3*4Aw0y<vY_-*R6!CzUV+Vs!{cV)&hZI_Zau~LdRRtk2>N+nt>naI%p#7}9}
z&xKqZaw{4h?c<Vox3b~=;uM9fAAMXLd8-@hA5G(u$XlgwAX2j9)ZTSWP1#xtcC2D*
z)7IiBh-6z7r@yk{!6GgVy%h})_i!=IncOI$ZGS?W%P=HwV!NneP+lnGVINVJ84ApX
zK7JvL2USD^e8LzHi->;c{CR&<wa=ybr&kL%-%?2v<xsoD+QnJc=zptB@qooN+y9E(
zPghGP*UNGp%xHHqJhkwH>uzUGgF~x27MAaDR=n{#K;xT*NZVaL)dPI1mD*ms<!fEg
z?aX=M=BkdwpTb+US>$E8j<UBOn*KA)wMXu~4A;@<_Cp_zEo7-k4L#DZ>1NcWPuH~S
zS9t_W$XV10KiC&4n0&^$-RZ-Lg#k8Y8a2I-0+?*JY9-Y>R6Psem<KrmY5jf~uJzfg
zmE6`}=WBh?>%4Hs^8kr^mLm67t?80@WoIFBuS%BdsCxUM>u0Zt1?@XF*}i<4h7EJ<
zTP8>I-3}M;>V5dz5X*7l^0c*|Oc%!SwLWNCJK<@zOS=r$;ly^K2OXh;Pwig?aP(P<
z>=P^2;8B<HYN($a=E~E)T!!mlX8WP*Gx=H{u5o@?yggLV`9)>BQ^AFW0Wl>SJkw>k
z;wRmB<{iiy{)Xvb=x&F?vw8+G+qoI@Zm<OYnqAiTU|Q^g9l7EX?{2W}%h|%26jqrn
z&f#{`YVwR+x7)03hGmKe3T7)To7Gs>n9vZr;KzT->em)0D_P#xzu#QR|GVsa-S+D3
zXTHDNTYXYh({q_u(Qg~xtG0Xn{mcDBzaL<=I&)@DVj2uQ>wKbgo9TD|%Z+vS=chzY
zV%>IHZUq|;^OXZm^`Zig{q0Q^B$ly>e7@3<xK1i!#$u+V7c<wgv24E5khDz7;7kBB
z_v2899;?e&8<J+#OBwitGIIxq9GLI4nsNS_RgJBkVGlExKX}h_Pi%h4$N5jZ65T(>
z?UGl!5m&>aD({yV8=(*(Ev9j;g|+Q!;=x0!HY6mcMigvN(%BIqCuZ}7i#u}<mn`Se
zZ4(-oeVEk7(j=bP_^2}C!Q^=x5*}toZ1||6V^JX^7GuN3EhpF3T5lrPQgm*E&XnS5
zhyDiexE1K@eBjg8F_^>4Etc2LD!u(sQ}gV^gGYNeJa||Y;qf?1<GI+jmZH3+1*Rg-
zd$P54J|wE?7{qXKi|MtoN{b(AYW|#f(Di$O_O^|dB4ryiManiBihSFkDB_oFt9?h~
zeMIctYYh*N#*1|(h`s*z@pipv{j8H0R{6-je?Qxc(e9)UyT-j~%sla2jXue~T;@lj
ze|=_bn*EgF=-Q%&hgo(BKYvR`@qLinDziW$kk6n;hvm=THSYts1adUkHKt8r=JEdP
z!2I$1<-`65_yxXbv1?SBe-1jqeoIR7m&lC$%U8Cl{ITuTVApV)#>}(W>O<G*diGmI
zNh|ifyPQ*(fA^2bUk2CjMGXs=@6WcsFwJ{me&f&Gaxor<?th(7)Q}WmdBEW4{jKsD
zpXZzIy!r6F_P-U|HW{{x-uxqW@YEr(qpJ>yy+6wpEp|<0Th1EY9LtEf8$}!Ke$VZ)
ze;l1Vm3L2k?ez#g!9Dyf8g?vBJo3zn-1C|06{Y7hE3(HkDT?Py{bcM62o26oE>&y2
zdseYA!9QZb4QVlnZ>_A{zYjO{GAAB9cyPmmM+L_6X{Vg_#hI5)aOn!(l##ez$I9aN
zLw&7(E*-p@I$3&o!a91npVeY+J=5K>B{wlL^Y*b%m(1joyl(4-nf+h$sJ-;(@#D9$
zr2f7ysNa(MTKe^^SJH;>m)o!ZF;VE#KE}Uwzq-5RxwY1PJTY<m-!Ice?Jp(>);eff
z#ZO#vD{A)Pi{;Z6osMvk59vCme%a^N?{z^XlHD(yy)OPNnc-D^Ke|gVS+KT9(`x>O
zTbJ&Ap8`@lKf3GO@+H%@e80Q&g^}3B=TjDa_FSs{w${B~b61b+m&m0rYNB3vRNs#S
z>rK<#b^Vz5gNu9fbzP@#?66xbVte<P?!~?NnqcnV<*jVqM_w;cnrJRAy@tj0O6F19
z?ycMIB#4WAUvog|*Oj1>eF4+H@7*90draxql%SG*Cnih3IR$p*VXupo>`LZ0T*2;8
zpIX1@bL+G_3F6biu8in9H{GkKIW`FF3@1&i_%|nb%QC@kEL{4cW~Y+*ja0DC<I@&>
zp4xFIVLDi&d~nw}amk|Q*g&wxl8pyHsqWeG{^wCPZAZb<kizqiRx<58xK8U`WTWes
ziA!HB={?C?Rthq<{>#IoJ)gx?UE_k!>|k-dB6xH~*z7yku8A%73UHB)?>QBI<5Jvp
zQ4nu#Y|pDLCv$UZ4Og+aUio))Mc(W?`^-c@QnFD!ueP4d%}xeOH6C5@(rjmJu9n->
z1szsDme;tcuc&x_aqXM<(3;%>8K*;@MQt!$QS!)eV_;3ajP`ngJ7UILoEr2)nG~D2
zpRQ1uf8)=BN9x-a%#k>H)@a#J4({eI`9x1kro&r}(tdJygLs9OO|7p}bDk=n;nS*X
zv3$y5mN#YN+<pW76+Z;UrYc+P2;$qZBZ9ADM+o1NLd(<X_v;oM)c?-csBo~CujXwC
z*Rle4pBJYd*0|M&b$TW_eEGa-m!-ty2Xz{03#G(blEku-)<*D)xOS~RC}SAI$)+2_
z$Cewz#U^{_?T5cz@254juFB`j{HM2_z5L~)GJ{#O`?u*zoIUG)TdL>T!$gBw)BCqs
zN}N6Iep{qx+Og(@EhToh+Q8(mXI)+P>sE_)&+0tt+vNGky1wAi#P@z*Z}Rk+{onG4
zy;S$C<gKu~>o#pPynG=nHhTKmCq^~10yQ_c<!{>A_vr7xC^v)6pTb4$c{k7c=G(jV
z>sH^NE$N|Mevw93wwt6@D$R)1v`SUY6AE7$R+syTW%a(Wcl%ES&&V+o=rNVnST>WF
zC;1E;H+L_)<HkEDB&SK%?`(B-%S+xk(RxPa6TLKvo$W8(<{g`|ekohX<lJ>@R-RmD
z;GP%5>ShprTH{%!<l!3K%^Ms}=jdE9V!yoQ%+Vy>&l{F}IxbqTYk2X?bS+QcTCUS3
zEeVxR{k8tNxdurraAsjH?MRxyXUKC+k=wcC#IXS1dzxVx9EZJlH*WB9&Z%E>O@KYy
z<jBz|-j4=e&X-=SSM$}}^WV#t<6iAjoq1|;-u$H<2?wrAxqNgG?|!(CFLK9fXS2AB
zPktZ6oF(pMbhKWVN_srC&7xwZqTalWj`rhHla4K3Ul;PRwlhV_&?mC?<}5vluUoae
zmh-fQ|I0n9e@Nl7>g3hya@MTOS$QU<ezR|6&z~r%t6XybpTAIk61cYEbMvDUr{cr^
z_?(R1^tH5frp~X>uA2oX0<S-EKbI<H5M}q@;w$@WL#re1Ry${FEf5jQlss6dyVzo3
zDv$csGlw*FHy1{gbBH`H=}6qe7dc~rvzgkf3C*5XPm(tARnA!Coar+)n$`Ug^Zq2g
z>4)kaDkXRensm3S`E5LWLh{>{uBX$FeD?j+qgAZ?^i1u~Q%5+>R+q&+61m+wCvm%0
zv2N>`xof8+I0pw8ho*it*PL_Nx#@G2N1>zL$yuw57i~HcJ$s#`#zEi8xaeh?Ia91V
zjMOTU5A6{)OKdAw%Xzq$^Y)JqTmS9Q*e2CCD{*#XRQ-*-1!iRuE1KuBy-M2NSY?rG
zvB;z>vwN}U8UwbO1_u*<F5!6~QYCHasIR>IMdlM8+nzg4;mX@Do-L^marT+<@Nzg?
z&cn@|w|`_D;%l4v?QPNu&gvK0hcdtXjI#PT`*!Kcts&dCJi8D(FU!>2WXX{=HGW&~
zOtUzZmc=?ZdTp0SJ<F`+Jsw<w&$X3{CLL<+VH2ElYQ?29>N5`+GOJdsS@2fd^=HSq
z+?7h(UA-=B_wv{LC9QFofqARJ2CsEnZNB;+tLyU8O>Fw-rSr_Uu8}89E$#q|v(JpA
z6`Y@i11n>g(xp}##CW@A_L~JXd9rzZjJo($RP(Sc^VWj<4!JgF0rl+uPdFVLyEOAe
z=V`MYu=$={v}4<<KeJ>K4~cviv32J(wlPiQKJRnmSg~+ff&09dA_ZpG4^0<&y?V*K
zqw|-Vl%Bbk(9Tr$ZI#EWuH$zizs~NO@1B_Io4R?%mG0MfHQ#h?7I?F6(lvEKZ!Y6x
zTb9j9wj!I8ZF!0xZSvV@f9_C0y}`MphY@p*9{Xs~-7H)vCeHm>M{e2i$V)R6=U=;d
zE_ChIpPFy)Xsw+3)5P9ssezx|b>=w<dnN|^{D_)(aFw3!%wI97&b6`0%h-gUzMfX;
zZT2|Y;AM8^%vo<^VkbXszo1wz@;T(}T<cq=rdJa7v6-2K);F&#Vt?RVDE2WpwQ*Jb
z2a9v>%ZjEdJ$F6j&aD$9^&>1aIN4Y4GUMiuqsrS(9W{;)wMkkXVN*Qy=;d`r(eqd5
zW!>Lr)LLoC*Tibb*Y(qoua4=|<@<}8`MVbLPD$u(3|eCr&?cIt)ivY#gQQg6Ut8>2
zRoT2YTyfBxCaH1wlU8aa=dB4ZHST%uS32gnq2B1&L2tMB50Y8!TQ|7PKdol>|I+kW
z;e$fVOMiTrI?ssbuh-3-zisyeZW=akT{W-Wzi`#;O;>wsIHVN&>lytFf=<r9b=~~h
z_A4JI7f&&&UbK?SDoXhF@;x`#OiE9*J0JSY<%{907jw1tpX9I$+xKkdTb*^cKgxx+
zSET=%T4K7Yp+0EF!c-RHtvyN8rWu~OX2e~*<;<}d-C_g((=lhR8}V~*(dlj7rS$l5
zx1N-5;>2l!I@gT4Rz@BB@bJ4;+QQvta`FGOW;U;jdiJQhtX|G8J5p+X-Fi)<w$5F5
zH;UYQF)4*5ztl5o#*)<v(vwS192Ai)GW2P;@wvRvWZCL-UX51upC)cnYc1bzUfA^g
zl=7OD&;K4Y3C%xxU4Cup*|oeod6uo(b#vA&pXYi>0<#t^`MUF&kJ;=)$M3(^bo39k
z4_hFzmuJet?R$<q*s{<&bo#SXF?{Z;Lyq6R(E9vG$EyF2R~YD9tvR^!&yg1svZA66
znfmrhehIu5>MU-UDXM*wd6m-XdXtn@uYcZX-xsf07O>>!djB`UPZcv1Z>*j*?`o>n
z=1||c#k^n7Ml7FYwe9o=!=~`){p+_(Sg>C%h$o=8xAMhy7oL!-(ay!c%eMaODch}e
z-%c;&yjkei0%vEte__6V+LHe0uKFrwZu0b%bhK3TCf+-nBSRhUOwMPV9kTTPJ^6(C
z&%(Fea@PEEJR0w++k0cdshH$o?)$~5q0H-VIIg;+EzQ9;n^(m73|pJrD!XMH*Y4cJ
z_B=#ACOA0s--h;;yE@hOW#pgu{4TWX-&W1%*S*X`m;O|Zi;bS{6fUgxLn)qJGr8-$
z+L;fY-G3*4U9igZ_l)b0-E(99Z?MZSd_O6;BES9+%X^<0kJfS;@0lpit@Xf3dEaVN
zk#jBKpL%CEE!@eb|Md5A))UkB-xS+dVZ9_Plhu9OO08z`ssHypn$Ff7|EGQbFLBZR
zhBLaVtv^lGGrYK3tnbClnw`EgxW26XG~v(g<gHUfZ~n@X3H|C@>0VsQcRb{8mE7|q
ztDaA+vDH7oDOWFLd6YTzTlaSM`~Mfu6Ute0HMnan+o=tk!!AafCNEkwKb!Asv2cj&
z(jD#(zH>ZYz2x`*IiF6RvM^PUSS>YW*6pYIY(0Tr=dr%nzVz{`SK1O4Y3?h9pEDch
zzgG_3JDdOWaU-X*+~*UP7C2os^P2Nu$;99}Mn!Fx-SQsxta_i97ha$7t2v}OuGrd8
zP($|M&GnCLSlE+nMV=?y@`xwdis@<fuc%GkXZLI6IzEw=_oehSADf$oq`i*bwV>aq
zZkyI|@drO`zl52Izk6`mpC?@H+`{{UUxMa|Rh~-U%jR{_eP8d<mG*Y=VZ3*5o)WVw
zj9ApADiF{2O5mu{Pq9$BpR5)2uBAOqzxW^MtO=Ww^YqgGRj0Jq$IBHvZhd6)?f1`R
zw_=t#b6pQn_P*&*5NnzyuK)Vp1?Q&ORUU=jSL>hbGWn$G=Ul6tw%W@(;eSK^>S^<u
zKF!!%V)%EGOz_%M!prwGA5*(pIsf$}?LJH6Rlai)c4>VKyV#b!D<NvD()qQP|2Nh@
zT=}Y_DbOcy>&icW>Q=^ld~~eOqiB<ix57r1Sxej=oxA5Et2ASQv&iGpj>I{9krJz&
z&90q%su=Lk?{3vj1#a`*K@n=^Jxt0G>rzFuxAY_~ofa9fG}SEZ^xDq(8}=BAyt}o2
zn*Xbq+PU!?7cqsOJbHL}=G8~XK1j(bMMUb_D%9UlveTY?sEAiFVxiBu`ghOw?b&o*
zxryD%<zqm*gxt#st<hE~k30D+D^@w{&3iea{kheYW8GS%mVr4JrYIba;@!Bx$2sTB
z6#@3`rj`YT?#?!Mu1+v{r`b6}a^Dq!rf?~zLQiK2zs!!-%bz6P2ph()IwV<HbYar|
zqjUD}I->4fZ^+kFY{+L9*j4x;bCM0Krp1pr?-prQg=Xja*5<DLpU69>r%)iKuP`8H
z;`6=x7F*m}H?evOgL;H^{MoFJ@AEskD}Szz41ZeccEhPa_ncFq?YTn*&ZS#ix!*qt
z+9CN^p+e^I0*Tn%GJ~E;%b6?N>&*+7Gz#??1av)QJ7wVWuKsTHk-2kk{W|t5#(K@<
z=Wcdl<#P=}<Q~?{SbZk3!^Y&7&mF@<39kO{;%E3JPT&*d33+?Yy+%<dK10i(i9gEi
zW8i5CyUdxb=cCddZ=GiOVwEkM_}wjMoXd5+7q_oFp~3cI(M6G}EJ;Uw-Ni4~rt(ym
zwEO9<nOff$l3>=CIkUO`Zq&0!Ez>G3R;FggOq}m>#<=a@uEWWD!Y`?wtSDdNeaXo_
zmi6X~)y{nTG>+BD@2pttEO+l!fYkdbQzxI?lAqT;`_tO|gGbs+H_t8fuFGn<d}&6}
z^%hRKSq4YtgwrY(>J<0Q&i)W1xwT~f^O>8x{`|J}fBHP6_DTGq+C`q*3Le%!*~lio
zuE2KE{6fxz`q&+DrVea*Y_=EPES&1^W@Y+l->OYs-@Eiq`>)#~^8Qnb@zk2m?T%Mh
zisyg66FAl9quKdf+b4_H*1X-Zw752T_b)BGusajvUuV9&vv1SBjk`=|3s0Z5ZZ<DV
z?bGb*j?Av|n;REyznQRb`ptyJ%Wpnd(7vjk=T>;y>m!$z?UoZNx$NAvZtA70H>REI
zy`iywbu`!a+_+UyjxP><6Z(0|?V_SyPJY<diw9cYp8qttfYI;6$rC?Mtj;>Jl>7Gk
zC)~Fi<M-xF(vM}Y`@HF_gJjuB@omvo=E>bPiK~~^T>Z86&+4sW|5tt0HdXlXX={mT
zaKi)M$eh6XxxPoWZ@&(C?)>4@x3JK^Q`hI@hpEroziF>;sAZ$}?b}za#bc-JZ#d2u
zy8h0cbMwXCg{Z%?U!h{O&o}bQ(bJcrr~X`*pO<(3p<3!b7M&ya7WAI&uiCrn<j)D)
zJ!HbK&G3&lI=k~%{iD;9yf?}<b#IjG;@&9N_IaZm_wy-N1LkMfKXR`QtK4!l`)PW}
zU*{K5Kg&{0Mb}TAA9T${IA6B)-=7sX9SV-*EU?HiGmtTo){zO}J(}uVo9r$VFwJ?J
zR`~5HYtMC@{`Gt6(}+E5_Mdm){3fMwRQ2b*`3q(S2})V&J1ReC&3LlsPtP3j`8CBR
z2mW4~TwiuGXoum(_|{M6YTxQ#3g{ciKKktP(=S8r=i1WtRa>X!`K+1zezthslNavy
zR`%Svr4jaA^NmqJ(_OYx#<>f4szYNd^e!(neY`)Rpj+#@tIvj;Et+OC4Gy~rZ`*LN
zC3lT^BKz);(x>;=3i>zR4-73@7hIbxo2PwQ_io<Kr9Rs$cBjs-nQHA{c}9BIjQYCY
zJC;kCb#0ISwmQwJ@wRSw=<>h^Wv4~2o>^D1`{|}X-8qbZZ|fevBKUcGv|d<OIPcTM
zf1CHbzE!*ak+oy%cZHc7I^DZ9YUXrm)P!_un4NPIVNX};>b@+f+WS}0b&p_Otw#5w
zsofGG(q@5ox?PeZBm;HMbZMA9bG=k(V7{n+#|)W3n>~F?CcCY_Rn%&-HUIvV8)2c-
z<Nd=Prp4|o6^nd$Z%f2B+jYMakA7F$v2z)h|Ep8E>sO>#c8e8H6PYKaanP7~X+_?G
znwL2X#Nw_^ot-<SYjLHjY|aZ&M`fQG2|GB0d5ja=%D1xRB(Bih)~l%YCSe2TZi$fa
zcXPRR{HVV+hug}fAf{cz?}fl&PuWccUhO$^t|`_<y_(Ryx%BB$FV2r{{l!`eb-G1y
zyc~U3r@mjv;>gu+(z2l5+H|4B0!1EX(<6rzcsCmEm}_)-&+}k;-ovV<8ww(Mb7BIS
z*~70MIBIJ8p&*v`(vF2JzM4LP%uRu(Hi@s_Um3MpZBKRmMS-s4QindcIO}}5@Z^A7
zed#hyxvN@?hoen5d~oB<*%QXhzW&OAqu!<;J~;7Ss)&<*`zZU5_&uJ$tyYHnzE3^Y
zBK5!2-1)X^_5EF9A7|}+wEoQdE!y_CS3JnwIqjMjkFlCl!Sxv&C)F>lkFA^*XmV9_
zv!N3|=eDb^?WcAH{d2G1cG>V=fap!J^_R7e*)Ba%*6@6@?X4Lc2Q!nET9f53>)ndo
zwm$pga(#=y)s8Icr5%r^@EOjzrpTRMa^hH`Y*9g2d(52+iu}(@HF|G1PCxo&Vg<W%
zu4p@N&BdPcK_LhK-k(~xN>~5r;T39+;|-*=O_wZcoNxPhib4HJKKUiZf%ONTNtJ{i
z)7ta%;bJ4_$`|ry#G4P!zqWs+u@uL>S-c|emwZ;v7q}jO&wI1}Igg!do_jIxd{7t3
zAM*CPd+Vlu0n0Tc?jJb)Yq5~nsj7Kbe7R4Y{x#VsY~dPpZDSFQ*OqL~|B^P{bog*I
zXF<hUt?Wtquk+q6`urg6zpwnxXQy)5?$(E%)rgnVl;PeZugUatjz4Sn+#9jBDm!#^
zjabh7e9!V)@#`E{w+q2juiJPhE?w34X+lD)T#(LX=Qh=<jKr04w{9$6B`hEG<&vDL
zbH4883+|_LzFak8KfLwKQMX(>+nfuVOs>6_@J*aMO>oUsqb}2^V;iDQ>#UjdyZeuH
zOpwK@dS@2*l8#3k_zYz(DRQ%yo;b!RTeKm*J?72iYa3Xf=sWF}(r`4-TqwRk)5kcm
z>7|;F;o%m^v{@TkBh_+}w>{jUu}fOx@b3d}*K2IOa9QBGn?7^th1(9XUkn5I|MHmh
zuGEUEaIt9J%jUJg^Ig-YkeDMk);2$?d!uKoa${w?h;{v!35mPqq9WE=i|w~PZywOZ
z%I3B4f`g=(<cij#mp<HDxa`1Ze&hVB(x28pzp14A_sCY2K-Sr*K@v*+9^5A%{ixWo
zt>(S9M@8Cg@%q_DoAk~(8#t`$I?%s7%(FT3!S-P7uM?75<&1Q)#dTK+<=nqD^ZoaR
zn+^pRau!&mm>I}St2dI^v3*|%Kj*X;ibs=dQzG<ISFwCPUV9|x!PY#p02yOxiN5W^
z?=#LzA6e}Ai0SjKTc1qR<C7zVcksORHk+5GG^yD?@Z{0yE1o0<n*21GcD1eOVf?ds
zvfDaW-;!Q5sdZ!E$zv@mo+NmM6d9UoRnCx`dTB=Fv?JmBj`}uleJZ3~@3E(GTEEfy
zz&RaWd)6$T)T$hK^4P1Qz~`#s?ThvJ+ZP2%u^T_KW>NL5x!38x%6Q?OU-IAWG&6bp
zRn8t%_ALGwaLLAI!WNTv?naNpUw-Wfyz)}jb8|uTrJOsHOxVp;d-b0v&-%YM+*5gn
zRwhrl%GqP7p2Zt{FU73s%XlQ6yt-bg^?-}wnJGPDVIH%ZpH6y~G;>L1gjQyzPG`oW
z&Fi~WdJ_^=nx~z3kaW^xqr)YgGt*6^KdYQQ7~}cb!2Qyt8L}sPbd5GECyB(j+*Qxy
zsaEY>zf9%q!9366joz0o-H^O^<azRTZ>fW`JeJ8$eCa!BV$$j*kvEhw`OMr+KDOTI
zt)Dn|N0R#GQ?+T1OKvE*Zrc!dNoLJt6aH*f-Ck=!-x`TBzm)xQ`Y$6VJxgj^veZy*
zQ73<g|0EM>Vb!xoD?GiEV|G-F$zPu*zp-;sl;l>!HT_;<*IZS_vlmTjzZ$678~QNz
z*L|V*K<QOR3zu$iFbdL{ZqoMhq2jUsbAAM!Oi!+Fds4i?<x^#ZPG+V~PsXFP&k3H3
zUnpjZ?ed=0oHyy&!fY4ezwgd?%sV=L>vB)2!_NyYf3{b*i~e-;hsIBtnh7T2?^Vto
zj`s9^?CT;d|ENYU)9jx2tnR>xk45i(_VDyhc5xAo{Wz!WA=~5fqkC1Aj|zAuztGGS
zWAmET%Q)%Tg8KbScD_*0l>6lsB~`1m_RjlF>qFan7oC0=EqqntoQECvbJerQTs?~m
zJTJw>Of%sRSJCZ#eD$Hv@7QnGIs!{>nyd6a+_ofghjOObJFi*I?USB8nz*ELhgN20
zPItzm?nluoz5JpRn?JXADNmZ16!s)W=)UNDg-kIu&sn|9K~;M7e4ew~4^KQ(p=5ks
z$<1&XPoD|@$GIObPD)E&v&2$DKT}TadQ15nG3$47hF$)X_xGf@)lB`<yX524-X%MK
z=w$9WzM8X_FX_`wV=ciw{YON0N{ej`^x;|0;<En7LMx@n8A81$+Rv|ek`NP8bkROW
z&W9)Z@!`D_E(BG`oYNCN99A!{X>?e^bF+cvothaE+p4_n*!QZOb>;W`{K55<x0Jl{
ztQl;3CYv-ppXBy2<kF8!ft%|kKDl{Hz4ui;d(^}8bAibw{);TjTu!-O`ObIpxIyTr
z$Qvp@bKXocVgIgr_NcAr=K}9bmv%_nmCoE>@={9f^Nb%UpLTkr7@EzSWWs-UY5k7O
zlJ1P8ir*rd4u*Sbr<icdtDHSp?fLj|oy+FR#}%rXEc%CI+b#s{P?yw{^N>2s>$$lg
z_|gxhuuC#`rkU`UE6@DczCv7ZvBV-duUWmvCp~+#a!F-{T4ttA|C<}~c4}^`Jb6Sf
ztDZe%;<?!{^iqt^G!y>GN>cJ#2KJXEe;%%P_dn@j7<WnHnCGn4jg!)ndzM&A=w`~f
zc}7VwD@V=RzBX=>+lA;$73^A>JhiH4o$Ng~UyQ#b!^06TAT(W`&0V!gapH_cF6Qm+
zY=uGmy~k1{#HQ--^n01Pxu~)qUwpFqhSbzcH-z$f!n&T<PhRrzr|*tNF5OnqNfY&3
zReSa8f2s7Y|D|&FSd?e+2DeKwYo?j-uU66Rohs<d6L4C!HzDrHgFi=K%bfJss9>WN
zk#r?WBU4PwQ!3uX>!sxk%}l*C&nT(Q%ClzNKQq;&Y2`%bkLecIRg{m`cqT_EWQvJ-
z&FXcX^ekcHlARGsnR3&-qNGkM&HU!yw^?wph4nfQsrtjIo|_9iFa1bzyCf4cVT;K*
zSCc~4_dQDl=k%rAiZ^>VrAK7BO7FuZOCo1TXBs8ltZ@3Y^M+n#=9`X;q&0U{Gg)@4
z_9pc&F?=)Cg!{M3*<)s&#RU$RVq~V6@V6_?+Hs*g<Pt}pE7vXgN$b7bx`ZE|>{kuz
zbyu0+dU(>ag#AnEmloPB>O6Y8#Pjju^-C-zMBcsAE%aUQzN1@=H{0om8sFQh9sOcw
zQ@u=<wMqt_JUThUg}?vMqztj|i-q3*J*0bne#2az_2n+kJB52sez4N|=o%BU>7$v}
zN7a~+N8CLtrW`x*!LduM$ZC%MMTc{3ukYr||2@>%nYCY_H#0dsq^N#_t=7&PGE;5d
z^m%2z;q`uGbnrld+L6#E!NAU>ijX7;k*PX19cuZHLqm!#=t^BYdV9s`!>?CNIo7@5
z$;UhPon9=H59!{WFBaE-OQWr&SW&IjdGVyy<cl4Ad~T}ZzZXwxuMO1fwSB1eAilIh
zG|wh!mbpm{XXKerZLX@)hZhUg&!6t5Dt>;^$?6Khsh27u{~ZgfP450N{p7W<u=HE2
zc9~fJ_|!Y^Q?ZNt>Z8J(^LeH`cx=A3y-X?O&X1$)!fF-ky>I`o`C-kx^+U|`EgF+V
z`mRVD99;S9(&lAca}HT5Kd)_^7(B-iGUBsf;%$qZCwzR8mih18-aTxXxP0UJ`j9;$
z*L^N(%GdYK=|Ae`S9s@yq@Tpj*2!*pkJ~0%@5p?jmnXTi{kGe@W3s^?jbcn)(>3py
z7ByA7`4!$jAt@)Zv$fqV@A1Tm);sc^=*da%Y*%-icPu#gW7LkMuDqom&Ya+!CvAC@
zTRFWV^NCoT<j&sfZtouSPW-&^PKb?}-t77f&E9Hn9xdXm-jQ&qW?fADFV60s+gV1u
zQ)7c7#PXFQqW<6Ria$Ez$1R)2?u0NV@uO#0^x7mjW5kxJ7u=jN?ZDH7ET-w*nw&9m
zYU%|`4K?>QX-qq!e$;(ZCua<cu+R>TW9kKlXZ#*)GSF*0eXNT`k6l}MN6Z?p2cI@r
zHEQ?Pn|>5MvcbYm(@t!y?*pZX=?7dl+BJ43Ix|gg?d6OyGgB?FjF@-e==E~W7`bgK
z1xq)Y?rYM~>GJRDPrEI&gJYX|LEsyY2T3<(9!O0LXPVx7motX%n@YjX8`AMB%*2k(
zmeJ`j-#J4*|C53Ky(!1;{JP{_E3!=Of4X9!Sd;!+uX_LfY#q%#eP0FkbbSr5>G*0;
zvbz6sM1{!gzTWnYa_rxeZS~$At@?4s_}bz0`EQd}H9abhF{*h|E%y4M@x=)K`Mt-g
zB;<})>F{)|*0a0U+xyi*Lilx|q1Cm=i6Lv$dnXpAvmRc)!})pgosJ!`9|iL&*0d)@
ztZ7foSabZ)goyt7-h&(E*!7e9?;bsHqr`@%<Li=(_Up8CYI;ud$)Ejo^levvYHhNu
zNNtiW&)#HPv8tn0IbV9dTD%Z^U3k%U9Y=0W$LngDJ^h<^REVd4{9tx&(y`f}UUM9p
z*0yZdudIhBml}MT9U**hLcYo2>H|}p-m~c0as5-g=Xz1wF^b1lct^d?7mo*<F4{Jp
zcHGXQCq7?TW^ubeXG~_zALks_K%TzyV)7U5j=Z1HpZQ*RhsHhC0>hHt{8*mmN4{cg
z$7bj7beLPdkk2o=sDJOt!u&m&y>|Ei#2#ILcG<R7BKK4_3hw#0?8{uMX5H3CUe6j9
zW}%-Nztle&e(`>?`Gd~I!W%DU*K63a?-l+T^Tq4Q=L^OY^?T)bIal>Q3Y~QLX?n(Y
zmEBLDXKZ(|vpc2s$#9SFrC$<pY8xXfCLT#!oIPn%v4KhF*`pIw%=nup-?$_(<-oNA
z;kET136HOSZU2##@gP0-zl&YnHMLKHH7oreB}>fEu-(Vv`Q&l&eHS}+XQ7`zDrUW?
zxA@U9>%{TnSAMZvb-QTm`R&M#St;9-Qhc90PR>@6k6)#dS<7QP>4wf1pQOzfwL5#u
zPk83&{ZiTZaiUqLZf{+^hHsa&(bj$X55DGK-QTx)M@90MALeiGAB*IO`@3+<f`G_B
zx4-Kr+p_5Q?EaMh#J+oTL`B}6$q~j&3Jk9~7c8u|k-l0PWFeQYWFeNn$U-zf$wDfB
zQ-R98l*a{oP99uXF}b_>qZ+^YBj3zB-D^d=K5yjw8274fPv-;2J)Msd_jEoC+;j5K
z<BG|>>L1nE{U7`4y*oDB=1!;i(n9NPVG`$#svQa1A)2qWLo$ES4w?L<9dh}b3Uu$K
zJkHv4^5E2p$@SgdAJzD+ANgj!=~*kvot`YbDd>h=zS0e`{6#lp^OJ4}=Wp6zelI0C
ze$UB+|0*VT$8Jo`eC)I4_`#PE{oTwP<@nW;Z8PU+_}?&*GYs`e^i+~R_{jdxx<~ns
zYc<b!rK!xAdB(#~dFI5#Dx<}%nJ0V9mZ=$A&6srNMUtP<;`X)mCwnq;JVTmAx`k9v
zxF0`zvWI2%BuPy(HDjY3kF+ft>}Fm(wkySr-FvcR>>A&+FB{Zm8uni8%$ksxxQOMa
z$1bgz6BAO6l#h0$xV1e!*&{Yh)%cdg<TEc4Qj8XNUp(0(=ca1B%upwgH!5O+hNIo9
zK94jNiFs!{49#XvOpGyFT;KZfWRIDeim}y<8E0N31ugot&Cq`0`Gb30?8J+Of7<x?
zJ@HQdChzrLgjeVO>Ob;IcK?rG%C<b*^Kdg?<c|f;X1o5zHOt(&ezfSLSG&!gD+^8H
z{w)4<pyP+;vtV82ibbg+-%qW7+iTEv{Z@t8x{Sv+CB9b6KK|P|ZK<Kl;Unt}>wjlS
zI=;U@{oX_I)S3rZWm<lGed>DFu_Hb)?t;O`?o-{fOpfYn`~P2TW&BTHXL)v?)1OP9
z7ESxObl$X&tnJfoTC7Rs<I9-Y{XFW~!`5j_H+mdC(m(fmmgK=QU*2E)KipZ`>#@=2
z^p8F6r*(3!8A(TPIdd>fw|JxP=^vkhPXAaHRDbvg|Nmu~lJj3@bDjNP`am+*rR!(Z
zvE|NDX%E|`-Q2M>mG51~%<lB4XAd_{TUzLH_=vyw`E1F9th$SLEJ@{=zP0CjYgAhD
z#%Y!o3tze&;g|Q%n%REvmdRy{rJd?^!j*2PInHJ6TKi{x##TYibD5HdD|I&)IG)aV
zbN$QSN8!_^*Khe~BXfO|$-0agKej$KJ$vxC{`DQJdp!zcPfO%w%xs+<b?m%~ZgD~M
zX`4G24L(Yrt&Vcr5Pe$1EJO0Jo9^Zfo~LtUE`+T6A^Kb_*JfYY^-U(*GG|oWKVGJ*
zY!UHqk>ix58~mjwCbds9d~?N!d-s+z#}s`JcF0d#cl}uLM)%V;^>3~k6xJNSV(j~I
zRo7pul!py`H!W5;^YOi$(0$nI$-@r5rG+822_a`%^|K`%`AhG=-2Zap@@a-K;o*J%
zpB<08RbjR+>+#Zy?!x|OGJj{zZ2cFNmfSGSQes7_9$V(j_IFZh%MLkj?MawX)ua@`
z)o(IYW!a&_TRV+<E*Nny-g>6~n1pVzLD*><n+wm3d)uYdmhJA}+Vfy$)gD2e*8W=^
zBHK;Ydis>V&z{+8e#<0x&s8J#SW{NzWn1F6e7y8yN#dg)eXGLHEc)m7dO~ll)sqKH
z_;y+>bgo&qz&TSUSbl4~wg1(NE>C4HDYgY$9eHkL_2j`kzMU0IoHK0#pWllYy;C3R
zE-d`N-74kbRKA-PYn}PxUQOs`w|eq$AK%i#(B30!{%!j;<FEOYoJ+3BJ61ZIt$RJ8
zIos;VqXm4G5v!atbpoH?n_qQ4z+Kq*J*!np;yk{aGgdqEJ$o^s`=-^C#5q!(FF%IJ
zCe2vnET;B)La(RQlY~WlJ7+9#mOJ)3Kx*?9k9wcw-(LvK_kAr8uQ_$Uskqx7#a9zr
zy{%Fn_ephnzIQ7%J2K~cW7V7w%lDivy{K{RwZLI3*-ab5+H=-iRAgUWdg7>-?57Pu
z?Uy1x{=O7$wyEp&G0i!Dk7X!WeD{5M_@kFI*Y#u>7V{(-5%wgRJxh;R=-lZlys<;}
zaY2Flv4@ZH>U$0!-YelQ_5EzCU*WwuEWQsNdzv34_B1~V>}h^j*mL;MWQq3P<%WFh
z=}9trWk)S+-t-qP*?2zY1&{a{&kZ8qj#+SgJ7S?xcHBau?1+U<PJiKz8<LL;Hs~HZ
zc>Ie*d%Lq?p9$Y=?z@Lvi-p3t+>>Nj+>>QQjwi|R98Z=J^Q%8%ku#^K(85CWaY5m&
zm;^JunY?^@vw8K_&ES<=H;XqjM*F{=d#b==f3D=mzAVY7_OHJ*D`>`?1Fi<Djotod
zg?31|sTD-dn0FvG*^Oy>^Gwbdy)@N=${BMHOg%Q|2iGDA+4&;!z0cGN1kZRsSTtk)
zfukD~8c!cO#G)tNEwn?Xp2zz^k)ciF>BAi?di!j;)+@9He)Rk}F*}n<{NN@Qy{@O6
zF+AErJ9O6gJlM2RtFhaE9;o@|`QXzAi$?9<tsg~G5~FH@YNkX_Kj6B-uCY7GnMwTc
zF%~`U*+M&Va{L|?ZBT7Iee~K7r>%y1_nsuw?CD%T@v*O*+OgS}W_&r@U$3?AzF*CO
z4O-DR_pRMo>;C`0T2IE0$fNwC3zqFuK3ZVA#bC`taZ&qeiF%hOtQ1?kob&U(+bxyn
z6Ay{Gnf>u)t%*4+yLM}maqHRMO<o&Teq<M|7Yz-TSUic-P5aV<8!G}N%``%|UyH8l
zE#<m;P^$G+(psml27U{d8JaChTkYyu-xIQeWwD6X(Tdhn21+Zgb+6yvHQ$aebOp;{
z5v_b1uBnL~PFoEk7T%Ir5+KVHvO<sTM3!0WRIaHBQ=OJZtPPNyrWL}iEwZZjDc9A5
zLancoA_JaA)h^b!|B`EJ!YrqyGZq9$F4GF(4i;I}dy?zwL6z25NsHEe`gC_y0OvN%
zkgoc-TvPQmL|3)ya!u8j5na_B%XRhWyJJb$L+|c$HF^Cl@}6Q?`YY+#!8d|mU03ng
zuzTT+P`1QiF6%1|3Dt-BwfBj$*=!W~wLSbL3-c{+&aFRx-co(<$j~&G*M9wvKo*nj
ztIwovnt4maOj2WgZ`=Fun(Z#DSHJ$baLzQ7rtC>>h4rDAB+i-q+3{%o>8J(&@2*y4
z`7Ko!^wC`F(DVydE(H;j<&M_zCR!{|6ywY4=yjHQ^q{3}hs8QYxxP#fDR)to`|<lP
z3p9O~a{B1#EOF25PwCNkw$2|MKM#DH<YBO)_T?STPR%(w{p(bxKA7aRbjO+i$#o|z
z7f9$lZ|G;=l<{y)z0BQXmXRd|abmGC6I}V1rx^BjFFY1L`)rAT{P(=<GauLawsUNI
zA#gNJ_EGUI0U=}KeY(%GHNRx6cVxL++OcRppWzqYuQsel%1yMd1dEn7&0N^Ge93YH
zM_!I&s~L}4m?lW9zGHrrXX!bE*p;76yMwkHhOV@pvFJ*yPuMFtHO>03CTi1`Epgnd
z7#w*!?C|;Zs%kzPBKo5%&+k9c!YO9<XUijYp}hP1cGYsN-`evaEn@-qMv=UiiU)IT
zm+V;G&SSpi=faAmsXY2y&m7{`-TX1;w2aMVBXR$&XAVp2dOzOv$|f&6@4@Bm)>bYB
z{_Ps?UJD#%mfiFrpgqUtk|KM5Y5j?#!Yetu9*geTwrZasx6|Yv1u^E6HOxzAEMHcm
z96Z_S{kJNw3&$Nat0Xm?&wty$=7(p;r=N){!~GI{n>&Tqm=$$Rbvw7g>(SY_MKyWH
zra!-$bR$0N3Cro8IY~Pw8n2m9>FnG#?N!OTkf*A8TBUuQ!DslsGX3OSKBMM$`!`Si
zdQQ97ibut5Q(j0(eV%pnM%2|Sw=~jjXFK~^H*j2gDR8t(_R;Cz7g$ca+Z@Q2kbml-
zyQhNXRoJZaSGJmnKaZ<Es{Zqk(!qIUM`s2{`XqKcsoq!<plPNVVt0N*x!cBQG0C!%
zi(2Py%1Cb3vAUtyt+(y;qW0WPGmc%$cz65v_dlZbS_gNQ9ql${G;Ph+n)zUr*Rmap
zCQ0gPfAc7eTWAy$utH2vD@1y_$f{;zuB%6VTVFkFb9(yNfA^!GiXr#DS)2%Y7PabV
z=5~L6&TrZY%Y77|>DoTo+!%E6s`=IKR=3*85rX-->nCvXtxD-))|#1EA98Nl4=u^%
z-oZB-?o2q!da~ZH<?o&p^?iTmq%1#F7q+Q8Pq*86(p=8pt|!mc{9gL)sGie{tBqSf
z@bW$^-V`J*rnu+w6~%k0S(g^?SgXiWZgS*MEbqobZ)X{s>jL86O^zI9=k<KNxao;|
zpRd%RYDeCMHx?=KY<4z1?pZr`|8!Tcxe0DBZ~1umC+?FH&<R-PQg7(J()disE3su-
zrP7zD?rP5Tx_eY+>AS>rLH@~cD=uEW{7Y?P?A0ri4q3}8RjhW=^{vtU?AFc^w;j|k
zpEB|PveJ*owD-AW<>j78J;JeTZ*Ac<!H)$e+Rw@^wVcajZC18T|68))ytOZzVt1Wb
zv_Ja?Tl<!Y#kxDzoUX|(*8E(5+w1WD_k!;84mm}tL}+!3c&AKEEL`#QsrTjhygfn8
zP2aN|K05LKc%)sz^s#T|>ZEOx4?Qd>o#GkIE8k}jDLnB(hnmt!_c=>CJqkmFCq7g5
zyW)~!v8?mNC&A?{TG!*FyNwm@Ur~Rdc(B5DiNx}D9_OzY4n^2*F?3`88=SL6u3o6;
zl5^L@suKoI{JK2Xouv=o64w{up7H*KxtG*IHqXbwA1=&zo&8AatJPGW4PmOmYXVR5
ztaj$TH~sU>=%s8d+E*KrTBQthruTIwMy}B0TDw*&`1X{uTdrOy_j$O3Q+SR+V%KD~
zGX-9!R@5t7w7$-ADDdUgShtGt@YD+lkDAr$KPFtwTY661+G}po`k?e1;Z`UAwyBw|
z`lSA9j+?3CjMa)FpG{g48`~mgtW`97W-fMoyMf^PwyTOwc~&kLW7{RFUQB4!Uz%vv
zl=CIz>D~7hX_*`ceRvnnSg6RuZF=O867R;15v4A3K3sae)R|>(X~&{|KEp3p6}kVG
zoN)VpX>vVdUG<aaQ|is%*R5>lJi)>rVszAb>X{EKuG~9a<ZRY@+-vTG&Y<NLtFB1S
z<J>#%d|h@?lI-+_xk-kht?i|IPItWGy}#uHYuvhheEhHKf<G4P=ABM`Rj_uNzMJy2
zs-AU^+a*I+8!YRazE0)$%RB2I>neBE6s>>vT-3g6!ne{S#(J%^u-{qru^)|PMYWUX
z2%LV}v6wSi^!I7|yGHBuqQa6?BU^U|MRqPtS-Iw&N2=(Ne-mw{oa*|pNlJgJs9>t8
zqn5Vf@0WL`ee&Z<E%nyY7SdR~Hz<;IMSPh0(oITx)~IQHo36jwvs6^HevZ8BuXOI&
zEX<qg13#+&OXE`Zy3JCr?0s8gvDa;$#oo8Yj!oH?+oPLnEfIdJ%y8e9w~0=f*1era
zbpm*FPMNfNF3r7nciJ{hxpIv><2`!0(G{V$wtd{R<?RF4%-cslU)t85zVxlhyfTfH
z#>9ZaaGh3lS8nBs;9JXHM1L~)qL~~0BKFp{i>tT1U67u6+qM7Fw)S^R-`1Pl6Wg4S
zSP;1FheXINwI4xenp{8b+;aBA$}PDMlQVB0+kI(U^Y^8>;__X)HyJL8_{R53)I63)
zH?K+}?2b=z#kbp{^;%{}H|t)W<;B?a()a$#ADf*NTBkM&9PD!FNUBsg@;tP0LhI7T
z3B9U~6Pk}UPUv=RoY3Cd7$C)cO7d}YeXQh1F;ja1r5WNJfjrzT6-(Ki_Czr&#%*jA
zSbxi*BRo&x$ZB%|uf@@p$vnoVJGO7$-uqO*@jAm|326?)9?lk>9=?{G9<COd9-fw%
z9*!0p9{!d~FQU)QXpKxg5`F)ILq~##f{USrz@z293Mq*R3Mt7B3P)~7Dm+<KU-{gu
z{@7GzzGqXI^`1>*mJ6HCoVh0JSLjjy!~9-hrY51kjnB{dp>upzz9er=Z-QCC8YAf+
zyPibvf7aY_?t((m#O^`?lj9Z|R!1x(Htk>e!}W-w+?Jf&%(G@<(|C0Y#hR||U;AU}
z%}Iy$i@#R<aX#5r<iG2h_J{j>zDE35pJZ$H?{!3dfAfExYmXn<_kOMT;h$ui`R90H
zsN+RLJ;{4(|GICKYx-|+&H1DJkt&IQe<S)^w?*{#E{o`A7JFUjm~1QOu|~Z&Bqr3L
zq|3Xl{K@~SHSG`LyT1nfS-nxNt-j-H#E)>0El(r*oA>vB-SH!K&GEzgJH9UY*u7)b
zh6|Q@l6EEae|4@of4CgMf7Cz8mdC#DYs8P#HOG&wPqO7(m29h5CmY^kw(Cfw%%9*n
zN0088P5=1e{gKE^Kg#Q)KWeX4eLXuU;`iDL@q@ea|Hd9&|2Rf7$3IEgV#X1-LXFPe
zhXq2jTa`U?%=*+eT3Spv@+_%dXm)$8XHMoD-+*wD*TO2t+UvL5dgid~o_s^2Om(B-
z8=s`j8<acG9_vyuV}CsPMof=)(q{wEK$9WotFA=nrXxRom|J!BCWHt{A3dRB)+Xqg
zBi5$A@utMIBhL~tgl2audgjQnsc&3*QQt8(^2Q7WLpz6WzDde2CLeLTXx-VnFkEPM
z>p#z&n#7q$o+ZQy&93im<n+3JY#ECl`)uJIF*%@yy=kL%Z|?nHjz_;M>3!U~=KhKl
z@p|o(S0B0EvJXGWvj1=5(eKKe{(oIPU%RwPOH=Z{c6hJW&8CWDHagwTUJuh23klp2
z`mQXZ(3&pgRN(HcF)xGTa5C@44<61rHdh4L`Av=-t>yh#;O~5C$Le}LnXcEbG-_1#
zE>vWxHf>4T-)10lL4cdx^vE$q-ok<i=NOrb0{rf#D!s|C4khkb+jppa-D4@I0w-sU
zZ<!p2HF-A{L^<coxhTM%ZhGXXCGW?AIOj_{7U;=v`(F+G5m6ZE%%PXbaWs`TamOM>
zF~6*iUR$Y04_3A9*s;tmKze=s)dL5$O$$B-@!ISOXf~N=-u2s0F`zCrjLUX^>H!v8
zi+`PB%RlU&ZE)~^+98qulR1t5=&R*CtT#)P{(oPe_l9Hm<B2<e<onz>T%Ua?^G|tO
z(9#!qx6I!w{I3>P`yuZ$<59hdjKzEX-L<cu|Jq~tXFXfi!~doM(*OG#t$yt1y;6Vl
zf9e9cfBwwAkN;0t*FAmZju*Dh`wHYt1bqHa+p%?}`4R)`V~z&u#~cmKj~z5nJeHUk
z&~y0MABpy6Rzp5<?qnG`v7;83B69Rfx-y@s{HWZZa?Ejq$uY-`I>!!fxHBiPZDm?>
zt7ckr@5!|0X3w<d?#{I4_L*tUQk(aE^=@vg_g_?>@`T0y&(wtqM|rR3&*o*RPc#eo
zv-0MF56{z@TkVadb87DA6nwaz*4&*xlUMGa>So2>`ZS%)E_n&z2kOV<XY#Vt=a>cj
z>AZR1gZVKVqY#Omt?wmw_U<<-VmBxfug`oUw=eriW{vx<PRS=pSAJ|yl41G3ti;#y
zk;lW;7WLc*uNR7SowDF=7ERyyp}bH`+O$xNeWpcs_brR=_FWd<Qg4$^&3|;i!?R_+
z=GQ{8Ci^3i8v8mo8*J%6sq1WYEb(A>;f;c18NN9Z?cCPK9zK*$mdX6{uUho}%KTMX
zt5>dHyEb2Vzgx}ZUJn-irl861t0bp#+)LXZ|MBjoD=KpJKWf!7S<Y`xstvtxtK!(L
z?mt^or7r5Kr`_hdG%Y)Gt<(2A<=X#Z5<c=oartj<R5&<!O-0>0fhU?zv{p+Ui4H5`
znz<m}PiOOvKfY?0=bRM#KG`YWRN=*BVSby!3saolUovxCpS121>t$n!C$HDti4O~T
z(p=YQbB^sW%lAzyesI?d=B-=vk+=U4)4{`U;=}(e)xR>O_@=3PCriB7xoev~Z`Kj2
z`6SQuSn2-5<Cn}1wkJ*OQk#6}cfy1Rv4-z;e<oK7a0%Zzf8C+>fu_*M%>KfkQXHpQ
zmZwJ6%z4z~rDic(|9Dm5#=x3Yi80v*J_dFIV)74X32+Jf@U$E)pL(tJP(6z_Q{wc`
zPZt^-+>{g%bM;A0k%o_frhwS}ebG`}!a8|hS8uhepF3Gvtd+G%)zML9qn3`q8vSLO
z>uQS*Pj`rLd2!KDLhXetNB5$);#|UC_*-0O*6}S-KeZ*gq1))M$b&^bzgc$tXbZm|
zFk}9UwRQ4=@jnbhR;`u#9rfp*f<kKjhP6lE^D{-&Cc77&vAFPQbC-OZN3!?vQ*GCJ
zGUl*<o$z3ST#(E)=Qic4jE5QB73;znKQGVo^XHV4);KE6oLZ5!Ky2RABa=@tMg`vt
zioD;Etov_e{I%E8s|#(Sn0ZYrq}DdJ&3g9h8PEHP_FG&golARlr2nqnww6`XKCJpH
z;#Ys;|IN403l1%b*&2W7sE<?V?X98Qm8-8fcfG4Ralx5i_e&11Xrbh<(BDQejEY_b
z*Bvy^NoyQ-W!_p~8MAds#MZsh&Y|9aR=vF{wURMz>-)u~0jqA^ez;2Y=&HS!AMqb@
zwf*(`^y0M(S(xqj+Fa6_7c#vw`EgQqM*LFw7`d>QHue0$pM@JI$o-IfdN8cM=h=<<
z=a$@B^(wlE_v_h;kgSia3Uy5%BgB6GRO{ru{~)Zp;*G6N*u%(`3r=PE*1Fr|cRo>C
zZ~oYIB@6e0W!}k+PmOphB44>IintK2do+kAurY4B-!7)CL#;6?#~+!`uDBc|;oO`h
zdT-jf3r%+#`xz(IyIu6ZBvIu#t5t4N+T&uqRhB<AGWF~{X0>1c>FFDJI?d`)Y>E0#
z9lbX1c~f51r|bxGm3J`+6Vp8Ap`^e4;=^Cxk81UBUEjaH>zBO1S=WvKj<>#^@A9hN
zWye3}hzk!N{?ZR>Y-@cT|3~F<){cLk`~J1Jy|!N;mguoVqjSZ7>xcDK3XA@o_mnzZ
z>$&-Z!=)UXDJJaaRnH#v_556*8}omW`>T$|i$0%!$zKVYA=zoIoTOH=z#{Y%H_Pwn
z|EnI|c0Kt2#;1K9P8-aZbZ}l;*Wt9mcu9xnrM?cQ4Yo@<IxlVOaN1zHq~qkJX&p`*
zEGq<(?6zy_2;}e_`)EJg+<cM1nR;$brI~jHTOX8p2)f%TFA_Mzqxon>UaLqUkGV3}
z!QKf<YPYT{a~<3|L1|x2vFRd#7*4G-{~Z!s6nBUO9hvCYtHBcN)MargS+MnilZRkw
zjol)FIoz6yOwPH9>|4O8xv0j^RitUMqU)6NogGdEHcL8=o@ncE+MvFq<Gr+OmqtBH
zx>J|N^L4U^xg5VQNa`$lCmHyI$$Uvik(@*z2V09s;JNbV>g_I;_qjC}&3W%G(!{Rl
zI_2}>4yO+qOFD|`WCA(nffV^Ga~<3~LFv>_KNrOcK^s=rvbZ+Zrf^5clxL+83Kha4
zE@AiDSodw<<5E(7f0!wL20Lru-Q+_|_3}@fnpV8&Pi#ym{qW+}rmLDhr&~pvUJAA*
zxw$0Hh>YnHzZY+~X@`!^o?S^U8a{?LB54v~bC&(GUn{E5>5;f%i6GBJlP<eS#|$Da
z>F`W4k*<yAUcAVD!W2FKGg@&49H&?|cN@Ro^rm0Ac#74*m{z@WQ#HNjChT((e}05%
zsbBqufQ8*`J3Uqk>x8{nRH+yEip5)WSH_ytQ>+dawdzSd3s`zCJ9uGtnw8H=VV>!$
zT}7UAO!YAc@;fRo@2*+ebdf9eOJK6dt`$=^dd>ZQxTwtKxp|zbu+N0li9cMX`WSdl
zb7l1lJIT_X8u>+Kcc{^P)f1am?Afa|Ghx0?_p00L>nCyYJ>in=x43(|`2K<o4hB1J
zH2=t-;hE^5;bXADLNMo3@Hzc++r-qLGj8OH?wb6$K}+rV?C!~*H^jC29%U_*xDu9_
zT(~S!#gs)_r8jBs62mo<O}M*N&mNQVEZz`xDQ3+i6MpUP={{Yv?$5twdS!da_QO*%
zCYpw(Z&<YBgl1QLlG9$BStj!X^t-ck_c%{5=~A6^Y(v;39iF~vb>5-Vr}@+>%ei^q
zXW#SmLEIOHs2_|r9P$ozQ_Jk{2ym_5QvI+kAZqDd-t?s_!V~i?v|SHKADZ_;Q1GHa
znYYwYJ<sGDnwer{9<zGif>(QdES<EZa))3ZhsqTJz1Gu#YyQ?7?U2)TzbLTIb@DUb
zhgl(yc^`Hy35=O$(k479?P1N9FWtNkL$-YJ=6x9Yg~7$yA~HtwdHj!?*Df95-?cW*
zq}}o;ce#+z)%}}7ZCsWv-19mzVvm|eA>VS*^%<=T_8*a3>vMfRLusD(a)bS$Hft9>
zwfV?;s)oD$#P^ciUq5Tk2h~5@ePMoImhK$Ih2~RabmFu*_AYo5P?*BKZ+^@7gS$m`
zNlfi~^1h$-Yug%$p#D`260`e7+dDs4d-bhOx!2Wo_5JCszL6g{EpI$}dT#2(^_D3U
z7wy+E`l8*n^VhLmkG7P?xO+Z%yk94%XR2%4M_KOn*WHaP-zb<qSUgWEfG3c-t$txv
zLgEam8xr?67DWA2h-gtZX*oaFq$O!en?cXJhzxH#&3RXZt;E=VEi%}BLx8)f-d|kU
z`d{Qx`|OP4*I%ElkNfD(`<CPAl(hJwf(3`aYwf$Nkf$Yfr^Zn=&y-zt-wUDbQ)^e&
z1}E2g2X9*|SgyKOvi!)}v~^cEADWtCmvOEBnn}sS<=bA=i?rxBnzST^O_`9Cwb`^K
zp|36AjOgJR3c03N_cU;^R|m~mA~^LyNME^2rD@gqn&fx4MeAQJ{v{GNjhSV&m*>^X
zk5=CZiqL%)Re5!P_r9<-=e!b|Odn0E(Tv&Gzt8Op`y{^&X5khGf-*a!MHVT)kFxnv
zWGVJ8uKrB-gg?2wg3p6hOoO_N<9qZfAN6zB*heU=D{eE5+Y%9?b#u|3Bko^YC*3-9
z_2a~p$}j`vNjfLhtxYE}e4IR2X{FN5laIJk<Ieun&zmPA#-Y~6GV4&w1_y)9t?T!2
zGwDmuJ5jn(j9-Jr{1BJ_voIIM9kE)MUu@=kZPJoZyHc_K<GN&LONR}MMAYr=rmJ1p
z5u(+pB>41lxR33jEcP!G*DShFwH-7F8#q;8Z<V(622URD#A}SU-fve}gljx|>XgF%
zbJr`mliY%pFV!Ml<Kmtis{DA>DbCFOlaou_z7AKvxHH#(><p^?#dPrIgafNz`9vsK
z#IE|d%&B+3{?)X&dc_LyunMDmWv+v>FU^#%NlO8ZVMc{9`}gJquVA_D&AU*wRrV>v
zLBIbmToZqsJ|MEK`*_4Drh|QJPNYUY_&l-W&~qih)<*>{i55bEIx@W)W__+A?8lY6
zx`hRg9zNMI>DbB-jsb6YqAMQG@6%vOSK>N)v*S>K-W-F&>oz1L)|W?Y*r={!aYI@x
z<_$Nu+_&~t6TTnHnVtgYx%OxEd9diucAYLUC8$CnljXZ=@1uE34DU=e;l69-Vk&)C
z_3Xh&&2`=9Cp~*OdCAg`hE*9N`isosn&nk`AM9NcxM#9S+xCmG1~&a(V)I;8rOOvh
zYE}<CdGzs$Cl3QdydE#KFMm~k;yO#D;oMgzx|7qcO}}I@fBLGR8A|tdAKhM|>MQ17
z^U*i(fAZ|3kviKfB`&|I{^Nhv^taspg5{!5P54S52n&AX<=t0&@#eGrX@Q|fclT`G
ze^sHW*vh3au3bX!&oupoAsVwE8Vh`!bK*?Bfi*|kQHviYI>!zg{FVoeRjplovc7)R
z`*K6ECig<IuJ%H)Hun9$U61zv&7UDssUiRP-@OLTW3vp_*9ku>{<r`6;#`jIJ)QlD
zv47Ui5{S7Z&?PK&sNm`LnwQ#w_VSu}2X@`hv=pq_J1_X4`3p<onqTiL%41`kL(D#S
z{#@vn*!rNtWub*s;13VcKpmMrjhZE08hh%a`!)8&^J|5!-KlruYG?mB*QL8+Q<Ib1
z=5N|9s&%lg^%T2N>!|{Vg;5qaI?HQRP5)Osexw)Jn=o-npw1MNHr7dLiTjq^oFRH?
zmX!6gsDd5F^Jleh&H9-1?Ocsp*rdP5RW*;XKfWGv?Mh1UjQf_W1a&5u%**#oj!?-I
z6Z4ijU%!2cWyCGZfOb=*)2FRIAKba*L+hPS6Ap+MYae1dcq%~YYfXflaNrM3xj>C$
zt|Dz81&<zT=}1bhsCX{2`ViCMR~r%%eIqs)8tPa`2#Uq@@NmnywYHkLwX^J#ki4L&
zBEZ8nPcFtIvBX7DLO4+4n43sjq2SR&KRS|<ohqJ-G}j+yIy_-RLSjb5216Yk3kf-~
zm>v#pIk&b}ld6^?wRuZsOKd7Rl~BLofsyak8&V}Hvx;t9^89jubzyAqwtw?)S%qyY
zd-nL%alNcV&ue0j6s%Ryd~-$7?)!DcF8x@S^fgYoyfbf!#awnxtyttNw(a$V-rQNq
zkBh^36K||l6tgR>7j$0M^rX1nTlnI!SYH=w`H$Pw7ByQ-JxZG1R`FwvVx~>N<Yywg
z{!N}GU=u2yXPnPGyR;)=GGAcHfAv)9483iQuXnBBIbU+(kelqLjgG}vMEXrz5?k9M
zek@pb>Y0=Dj)k2Wk0+`nE#$p(#iis!fOAaFMFIZ1CSPYB`>fX(7+-Jm)KS*1s_u=>
zYM%KjSD)TH9mJ*gJuYa4nV#3G9Up7F76#1;S;5mTva0na*VSVZo}%XKertzx)p1Q-
zxZY{&1^0!wUWkSMRllC55z_UNYii;wr>zE|3vbO(TKR9in~2s?mex}SfeWK%ED6Z<
znHKVK!C{})Q_E$zrY80|Z8ZpASbuAV`pQR+ovK_@lU6#VMl1`^)d^o=#^$xEV$-tK
zPO2}~1!z`jgtX1$y6U9gnzeZQlCE}JHjR)b{fnC?tQKh&iEBH^b@h-yYgY1#C0*(b
zZJHrX54ol$wK%0ptPIfY30h(1=Dn(-=jjF~Rf|OdKfQbw8p#B&5bM(pkycj;{Zb#e
zTvY3zWUE(U*g~T@!7IehX@^LQtAu`u2^Y~i7~1Mp7_iVNCv=5am{y4N_Qh|{)H{`w
zUU_Y=y31{0(3;Q{Jg-GowSMKgdQ8q!bnl(GR<8~I3xnQ-uc%qOIzYE4bj2RMB}D?Y
z_jOyn3W65~-3eI1b6s>*Yc|)_W1*g+wvR;XTfGV*7Y4-yt>6(CUDYbib@kZu1;5&-
zowE9$b)?VFe$9`#08#rpXRahv7t|_#`Q~f-b?etTIj_Hb?vfYve2|nj^?%FLw!W&Y
z#JP>PBGxbc$NZJeYr{<k&217ZT65X5l6Oz*D%)}#vM<bzd27J|hg_K}K^HgmNogFc
zVP0B4BWFR4S@Ht2Wtl4_CZ|hl9F$>RIwN}l&u5+~=R0|>9AnZIP5zO@tQwKDpe8SQ
zK}}!sf|_;73(UeYR!V%<m)3}9l+rkw&74}1w?J&3#ERbIQCiP9&ht!p(ApTZ$0VSQ
zoh|F()W%yCi<c((eZ1(vc~45?Xd!c|MdAW6KdBYH^}$hE&lH~XPI=JO7!+d?urJ9Z
zfcrSlm1Cl^U*|L1r!Qbh=biGXr_pGRSpc^@&y{1<x}uA(Dl@BAWGoO_%{wJ=YGc%m
z%mrp)St}(@r%7rY^kH5)BXI%GW!@`?M3}c0x_BS;*nZoAvrJOss1$SRjl>0F+oV?X
z_R7|WeRLKTy<2}Q%67|!c>Y|OOOAzU^4FZXxxZdGru5M!LGaVdq<?wd^B??O(&Tj^
zeqm5e*pdSuJQqg2xc5?DTdON8nEPAFuehV-T@Q2Are_}9{J?j0%(8WBla<XpqKv1N
z{|Y?%y?fRVkLXn2(ybLeo9ok66(rWMi8x<rc$itx6vOc7+gI_W^?n~BC#wISxM*FM
z?T>!3xxvRDybdr;uvp9{=C``B_jT5T2NR`s+*o#QU3+cT1h@WktArN*SaOH;>1&5n
zuPF)s&ksCOW(bN{q{tF%+LF}OX5e#8z%KQQfb?e5BL`!63l069ZRT8<VB)umyFF>S
zl);)%X71=K2aXk*78LmK#>mu%GV{A%QRr<x6?X7LVCBCLj^QgmKMY%GU9tR1tWDr6
zxqBL4P1rQ}7I@lBGxWSzGy4d$<l-jpCk!47XSWHgxggLrRq7CX4e!PcQO-GQE()+`
zn;to8#rttXob#oKWqLAQjadgSg!1at2=*U6eZbAWl!v|c>H*h$(+?Mdc`v;Xt!KSD
z^{npNn>@c*<^2Q}EYoW3ovSi2A-Et#r=!MiX#i)Mc1V{c*VOVLuB(S^TC<Y(%`xmc
zyXk~MxY$n@AF*7YsjlpoQ!XAYiTq;VEOvQ@=2N@qzr1X&ySscA@A%XbchO29f96Zc
zx0c0Mr#_06*1XfP?HZHfi*=pOg`UFg`bX<cjvQ+BYpkB)dTHNEwZq|&RxSmh?HcP~
zoAFvdeO__zV$<o5d%jL|ZQ8f##QDU?lpQi_&&!;5HotkZVf$RB{@*{6cK^C1W;0D_
zh5y5?IzoFUx$e6$-L*}8Q^v!cDrQSJIEZnIol-g)6qypC)Ga3FestQbsxzHpp5DH~
z^<u8<^RGRdb!r;VGS>N4Lgi&YS&DZp5j?}8HtTv&gnBp2>(q%!%{oRoQ(U=cr(8Ui
zrs*zXtlImqVadlZtxPjN?^(^plb$_Vv!v2OJu`Dof7V&eoT(;FZ!b0r``h2)3`>er
z*`eDlvOQ(u!(}>AJ2bk@*0G8k?eo~^d`k7Oo@f2$4bh-chfBpD94^J&nQp@WT}8Kd
z_gp4^vyw9@(YK1;&iWDGSh=}IyylsDSLMq+oOa@ZPsQS9Z)g{1JCpr+Z{qVsS@&iw
zimu2!Bo|l8>BCeLWASYF!JUbc0(ZFiG#C#o?ufsy$#GDYcVWdU&)$bK+ah+X^*noQ
z?Sq<CJ{s@pSNFF)+-KF+%Kl1X&)b!Z@nNePyQ^Q-R#dF%n|MrE^+E5$ol+l!!lq5L
zIJsWi+k4K->n1Ia_Ntk-@k=E=T%~3z{eH^v^Sc|Szgqmzw%e+$HT;!?S={QzX7j8E
zkJ_r_<?gLYUh;8W@dGWt<8C~>t+Nf+eBQ48Z;PSxYNOJceLD4a7w7PQs4TKP@O;@*
zhQrgG6fQ?hcIxL_%5X6CqjP&r!=n<*0|l!jMe8T>Z~6KD@s4Tz&wp6oo9Z9P!cjJz
zS)}?DW82==hW(G{wzB+@eXqr?kv5%~XY(J>DQv|jK&P<D=&@_`nbdB7bpCVW|M?rg
z^K4!mC0zXf^uFuwd)`Xc-xjtp{`TY6cf0SEWlvYl_bq3x%`E-?GVkC)PX6Z&`s{oK
z64f@(=N!MT;jQspCg7Zth9YO`l-7WALPB018tfBVRNk$+CHrmN*R@-Jv-jV4|NH8y
zt^1<3I=#KHer0q{{p{1{w(MQo@y6$|Rs4>gzmd0Ay>Z<b>TY~Hz~}kJH51;{w;tYj
zPF!!fRs4!8TlStfcXj*PhBq<s>5^ySRxHhb&fPyb(rUB#`c(V2qE!_!hI7-qRK+e=
z?)=<(`a+W8(UQ6=-;}IBuG+@5NqGI6nuXK&=IYx#OV>RYw`bblurs}9%)~!i>u4KR
zKQ!3%xpi0Wrl=JCEB7{?O;LY$In1H#QRCct{TQ~=eIH)T-&?VC#?Aaqmo|y>iCe|*
zh<Q`<uyFBP*Rpw)xvVMAch0%0Q2nrI#pl-JjXIlec3<B#U+-3^&AJ&k4<8lko@smK
z=b@(w&$&ZAZg;;qQhl52gx{X6pIc9NofDTkz4=U<ewVu4$IbRHzq$KfE#104)c(Iy
zXl`+CX>n=&*Y2%X^{<+Jc*AR2@bnJ%R^C%KwU708{q^iTSvPghPWRyK@6*Jks!H??
ze#mAw92Tf<c;)hqq5u8;ZEQd8)cn3*^856phG)l)nJn71acAV;#Zkf0--~j2{qOxK
zzx!{i>Kad7u2)iV9`#P&d;c%K9shFT`S7h_SEo<=@uT<u=G*c0w;x$`*Qm6cnV4lP
zwX1pj|J}EI?UpjJKh7_=R<GN+?iuS=&JaP~n*Yc4zxww4?Xq1PtG};moAtbEOUe46
z=$xmQ-#2}J&|81^ZTzz&t53JTwHE5_`?&n>KMVOQH^L6>HUGEfw*Bdo@3!8({pPOu
z6*JTH+pEf#f4O41RX^O^Y>SzB{k7epXG_nUo9z#}I;ZaNqq3K#+Pqo0Z{osZe>dIw
zxGiPB)j8ell;XGNtFDOMK6}>u->%#7922kleqCF7_p-c6An)^I(yDX4da8D=+IQgV
zx)#ft>r)N3dc5|Uas29~-J4X_tXmf7x=O7sn=fEy0Ask<`Y2C_+U!{iPq$@lxn^!!
zZ+hJ>{`=>oQ~G;9PQUvvgx`BQSMU0im2rCUA`hp{{@51v`1Qo2c~|b7IL`L}^R;WM
zzWjK(^n3X7>F;J_=Kl%ZZhzT%clh5uD_>pxbxh0jgx^|`M+QrSI!>n~dlct}_U;m3
zRSV@RoDrdUB(>?zk8gsDw|sp3bl$}aH!fYcabpS7vHFvYzWQONE37?Pdvs-GteLBx
ztbZ}X{EFUYm34kkOYc}$J~MbDyERrXowe_eTQi?~>5C$tcDduFFN&So8P)42ub=3(
zJj}blQ|j}~B^w(~N^#Fzw6SBQRQJq(dGl1i{Js6@;HsrtS8a9ozRepFd^;-o?y6-y
z7k5f;lel{Cr}ZJW`lorvlOj$Yxg>bg`Z{;lL|ZH7UsL?7O?9*40)symZ#~Pp?_Y0@
z%sI)P)0NF1e;iAw(Ub5w;M&Z2GuuEg{?D?MzSWtYo8x0|-&>NKw$e-@ZKat^+DbEp
zw3nM-o7dlaJHPzY^J<fj?bl4rwuEPH_qBerF?0Ib{Xd)Y{%;62U$M8|r*8%C+5CSS
zZvPMSy7KN#vrN&8sqT8VpFMOhem?PhdCf=0^Pe=HWXiePoLoFZ{<Frz!gaP;{?oXN
zxNS};x3^b5`Q$8DdFPDs{L_^p1*-i{`_3-zSY0VntlKZN@AOvpT&-fqc~L!2CLZp!
zDcU*3U2glQ6VJ=!Snr%%JYjdGNMXHxf6}|>frpx7s%!Y3H2q<E{pm!ai`>qhGs^ve
zl~0VV`k9VB2|RJbrb}YFyW!){8i^I_Y#G^$9UU7xE1wu>_Fvhg*l)A!$;9(lY`A7j
zb633lStH3o?r6;ncTU^lx15$!xVgB$oKkMTSNY_UdcV!DXI}jlla&o??KRXnYwa}Z
zXCAnk6B>H=lEeP{Q`V#eN8J9iC`$aQ*>&?(vSsz%r9WQ&ofK%4&#m*{_vEbL%dGE~
z*1x^_;_9ONuVUpQ_TKstwf8)KnEZzeubkx~YmX$a4nMr^s(Q}P1C!#~MYnxf^`(Ei
z==#3-319Vu*WOE>boIB-wqFgJVe&>-Unwt(tUY5<zuN!DjcIYyr*8YQ>STV~+6q(Q
zb6cvWM~1yOy#1>7Sw!uDnAQA}X<r5Z=Dz$G5xUFiRQCL<X0jh<`nIpx__AZGne6A4
zzUno3l9yxHqWHqXYxnwE=WNKF-fQ-D<H=>UX17ZYh|T7UOt>s)oMV-?%vb%)rYBb(
zE1y1?b<_0rGTCSKH@2LPnf+}>`enP%xmFL7XY)m7To&xku}a(MtFE*0$(8g8PZPF&
ziO#yrH~+?#(?+wygjf6ij@jHJlXbJ=>awds_m?TJyHRo`b++G*FO8PV8Jl(A2Aw|N
zJ#Ev~pf63nyK9m!%RSG%^?Z&mdrj_T#q``LUAbJVXIp&LWi~xAN$IFpU7p3c=XlVz
zD8BbwGpFn4-b$YD`@1IfGUM-Dt0xV<?lN0m&bVtfx8TO4%N+}+&2eMxi#XLM(|2pj
zX~WsyEb=bfspnWdSmN70XVc4$<z}*<&ny$J+w{aFZHDUd!bbl8*A~fE-P+=<Kl|H@
z+{<>gIaUukm)<P&u)lpVYi9aoL+1J%tHcNQXBE#fI5_F@k^}D3HVL;on!PQ$f9cJU
z$Gd!g-`Vi8VYZoU(dlK%dACc>$j<iL@!Rp_=ErmLR_5j|$bV^FpXu=L?4Db**w~(#
z{l40Jmbd1M<H^srMa-^-+g`u1=9J8rgYxCS4;NjI`JBc4_nt=O?cFDC-}B5)IKi%Z
zKEAttoxAhf^Ru&7PnG#~(EhDkr_X)i{Dg{k6W;8-)VAu2>{>RrC!a3qRhy(#rL60E
zt1J7}@nrRquJZM(>i2EjS-r~bd1$G7-Laa0dmGx^LXRGso;^Es@$qMuu3U+@e(A;p
zIaAy4_GQPWU7NE0Y_IOxufAH+M^A?(%spyVGcUVrrtXINv&&?+-QIGVZ}ztvnV0Q$
z=U64o^ld-0{bdKMne65Z%Y?-ui(YNISG3{QQQd8s?W$&PH(gm~Yqv3Tex;f0hn2qV
zI@@1%h?>c6zO+m@EVAg;#)BZGYqw>#pU=7VH0)hq(#&r+KF)Lf{btL{hFCM%O}CdR
zf4fm~CT_Ohk59J6e)Y9xQJWT(75>&qT^)O;Y<Ahr{L6CeIk%oK@MV|T^m4*sGugr;
zlcqNKPOFpHbTdi$T13v7ux{TUkD4c$Pni04VcOiq@28$QmKC>hov(e{<r@KW{Zx9-
z+n;CCUGVMs+O52%TTOM>uj*Xg82bKi;C6cr?ics2EP5x-x%#)uA-!xb;reSIITx>*
zHtkl)x47SNCtE^4{ZuynD$z5mjK__49^2!Mml8tU%_K?<dEH_?nmcbO%|6ET*ZaP?
zz9Ano&#}}62P<k)4D9yus3mMTxMJ<PgDZHWUoOwL^7+2azfx2p?JM8mwf9n6-bBB;
zW#?wL>C0n|rT?DiGA{PAUlb<xp{9IW{hq*0FJ4aIy;HG1rS$5-&8d%{T}n-E_p)cb
zc%c0KRGs+OuchL${@)4q4*tIFzwU;WCB540mN`0q@Azc~^j|yne3evI+{)FNwT8CU
z%+g-=4+Di}aYx7hnz&}thBtZnUiSMwSH4`%<gIMw&U#H!E8far!?l3L;_;rV=U>(Q
zD|5GA>hRA?|8xI0JWOADQ?D~~U0vVRw#T!xqi3&vB^7jNRgGM?e7L4}vfxIe_}5D3
z+t;2*U+}ir-1btx-nMNfSFc?YnGtDu;^E~>>uyVi1jomR-i`WRq<i`kuMXRi|I@c_
zSoe_CPV?`X&~zW?x7@vJOGC3*=U?4>;F8|am8?nAAH~#*m1~|$4t#my;@{SHH}ChY
z%FWQYu)IV?$B?g<_t?_;2P?kRuTKe{F{`9YVu5pe<NK*O_irUMPGl;r__NM0_8r%i
z$MYO&-*KBfXmoJiC!TSHpQ*P}<3fx3`z+qFpC0+MZfV@P$Q|&zS)TRPCzl0s{;aP)
z`z&Cz=QMfZyf97Bww_hW*kb|b=C9gsE_(BauKr=kR61j|_ygmJc1GtC38Rp9!NVmI
z#xd=j$|f`FRKN1_TIp0M$N&Cv{G$H8mBH=YOr<~F7RWtkeO2tYfH9ui<jEQb<#QY+
z&$c)S`|Vw6dLwn;y@adT{;yb9#8o>j&^ylhs?cYF-ES7D4Y3Opty$`&HU=*++|4p;
zLv;Rz<2HK_uDbs2B6q;vvh{Hd=VSKXXE}2*@kEZS+nGy=XKH2DVyZsRFa3Qy^~2Oh
z{w%d$_i4y{JALSBgN_Z~sYA~v=rHk}R(jH*qqJ{|>lwpH6`A_|DcqC0zO3fpol~(t
zWoN{Z^(NO%mc>v0`RVK(!SyD~-RIO-?N14fI`T4oo3!++t3sc*D8v`8S{*ejKJ&qu
z-%Di|J#GD>|4Q^m*frm-D-Tz1n!G*G^z*-PM(Z!rHhAlER)3kY;e5ML*yH^H_FH?!
zSKQjm9g=&Cb=9|5Org;wjjQJFbV%;wGFkY(UiU4du6{`k>+_qlRp;;27d-lRRa92^
z$1U|iCyH%WiUghQpX!uV@h9!1)@GF@%eE~s>(^qpJ%0F<<JCU_mMhv$=TEbd)#O}t
zcHZUB56(_9&EI*>F7w=9bMI?=o-)3j@AmxOY}M*RlV&V?7plt}s$cm1>?C!r)P38w
zPPA^*O4;W1wlGhcKV0!n)#fLYKdEk8x^3&yeH%@G*Lm01#(v)wmM0Z-ak1iUue%z)
z>A&(zLxWHL+wwzo*ZTYGC7u}UEShk7$|*;=U~TrFKGpkE{HOi9z3)%>?Z2<{XU#cW
z?)JRs?b%7aJ8rRWH(4FC`r+rSn})f2a@D`(zAV++W%4uEbZ62=KJEKDk{f65O7~xU
zi*HV})r{#{?UhTJi&xfrwSW1Un)&iyQfO`VuBGesx0q~Lu;&cla}$HNbB(UX{M{t=
zJiC6{s>r8PLspsX6<awga^7^lr&YXXDmJG~o?;m~@6`?7nwY$(E7NRWf936|Tz+QD
zw}A57pU<1GN|xInyNM~|)jzM$Ut2GHem{k$|D|~Kt^G9xQDRx0YTR4BCb!l{tvGq;
z`3fDTz7tMo**A4qg#3z~{p?G%DJx&rnTJb`#pq?6dA#UYjb5hCgH^|z)@ABE+I=bi
zz_R<_a{iwS{eJ6F@Z6XA({c`bGeyPu?)Sd=Ep@?{*}3`uZs+|!C2{?qs=TPh*R%gu
z-`;P?Hmyd{<EUTd<|nULynJx|pTUZK+oJx~-`>0J*U??SUS0dOOzx}hRv*3Co-JQ0
z{HD%WR(;r-sVw5R-^qpxjodlnI}h_s+&!l<`jOo3BNg-SD)HY?4!xYZw8b{e<3{?<
z7UpT57Ad_g%G*3F(pI+!@6(Wt>x$qNHO+kXeb$u2)89|w(NA4<VA6KqbBP--?$j}|
z>Th*>zWG4?3#MoLb2FMw+XcV2*=M9V|F4?phhEQCAJb6fq%O~+K4vRhJoBgXM65j<
z%B%ZQ+0oR`Uu*7FFPFmqrWccB)gsoMuIT<Mk-pI`S=TI~<7nHL+RZ6`x*ON7H@kXi
zeaZ5hx9(h+@@)CTRyMhRtDczE?%cKY*V9+;LVa0(O<$*MwWIzp!^GV@B0G<}D}9$#
z`P6VwiT`EruE6~LAK9k)t$e%vPQ!NZZ;G<!w_7efPm|q#)1`5jkI;(aDUH8;1Tzj?
zxpch!t$9%W-feQbOJ8huZ)dD7mH4!jPx;$RgJ;rvy*L-&d;8;Fz+dmy>$BpuKis`v
zdS;&Ml1bkklKJjupLyE8U%OuO+{v!pKkU5@1ufyb`}ej@u=S1mm)^>YM3!&e79#!o
zT;h&u@9fyG-s{tTI6QxH?^aCwnok$^pEqtdlnT}^?_K#X@>o~tzo2Bk-zLwBKE1y^
zJNk3XuXLL$v&wJXwtQl+U1V#>b@On;hihf3`aV{MoZVrwDltLss*zg%yz~;ez4ZrH
z*i=oNSQ&D>!{%4L#5{L>!#8Wc&6~1F?)=iTPwuVvdK4FSdoGi+H^1-1!xOgO+I*vr
zYt6M8hqZcFiZ&g)^2s4ND|f-h27gnrO+Lwj#-?JMLy|eYKlv6*&f)jAcV1%H?kZIr
zxx%oYRqFH1^&1;1rMPFV-q>L&)jf0V#+JyR^~)-GPPJFE>Sdfsyu1F>nbft%Y_4UT
zd2Zaxy5?HM39Vk&HCH0eaP_L{oc|s;zyH(O58O4g?5~tZEHj+$Z}QC0IoZzJL~KJu
zvSPQ1*v5clLvE942KM*2G;I92jFt7-Q-0nnKb9Cyx0L!kW3A!*NGa|aD>qJ9D%EYV
zX5$QJDQ}DV8TnBscGX-y#Kiuoy^Zg;>9bE$kJ)^C>Myv_tX}%anv;uL&KJF~G_8Dc
zs_I-~{<|qJ&x_|@opD&KcW2JE8OPOnf970?IKbB1y5@Ssk%-=-JQpII{?}ZN`S#@S
zl@-_hllf{*pIvfKmfLIk?6P+<qphjfr-jFq>P~%~^8Ct*?>@=(oc^E1gVvt;baZ9l
z`>=ljrmz04Fr0o|>T|^s!};w}+&>(X74K*3JZe0a^e$WHVcfn<yZO&&FLT?w`=|5O
z73J>9dfQE(eRN5-lh1z6F=O4v37JyeGgfV!p(*7(W6t{tjMkqMv#!iuVz~9w^%<vG
zdMkUb&p2<<%gS>l;)F!6YtOa%h%*|!sy%1SbyM8!e0r;tO`aLVB<meEd1h!*-_`N9
zQe-ozCwXGwD<<bpClU+ZO}UzN#rjj#JWH8c+wv8s7t~~C&7J3N*Z=RnOi*#$zBLn=
zD@6(o`mY?B?9SO9pMQVil`G=g<@Uep$jsBSQ@=6aoiG0B#MAq1KHZq<ZfE_eUL&De
zuC->OyI}NZjc1qR%dhO+>6yFfMhw>_|1UXO?0nMocmG8TxCSJI_F1esBRE~u^3g^c
z_nK`_JPyqLG<kQ92D{wr(~VM_PB|XfRV1)!n~cjEO?JciQ=40^rY_icVB@*$c}(q(
z*H6D@`*+ESpE89%)ZAnAo^%{PD)VvY6lKQx>8JhQ6)lpfn4`mPxBQgjftN)BpF%A=
zETZ|6_UW;6K9BqFes|Z_=38v@rlzgj`7CtZ6t+D<r!U8EzkhYB>Du#qZ-rdFbZBz?
zcKd>$HNTFoYHPdoYucCdKmKTNo2H*~@}09OVDoaFD<WFm6RJ0Ly!g6KBWc>++yCnP
zIz9fou;1TTuO~fyHQVFDvk5h3(mn^cnmHpg4Fp&7sHK%2zv|a3B{^-+?SCIkSbKTT
zU*CIS@lLI3w_QK(e)}FfHRxu=!hPE+N<%wKd39d>&^29pyDq;z@%ZBnU%qd66|dJf
z=dYEW$^3s8uN=z$x?Xg~rH=_K=34svm4E9mW);!6)2d{~0_W-VKdnN3XUP82xsrH5
zNw!VrTH+BQ*<(6a6A!6JX~!<hJd?cZ*cC3XWJY5XF{9vQ!ObSi%6?0E&zPM2^6~mq
zsp20d*LS?x_`tt@xnn#3SC8rQtiHTh>OB9R70-*shbQc_>UpvJ@Qi;}J`xMIUP|40
z%qA@3O#0GeOktTiPn3IIZC=(_<lQ=#G%ri%VPs^{+%H9<5)s!X@vIX3`u^7YoqiDs
zSN>mEc{rnSSC8O|;}MOkj;?oLKE`d5GS5M|kIUrQ3<u%9*Suk;on@<dt}Q$-Aj`&c
zHSvUjtQ*hu#4{YStDaWLEi=EwDQh+7%y#45Q}sBmJnV3Y)#JSKc#}izIu4Tu(e;gO
zF`^kqOdF5Ys9$J#UDX`x_wvHyEqt}-UKl)B!{@y3TH+B)*<*9ACmu3?dZAKx@AkYG
z7apcnS{p|^C~mY`BfcW)7T1;J#SXP*oF)mI9h|puguG>Ck^0=~AiV7*Z`sbJ&i%Ko
zzU*A=yfyh^;)!&tkk!Sq$JSg;JhaQk=l$BN^;RV}Cg!J?<-ZkQahS1jmyF1Y<BE;H
zWW+KKeEoFMVzHtzi<DvT0>jNLvkZ3E*lFxz-Wq(q>A0TkuQ%5c56H>3y}6orWV%()
z&E<z%)}LDVJE|)GtmgU}F4<?(wi`FI+KH_=Y}B}`Mk`<|v*?QRT#apOgffm~HLiNQ
z_#VgfN~<sRGuAuL*Z)7Ajalr~M8)nBiH#BMoZg=e_L^RMbs;f!pXbfBh7Jq(m|0(a
zn&@E5#(5=ug9CFLhe^r`2jw<Sle8TU!fr2k%YMeR%e^jrv01-9@ltg15;@6B?(KrL
zr4pC@+d2Q9Uidw#D*MZ|g@;#sDofjNAf?gDM|{O;b*8Tt+VuhR*Rx6$#VruL&tdYc
z=^n@Qi&kGG7CX<EeHyON%J}%pi3uOAdS)y*+~Qd|<DS&?k{1P*_m{l6wnI0d-I}R%
zr*=SpHPhFf`WGg+Gxb*J1em^g)myN0k#m23>5I+A^WSXQ9e-Kb`P>VGM{Rt<c~hPL
zZ{3ofe{JF6X`j?mHym(lthcIB4VdoC^wmNqV7@X_uZ7-)8NyYivD;o<c+4&P>y4Iw
zHkWhR>xqKZpA6p4Twd~`z$*UYn)G*n*80hoab9`a;SjosWtEynV_S{Rg${ofDdW%E
zqm*N+USC+;&v#Wyb^f6(>EA9ZJO6rZkkr5@Y^T-kc+EKd^@T*g%E?9%4~**@t=0&x
zI33kkwMKZw`R7c%5<kCN^-6yI9#tAE_B!`N#3JYUo1bR-CY~s=HA+gATV`8a^Y2aO
z`8hMzXIwL`=DPAQ?x&UIV!iLIuRbnzsGY}Q@@Snya@EVLr8Ac}_n)--VmaME?AG09
zuO<q9K9xA*>zaF3B`<>KP2&-}p?aYqnW?_lLis|==3ggke!UF1t8djKIi>yO)qM+`
z+qeF_oF4w$xN%jq^8!6?)>V1Djlb52XB=Q_bjuORIK$VdmUDgfvrQiDa^9scK6mmt
zhrKdLn#z|PHvO>1YrW{w70XW8_^dx|ZdGCte(xO5s-KPv_}E!r6*(-B`_205^Hc}r
zZ(Js6_5E?N)7O{2DBSR;&3oZ_McKAF*ZBJ_Lirx+tlj<D;Oqnbr_z^2tZL%z+EOkg
zmQ~I+di80egYB}{&x<M-%s>6uqjGW3i{dRZYLS1BPn$k7<!gL^oyGDCiE%$oCOK{`
z5L$6suCYqyLU-xR)y}4I&sNX6R)6ZhR&B{#yA7Hf7C-)6zxVB##Olh<qlzCAE3Jc9
z=ymdiI+eaCjBmFSFO?{8Z&%!2vSQkHtDc{J?VQ)G>;&)d#cs>g{eEMO;e1~yZi$5(
zC#2u9RGOEi^Q`ljklgc#TkY$z&OE#w^LUeCiqE^E)Vo<*_+s5&UPxZTS6lVcAYlie
zbK6UUq!oP0Z7&QG3+nYIXZG(~vo$97g2^-EP;0Y<u47JW**Zy+jwPvO=p@EOF1`Cj
zwuPzrlfm9N_S0pok|h=a+q5;D+GUwaZ|Vi~=T>dh`(66NaK)Q>=NFuR@IN#xV_Io@
z=F{b;R-6uLtn$&c5C15{3nHa>uP!{lp(vten{iCLo${%~!e|@E`gS|v(}{(%H^sHv
zNuNqAyt`v>j*QRzbD}>stf^1+7yP+0C+$Q;Bk$9f9t#gg$nNsFvhcX2?5e_mcE<2h
ziK5_kLH<&S&7SR?)~E07@mYA9Y3)-v;nVkcd}p3l>1Fk~7IA{<t&E_ziP+|#WX|j&
zoxSVJGS4LDuPZ$Ja%yB$U3k4%^{*1yU285aJf0=H>fd5#(_hnj4@vS!E<fCnXw@@w
z@!^)AJ6BJ*mlB_)``u!d;rwJNZi|&0C+tgo9DPcOElVeD{V}1msj7!g{}O)wFa2dh
zU<<3-w6(EaCJD0~l=Y^rz1(H;&?~ad=+$PYcE#kcSx0-$AKr4hX72jzYw7Qvov)uC
z`#_#W>Z8X3PWxx8r^ZcuZ58r;9ba<Z^uu2&Ga5Jl4{qj5KP5fkAXEI5<)(`n+gYTF
z0u~7VW|_5NqfPtK^!@U$3>GZsb546<kk-v7yzN<H)%p47)D`wNE&s%MV%>wTny;I+
zcf>7Fw4WM(aGUz}!>qFmtm+dly|#*9pL4)Tw!Tg0QsNmSS+$xdzV7qM`qQ^>eJl9#
z@Fv-SiEK7P87Gn(kJ*T39NN68Q*UwBGhKg&k0)P-$gYZxZWlBzkuVN!&&oJ?cnf>Z
zdG!UBz6Tb5@}7FJ;V=`grP75NkC}We7KR^Oyq>R??S(<Y0zPH7*8yuAOJ^8tkrA6;
zou}>Z@OyFniBGT6O%f(MIGb^sJmYRu%elPzL1A3GU3aO(2A6ih(<Kt0SMmu@d!BfU
zx$1xY&aO1uga`GPGY_~k@k**(m~oKFSK`zCEm{>af1-JReYvR2{Ogs$qWOHuzg`<8
zI#}x1&98~F;}DzgZ>RZQEcd*+`_%0#-~N1((b(1_m~kYcv3}Lv{y6=u=2sFA7|6Ck
zs%^ENGl#dZ|C!<MV8v~7PF-O(Yu>-Le$08CCQr6GD8J({d6-wpuVEJ}vp(GHLA9;X
z6KmOHG1n6h^%h0cJo&N0hViI-AOC6L2?v?@Pp_UIXllw4;>*j_`y+4xr~j9#pLZ@N
z9;lUVv$>FX##FXm?azevo5crem@dhEsI_B^<d=xL*Jv#DVWorfG;WimRtMp*Y4L9!
z8J}NXmC8KtWq___iNxoHacNHHiy~^Qel6IuR?{cF@zbm{lZ2TL&So4YX^S0%&0dCn
zh*;`8|Ed+w51)3y>=KF1VeOo+KN-Ab{Qj9U!%ujFa{S*nN$15f>QA^d9`g~(IFz!N
zOKx`Qi_Na>jM1eMA6xm7x4kq-thUs#i{BSMuR&xL%Nd>Zb#BaQoF*wv4p;R;7H}4S
z)vEBhxG;+IRlr)7QVGM@c0>8s28nKR%WNOGfxHqiX?@)_=4o6ePlOwftr5>Sbmr6V
ztv^g^=f&x76~B;pfJxS^Ugt{U86{aYoqzdDt=~UND>!%m+04UtK1D5Cb3jMdO6T&z
z)61>CM67e(`tn-h0WaCMHJ1{PWXT?@S$4R^G)g=6S>~DKeaC9VGJJARnJoL3BGnzS
zX5$Q1Des6`$(iS4RvWha7xkWgwk*-AL}H!u^o3SmB-T66=d|LPvEuLqPph8#8EX#D
z(6sWIv1;q3)Rtp5$Fk0(_Z+*jDkNEPv5A;rP;%DWb%tAu^plUi{cO@}>7$<=bBs&o
z`ohDUvb*M7S$JGi_Sc+ii3cQQ+vZ$NJfbLjY|iDxLzQc%>d9xHNp3q<b1YNmL3VGe
z%(aLkg1tv&u0|Z%D0BLr^z|8sTVoz?s!prl%O`90;zH_TK3lVw7t%NLF>iZekg}TZ
zs?R!S)5)&`&OV9Kj@8RL^LWy+8og|t2VKXU_FauQ654z8&4q|V&r{d@IFLB^m`vF;
zQ@vzXz84n~xADcw{VV6K%DJ-eyqYXq&b7o7VzO>IR};^OTlw7hcK`F*lABAn-bvnm
ztma#WPD1_qV@~_7L>#f}JzBGLW6NL5X|~*_uUhU+FMA?2{jTxv(ia5|?RxB`FA6={
z?b=IMYzwvGnYsFKN2OKI%=L#`SfjLK%d*ZSPd`>;mZ6i-f6VDymd+#Z-lK0WM;r=I
zUGt+OZQ(H)v8kr(-ZGx`lC@fMY2oQC*{Ygl&ht&Jcp_FGuAfk9)$=2!Ju7g{;g-8m
z+OcBUXOcUQ)lAFMc_7@|s&hHwh)nNMo$C>Yx@1n5@k$l%n3)|@cK*)-=l0iDC6!B@
z`;S|F`5D;G=wBl7DWqNSe2K*8$$Y|gI@QzlTlKbYnO1%0+KltEy{vbxMx3zib-i;v
z;tX@IYEAsHF8-}m)2`O<{Nb}Y{O0eSx&2$tP1$PE%$HvJ;?q_>+jB23Jn!IR-ghza
z1gorD%$3A5s<LV^*P`AP#U;zRn?5V{NoG85Dpur_EU0cOR_v9`xx83sZ~D3nNab7o
zEa>Jv(`LTur7wzH+vV=Ry6}7zAM?7G22ZSI-R4|QJi{xic1P!Sa{clPPgh+l>d%(?
z{L?R)ak7b6QJl5=5p${ToeMU$bf>QQA@O0_F`2ySp!h9&eIc=*FZSE33y-~Jf4#Y!
zcp%)0=jQsu9n4lemMadoI7ey6zRNiCc-^s@cbPg5_8oJQ%g}jL-FsB#TEwAsGT!fJ
z&Xp>DF&7kob1p8FI$N^Bt=?F+D(3pa^B*?NHg0BAE|D+_Y8PBwB4HfW&Y67bR|QvW
zUxse@;+e;;WGpi@ot5d+n<f<u=T6<YjsMxStCrRKPVU%rEOpI+5?QO7HO|u|t-j1y
z={!Huif6{!!xQdV^;ATZZ8eto?9tBIzjfN~p6gNX3>}m0giXcj4SbRnC!2~Hx+WV2
zn@%&(J-+Gt*P>Ft_cPUZ9!vf1b3Z0;Q}wd>e6hb?Ur27?t7Us-@IYC%jptJ0kp$Ue
zJQouW1+0Cl=f8DYwaw)j=l!L)e*|djFIj#}sVY<F8T;BjEq4F+{uMv<S}N-D|E$^b
z*RRrZTjBff{n={|&%D1~pX*V-ftBy}mcxp(!>WU!Q((DP3DbSs-)wl<@zzXs^YvxI
z`?fr}@^s77gzX3HW|#dqwJi4A?JdXUW`DEHzRbv<WA$X8ult?NFK6sFn_F;vQgDCp
zwC24`+jDO{oaVdx&X&x6X|uP*cb75m+x&7uwwY|<)n&>1w!Uo9o;K&#oGmi-Z^U=x
zT;`jebL;6M-|CnRne+2=tRC#~ZJ)FGWygBmNKT8yjf%&0%^uA-dQ4{PjTYto`Ts8`
z?y6n8Y}>w9SDAvfj#>Ept^F;3(CC=RjA+XfiE&RArvIGUY$F!J_bD>MQX?Vo>4FJI
zr#3513*qaU8EmPMm~?dYBcruRj#+6l0xauKB-T7tm|i%w*+wmt?^9%yrA9*Z(*+as
zwb)&A*2;)%URo4z=vi0z(d4S5O;_%0T3IyV@Uc^ldeU0#m21|^Jo#MtbU{Pu)Mlq+
zVSHT?(Uux%zE2mloY#sIo!_r5wj^!&)v3)gVWE6Qk&%`sl3kxF%zrwynaOUgjL4=n
zMFB_D>qUzt4HhT)oH)~ED7abc*pV~qBqkgD{QkE7Pf*87{S80M&E9`_`u^7cC39YI
z*Cg^6+{ofDxS7QN;l~-d2b-_S32ZnfC$Q<3oWRCYasr=s+A1XOHNC7?y_R*w&8%iy
zhu+R_4AXtTG4z*yW0+t2t)apDTf>CzZw(#X-x_A*zhUI8f4+8RShZO;V-}lLI)A~B
zTXGMIuE{+pJSO*`_?DbN!6`X`qDyiDg@@z>itorxC^$1KbN=0HOjp(vU6FfGa76Aw
z(G9r=g(u`56km`NC^#S|u<5>>z{jbk{*1fV*rhDL>i%ZKuNiN{=5KggUVrzke$PIO
z=^_%BS~c1i?*DCm_ur=appeGGSkB<p@7J~L^m*Ym<M@$vEkAu;c|{y}vaY4o=cQM~
zkt^$3j`qCuia6vRyCY2R@UDOvvntujRL>+jgdd9eRjzs_IUxK{&9YKeorKizLr!5O
zsya!P;fIp!KzcUm#c20GjMeZt8#}*5^-N-B_@S6-C8}qV3&Ri9h?Ul>>LetFA9DIt
zs;ZOZ7=9?}S-GlCVx0K8spmWNM3$xP-x<qUJmd0IgXuS8IX}<1I@MtQ%vjFg-*eZs
zwAS32x}oD`?1{VsC-huedtNz(9C@MVa<u2AQ^=tQ_anb0e_e0-Ekn29-}b3VGUxtY
z+a#%bOvC~-U0Cr{VfwuNt0kU%tlv=NY1gmC&T6wxM&wiS(*+&sQ=60Kh4Fb+gneAK
zqb7Evf@$!-5X%z}4|j2fZ+^l*)%(+n(48vt?@euH`W3>*^)lL0<B{9buH!K)WTq5s
zd=&c8B6_2Ose0ThnI{FHshg>%9OdqZ@qOAEWvTHX>gj?B@3q)nW7f+oDZ4hcS*SiQ
zY>$>*x|Z0IwEfXjn`QFC`HFT1Tb_8F_f%ni_0(pjcj0_ol>wF-kA8RU<gth~RC|(f
zbVf(AXmXNYNROw**W3Hwd|SS3#<7yV-Jh+iTXwD5|9f>_eOk9h!P=mX!`r7i#kl?1
z=hSh$!AsGOS-X{`CPY&uC1B}<j)PO3lG;M*xjZF)RagIu%?mv-XV%HKP>cRq$J@fW
z0;~6WDat8pxBlo^B_d?7E6C%-3@=4Nf9<EL&*GL&X!$MrYya`HT&*iCUpp=pXn(KW
zx+2tNsle7vt3?)FE1l|eW!1W%j;PC_T!GrVyc7+~wOdzQjcNV!`_77hj#+c-R*5XS
zRw!yUWmf&nsxYp=>>XZ;S*+ojE^F^=wXV1ty>voHov2%1!)wtZ>7t!ZE=Q(v2^xlL
zyQtKB-);ZyTYIES?cU!pp}xMgwZXZ?#`pg}>fiO(kuzWS5&Qm(|EJrzu3tCZbLCRh
z)mw{~9;l79xHGx+bZlhBor$gI-v(X0a_7dah`SN<ue}OeS)Z|P?YgzqJGWVinxA%^
zd@Ih(eA%Nmy(r_ty&+q!m|Y3kYI6ObPA&8L$Ni4mI&YcG_ImTT^mn|!j_}L$TXnIM
z4qq`TUB9ZX^X`5<&r0w9X=<rbQt^r5krflo_Z=x;YMNEMZ`Zno>#k<5{405#<>9hN
zSM+b$XV2{Vwd~oe={K)^tPeL2{`Ps-tY1<4{<{92-?TQrV&$%XOK-8Q`}4?u*WW-v
z(|rNqbH7cTc72}qzh@cqult-^_&&S-*4zHn@PrkSRy@Yu(;ue2G(4nJ>ozO(fW~GX
z$=qpz$!Cnx)<mlH7^j_II{#wj7jvumjBerv7hX8o8SUzDbQ3qe@#0F#$N8z#>+9}R
z?RmK4OY(JL9?#Qub+te3rI#KS-CXkXnvU(dSxe8GZsz%MPRDuO?4`HP%}zbzyV=Jg
z{mh!vF3D-OYLau$>n6`+eBP_~M0#=ej)W3J@m{@$lWtU~zYSx}o4xe#-2Zp}9dwBm
ztH{~NXCJlZv~c&=imZ)x&T+=K8%o8bKkYnP@3v<9jTyXRvo{3pId8Q3SyJ&d+jldU
zp0C}^Q;{%D@&4@8Bm8NSpD*YL%gs!&638`DRg~>CoBe4zuj_8zYl;0wcfHvf(XX$2
zEq(q`wwlz9iobQu9yJ_2CbQ*6i}N#=T{(u{{cF=+er}Cq%P~GZ;cc4a#=|<vWwTNb
zMMW;#KQq4m!G=gHnTemLpJX!Dy_Pub=&m!{BKj@GUK?LeVty8xbE2lZHzHx9;bdL2
z#EF&@_1w>1N?sWG%g69^!^$*Cqq927%VwvZIi5Dt;IPW&jhP!Gt#k~Z>PycKi(Yd$
zUF`LyV@bArr;KCMuKv25bd~E$(p9M|NmsS5Bwe+-k|f-A!noey<9Rk6)AXB<g*ShR
zOq<3ye^%;=qRl-KiPH?V&l)98)wvw65MHfk$$2#1S6=P;`nT6FACF!WwLW@HRDaZ(
zsEJW)&Zl(q&Pdv*7_4iSH0$UwpY1nV`p<TT{0`oX4fnjvpO#ghHR<IIlfyc)Y4x){
zP4D&H{(th#Rib;2u1Z~bbXDofqpMn19$htc<<V73R~}upb>-1xF<T?HTK)Au=CbRI
zQTolt3x9fSO*i#M!>3!KH~G|`49GomCg|<yCx22mImqu^pL%WK`lGwPY>w#PD7IQ`
znb_*EWn!zREfZUPZJF3=vHIm=vp0CZGguiK9Z@{(D&IAo+Icfm5BR4^ep<NGNznGJ
z(X#20YG2GwmgL;Zot71!b}HV?Om<tu)~4+dTXnWZ^e2nGF20k*>=%)9!n3>AB6XwT
za$U2BJ(d%*xU;8av1d=qV$PnH^*?J`*6*xoS>Lm!8AhKCdK;TM^IuX*<L0#bmmjvy
z+3&N8b<a^;0pNCKTf|liPym>!UrKF_TqV0Eaux5I$W?z=N3MFiI&#(B)se?^OuV<2
zo1QGmiGsT_cUwfeuGs5M-uEu2UVD73-Y|OpY%%GA(@D;G(K$zCyL)$LZ{)21{;%5*
z<koYWjz?|&5}7`YasKSo6IGjgZX`@Ils;>eI9ca%{4<?`ct59ayBFC^0HxIPI@cbm
zcbESBkbmad;^jwwec5!Q;hvcErQ=D;zoK${?7Mwm{5L=5va2Tl+@|CFX)ixt&|#LF
znR+65bI*>vX@>r1j2^D^T$X&9Ay}yX(#DrPE8SC)FB^83TI6liQ`fonc*)UUb2i;*
zxGW~U>3ov%xu~2o*TrTRoSTz6ef^nB$@?RJ$rzk&Xik$XI-#S?H#_x=;AS5St<zt`
z1Gwjyd$;F<V*Wt6*y?Z1Vy}(-_nkL>ZFoPaRxBdtfKxYbWXeXtZe6pq&ZBBNQ}a*P
zU)dF7kbd*=qR3w{MyDH2r%4ta)luGOdV0p{w3!8GRW5IQDI6?xX=CP0&H9@+wj4{6
zy%x3Rv{m=lA9s>!uSMq^VC&|+nXyrDwvJia=A&vkhU%A|25<fnkvGjw`;1Y-(#W<m
zrl&hX(<C?F(h;_sochaqGwZS0OAosj{WFS8m~yn<s%KlobVIS%hS!p6k45GjaOmcp
znXpk%Sl29V(or=ZGxbZU6C$g4%)I9-rb!xH(Q$5@nR=vPbB|==G)`q-BiY%@W-L7%
z68vw|#>~k!(^IcKtggI1EpocN&b7x2kN&dRbfe+8nDnQ`N8M~T-k7mmZ1xAAy8l{R
z^)8=@{5B(Rnq9s8?9>B1n|WqtP7~aG#wcxDq}rK@sehuZ%>JsVD^BH;KlN92GAmou
z8ma3#VRzSvt$r8Q9lCw>(N({%CSB#t-uS9-Yeebks5QINpMG`8imjTp)Lnn`mzTNI
z82QhL>n~aV^F&8on&jpCI>Kx-Qmo8k%|bO~XZM{hPgc9ibT8>DSN*-DtDCMQUEOsh
z>FS{?Nz8qbYocaFt%;f)wI*s#)S9TdvxHY}+mN=hY(v^gvkhr0zpYP`EIOuh_1#sS
ztIw|LT)h`M?WMl@>fV`=Rx#5-X|wi8wdrQQ`B7_57j=J)NZe?rt!tJr^=R9gZ8tj3
z>zJkW9#va2_5IB&yY7IZeOZ0vuRBJk8*Zmb79H17em5)ijO}Kh9r=@9-q><(N=r%3
zuRBxr=j7Z<+sJoY_gZTE(W*CFBId`6NpHBF<oqon=SW<4@6Ss~!f7*vUv9dmV{0~J
z>3O%!JP~Qr6lb3`O6rb0mScLlMgL#-mzf{GOfF${lb(B6V&{b`qBF9l@m)T1DYbrA
zWK~VhG`q!Tj1rbbww*CL-ElKb()hTJ@H45+&yB9VusJ3<_k6`-?imRsio(5mNs}%f
z^)cJkqRBR$&-ruDxx{^nnKu<op4v++z4d+O(p#rz;K6^`gTKXyNf^K0c;Q8jm}KmM
zq{a2zkx3<j-o1Lygco~TXx8mI>bpNGAYt8%nLbu$e)C_N5j%x-TI8B2e!Mv6kU+6g
z?6cWRk27ulGBalyWA_=Ol#P*YI)<lbEKQsFq0@62^To~e`BV7p|5iKy+q5vFL38)b
z2$Ks*^|5xFBKjT0UK`&?VxAZEO2+6)QO*&A?q12fjhxD7BFlbW(6OzWwbWmKGtZ0M
zX^ORHj25krJod%-bj!a@KFjwoDWAQRIAzX$AF+sxjeP1l*PbppS~X`=#Qe)*(gnwo
zoX<t&9JwwgU3@M{_}pyamqxdAY>&-YdOl(^k3{-3#m8ssjgp!okNKFKZt+x`+2?zI
z#?r&Apv?4g*HNoG8zQF97JFTII;l1<I_H3FH}B5uje_5G%%1H#s%B%Le(C9=$f_74
z@A;?GBn$57IPWt(-LX1NviPiy@V?2Zzpgeh?LKoUaW2SlKMp0yZi`%Vx~%)_jl_+1
z*1Bd1Ymc_Q*><D8!&Xds^YtX*eJ1Lco)&NZ5|Ka6PWp^d!s5ub9HY}6XVWA%AJq}w
zHY>%-?5(kP`+A?Br;jb0{fSF;GoP{UwbW@xtIlkTm~Sa2ZE!uw`B`Mnk(%z_$b^lY
z+)r6EvSPo@-u$NUfKDyntkeUFn|UmArU|;AF?zNlQcVWp!uswfDk<?B5;lTd8Iih?
zZ?*2Vr^el1Ba%1TW$T(H%stvxlebauwXRuO^HH@N6ZK1}osm^Krrz`Y&ln}NMYh#s
zO%ptQ)+nttQf-aN$&wtY)M<RRU`Hy7y*9X#6x$ZL=6FH(SINYUjLN!ZOST_%>)Cc=
zhN0MOgKKj<rq}OFdwKD^PVKMRsRtM~^GIe)6O^BsdPZThkHnw%OVa~i>O>^WxoFul
zo!d9IvH$F)#F>%1<`{eTe@=V(xi^w6#^CgX?lj55lRC+Ivr`X=CVz`jlbCzlW%1{k
zDJ6`Pd-YPfF1q@d?wXP5Hr?RvgG-4oq8AtM$nuCX<G5?;-Cmtm|FY=5j;-8`rRTq=
zNq%UKY_l;r-Qk`l`T2&9aGgoo`KPlkR@S7K*j?|{d(d{VwI;VjaC?v5vuzhu?+C_P
z?G~*_D&hNmbV;h;+mB~1C2o)0^~TV<e{b5$&r2iO-WZ>ru>Oou(&WfvG6ttxnvcEN
znPX<vuj}@F^VJtj`wVtX$aSk1-+1>$Qkg{TA-`tt<8z$do)_GhuqEe=W%4w>^s|?q
zcJ0`3eo55Vj`vSef^@6S1g)(+W0W!_a+PR*<SN$w$W>p@)f=Urf7)TVS<b!p-18Y1
zS!K+3O%QeyFTC_3$xkx&Q0B#@_ZNh`mf86B=5-HAeKp<Uthve4_>9k7N?jINb*A3P
zd;ZNdNrU4$&d+A09<kZnGc$i0CwI@y;MX#X(Q&s#T1nR2WDqWRIb-vM7cyd!lcx1r
z^8a5PXk}yMJ^gsv%a4mAf7uwGZdjfs`RR;~a-EU)Rvv@XE%T1O*;$iOB3J%z@yVZe
zOm{U@yNMUwf1y+_ClPz*yW8{+%`YzHyNSI}-KPJ$N@MTQd6RcCHJ`nd*b%vl$JD!j
zW!lTl*L9fpnVg<bn<n{jYUHsu=BHbjkG<LX#n7sMpWE}x=U*`Wl8!yWu((?yqr^~N
zGWL)HC_tOto)??|2WajzzVtJfp00_ks>z*Z_xy~}gFTULHTB8U1jWx7JzEs17Gsol
z{^^E`l`@7_^B22`7o2(F)F&N#gmZEC&Eyi!<u23f9&bF5y100UlA3NYYuJpXhrKqJ
zM5a!YTYdJ@bK}iC5y{gOv(FkO&5b;!V|KdbE6B&$C35qn=bjf@%pH+cqBvh7_K4Bq
z?w?m)2u+iYv3izcU{&AV+qNV%`JCV8k{hYh^md=U^mxyXH7AxJN+?7D^~g$Ls^09L
znO|?@l-Nc0=q0Sa*jkfVB6zz;FKzcl)i(mMzkH7|O+LCUJ^p!0*NiP^L}w&V<10RU
zDRo|C)fsc|`K)P@8!qTLi_J_ulC-%eGHDv8cYW{8;+a_`a+iC~rSH1PT9Z?vXxyWh
zwCv*19>ZNNH`%7wvfh6oGYuTg{zsP^%`B-%o2F+!bLsK+XT`t1n4E5iOOw2GTSxiV
zj8vca%|1Wk{>&-SinTLMyS8}##h-r?bc`P?yx3}Ew5#K|oA~F&7gcQpV}J1;W%_&6
zEG7PON__*!of3)D_>|9HN}Uo})nn#8KQc|S;DnAd-|W;Qf}49Rv!-z#@3~nlnNcDq
zFFE(T!eVZToDxOn9=)U$7mxB7?`nC-HoedCc<;G~EsB{pXMFCBw2CqCp5C4Ivhbu%
zt={a^1EQOGeqPg2UN<ZCjOk{dALnM+PM_@dyztbEntDI!*aMP_xh=Cx1mmS+&sZ+@
zw$Rx1Wp+F3I_bHGc?~mXYHv8EBYSPu($iL(Lw56R{t}r#jZylHQOe>-w;ZF>GtQ>X
z+;DV;?ey7h&kIk#sM#hJdw^>(cVuRX;OZW|w5=CaYtle@<<1M4eFiGJ(#88syxVKj
zUVfSyS@p);dwxB0n&gG(Ki_iIoU3N0y7+JI`FTM{xK8N*I)AO$ZIZ^XH{N|wQzj96
zz;7}4&D0XX-MxBg{TEf={O$k0MSAAzxa)g=K0h03YI>Gc@4wS>zUfx~QsOvu3!)D3
zg{!_X`ZT@jV%9VvzZZp$3+(Q5m^|3y;CzlVg!ckduch{d7Guk(`rxZkp%3o-wkoyw
zUspNVIO@Ty7TJB1H=HiztiCg0!+BrM)r=m86y-fFk|wkyvw2%2c3dc%p5KzN{XhVx
zHP7S?rwcf%dnRl+@4(64GpXQ22B&+^#DX&soa#Nox7PhyDey*ALO-#cQ>g5vW@5kl
zd(IorH?}a>O({4b%;|13wV?itG3V-qA8hx&wG(g6`ps%LWy4|Tg&FskP8WH5G5U~Q
zt%}Tr@I#7!Rb(#4A2MWCnPU*N;~nGlmIn(CC~;crOxti;h_hN}>W1^`LVOWw4=4Dm
z%501|WXP>LXG2g%^@&tP3!M#Khxk^jzWFq>#rB%Vjr6rG%-1|EQkJzSt8uRFthdm<
zFe9IJR>9Z5-}Y-oE!oQ3qcQ(VoaVVjhgny(?Tepwb+rfgm&qFr^FQ63A-MaCU{{%>
zRzSZZ(^pBI3k{A;p_khnl-amK?m95}zL>u_Q;qwJ*b3+MF=|Fp4+8asCBu}vT4MK2
z*>IeVb2V>w3$xwyf)iGp?rWwNoRQ*Oty*3GbC=TLEFpng>(VY>b&|{yi&agWK2_*j
zgks|Sr$T%`LJqxJH>u!=x=>%F*25N6r`xu6A|SO#!D>|!r?(1yi%>|M|5J!>hWf(^
zJB9jYC_kKWQ^;?I>Xy6D)fFSoE;_C9rXc8$p1R7L!k9yL$5mtsLJldqtH=~a95QUL
zSD8}~kWqcW7^IS2<;{lpLs_Ra6Z>n0zTMP#*sxcK@1}C%)~AyS&ggNfzY)15_bG%U
zZ1W2~PV1cM8*a6G-FVE+`8#K7!2vPO_MB-2N7NRY3i`81eO~M!ELZ*~_nlJc=8XH7
zUM|?0tgjWYRa{qA=hn(w-Cj2yPHBnt<8obHzjuYhRjK#|Szu|=6}P&%Lf*QvuKKo!
zDYW{cjIZ&^FuwfPR~Ej%{nYndMx*+Ep$&)og~}=w68kp?-L(6yDpTNaNReGtrqJV%
zVY}*_0+)>4QL>x|v&3w*65Er7$}IH~`-O$RS!zCP2o~bA)P2}-+-cWUonKQ$=6=|E
zp{#yuyvV75%`Yq$@|bqdo4(=J8SjX@%tB$&4xFp+PHee)%<+(5xXPMo4}`+93l_e$
z+uM?0+8sA}!{KtFvYmQ~{k}rqBGnSNR!u56QN-!KW<tRkFHZF}Lbv2D1cSmUi_^MB
zEpfW3(6<Qf#QCK{VXM<P+t*AlIKswxJZDP5A@zEv+s<|(O;>exMIPddR(Z22^iY=A
z)D7p&IN5Wi7o6bZbbm8tLzE*&Z_kgH;a0tsY8P6T@7J0c6TMmY)x1wT7w2|Y#Vp8j
z(V4Gzd;5ytDsMh4ZHfKH<+@u&bj5K&$T~K~##J|676`JlNNtW^z#0EEW7bI~b(U8b
zKKFi7ORwK@;BbtZ(VA}`gvx%#9g+)Ic~cyJi1E9MOi}nD!EzOu;^;%1+grBnuF=>M
z>$}dp)#%ETD(6F46Q*uBUM}=)r`p4Y)k1tf!ww0)R+ZW8a)`6LbzAix6_(W}ODDF-
zih12gZEUf9=6xf5UJLUxFN>7E7UeW=i?nGi!f9N&-z(MXK`Pr?WZgV(r0#05UFLZs
zeN_wdG7pQCO)bi5o)&3~T7=a&a``K?KnnM?$R6{&k-DbE_L#?w^ervScGC(@<Z-&c
znN)Dbk5m1Pz%98?E*xQ#Uzc%O=S<#kT3qPc4Xwoax<Y(6bRJId73#a8@o)yOld^K1
zNXD7uMm3vX^{F?X%z76y{og14U4IYrm|iu#8g_mEkxS+O_uRIBuW;60KV*Nz)qv|k
zq4D3HoO5}(@BMv#_n)Vq`Sq=PLK5~Jv^Z0}eXnD#+FHNHokC?ZKEIPM|Lx2x{^Mb|
zQ2CFzCwkvq-x<Eo?fmT{zVp=%+3dMO?~I~X?LDr;`TI?c`vJzw^-Eq%=wA}-#xtql
zOaiC+mpbcm+pO2wt6yim%AWc0+x{2d{JniIcdy^JC2Z@rjKs8m3zi+LDiw{6fBHH8
z-{!pkJFbZIYZ$!F4YW8jt@ZSrn%4NRy{p@9zn}8mX8E4ycdM4~$y%xRZO?4B#cCg8
zZp}?PzgsV{{qg&$-y4+lK79NwR9`+ruV}iq()S&@Mf0td_;=j?=oY|P{&UIWwI_t$
zY>eyrR;lo?!BU8CrtZUzNTIOci7moud*<(!leK++@Ap&N^Dp02e*er~`eje)&Uw$b
zeBbl_zIbcY_EU$RChj|Qbl&$De?QH8KJELS<2zR^-F4%0ory%d`cC7WN9TPHImCCq
z$hLk#2X~ELQM<m&x@}EL-)|@t%|ENee?uiS_-&)gn~mXz?5b5{Hbfs%+^w>vY?e^p
zO~r>TZ-2hNc=5B@v#NQwZ+<p=!vE?;Wp=Uc`P}!Fw~J)g_*%@F(t3JdO>4L9``h17
zRnH5*_c?7}c-+<y@#=d#&4oWK%xx-;&NGia#CN$Uxjs%%Ttd63-B77qQn#r8q|*0D
z#ZL`SgG^(WdEH3vYN>Vev`CoL;;iOnk<`?Ze9YS-G3H-Y$(KF;_qE?w#-*Q+o@T#d
z@$<DOzuK(d`&_ryUxd#)<)M*w{KKU4(NkVm)>WR5p0PS=Rkv+^<3Wov=jWc(|F)-6
zsO-hu*Nm<)4`$S#kliP?^K|6I>N$ct&u30#pEGII%S{oGj2L-{ak`33QQ#p#aTS@*
zy)DA$p09UzKW{zrb!A=pdFzQFn`@`NuB@v*Z$15WLhhl5t8`-4J=KnRQ+d7ER$t?N
zrFn6vcj?CGca0?4Z|^i_Jvy)4{SaSyvF(Bm?i|sbhad3QtGqLEcp{fxuUt6)uoAz;
zU+IOj^u8~-RefjrhN$PB77wa9+y5v$>{zcNv)T6$XZ#be1HR7wG*7(b+n$X(k5*l{
z_&Mx(RosPppWXH=bF~L<Dv+ER{eb=GJaeV(hdEAH-}?}AH7NE?<zrsAv)gtWw;r8m
z?tF;P_`c?QM%S2x`iUoG#k%jLcAl`E)^%t3{u9jeo|Pp@h6lHWRQKN$`ZiPfVZ%-#
zzM1L|JAMlFMJhaOX$8mryeHqbC8nSMZMc0quXWYERWaweZ&%-2d`E1XuZ7K&*3<ky
zd&H{m^=?019T#%%v)q$a(_ST=-<@y3_q`~+tIPKNx)#}YPv+%`)E74H+c_`r316+t
zyGzke<o3F}yBz$4QT~};&av1$@2%f=s3y*L3{qs2_q0e^(4x%dVexEc$*GpQo%6&?
zzwDV`WUK$`P32{94wwoOJF{))!nM9DQYYwKvC_ETmvr7bxa{4vN$h3Xb(+%cPxt5i
zzvsF;^Ub}G`0%R%Yp2z3mi1S^9kcPa?&J-J)%WY{{quImfeqJH-x-8F(Q|frXBhEh
zReDEEY47#ctX(z}vfdZI%V&9G?53rXu%*S>&C?=jNlUVuhehI#*E_SXUVK~kXZD?~
zSy?qpx9wc^acUbAbDX(l`@fw+*7D208cVeA+xcnEq`Hgh;UBlT=k8T~bD>_ly!Mv-
zR$bwpuiTZ&Bejb9Rh7O+>U?T&4Kj_b^1AWZne+FbDX;Z%`)|$jw0N?zMR}fwMcRUr
zQ!W0VudiAhuX84T^`yFu2VPuJ(+f{}et2Ca+hYUs@Ojaf^q)P^y7x^XXHJW3+S7IZ
zzneFBDwWUBD4Ncx^j$)~X#Pzl{u|SF*GuK{A4>Du`hA9C;{1M98H3nEikDSn3_}kY
z2CK|5u&iHe_3P-&I~5P7ed)gJ_PpR#{weP_H6o>#5@h_Iociqb>*&XrwA*sQvXeI)
zzPMj=zunvZmHR|?9*&#1`;Fkv<Nxk_&9C>_8r{QxLpAjB(~cHfKJOdPC$up0d09MZ
zXi@%F?``o+n^XPG>G;)sbsJ6V*LwXrD(?1tgKc=y^X5geY>zh>htG?@q@VXhYo6^>
zjSmrr`1*^kFYn;KBewIf?!?`9M0Os(uJnDU-lvB5OR{#^OxbXpU+CM<xI>KmDl$d!
zhXlW?$P|Yk;w=9J3ayyapwKG3`a)*k*{L^vN<8nXKWGtiZmRf{FWufdyVB1qaK%j7
zaJco(SItLEd+QVn+vh2j{|tB{XaDqAx6=1YwW6&T&+%TCEdS}YYVYw%&fjyU795z-
z=f7+Eg9&v)eJ`{h&ae~md-1pX&em6VGM+#CvtUX5pNtZ|{$k_c=g!mK^SHg;R{XS8
z_3xv0P^cZK(XUTzXW#ky@;`=WyG~sFp;R<oa%bJee+<u(C;s*kuRO3N=GJYw19zrp
zy)X1XWLK^#Q{a9`al5Kaq4yy}bJaNo%Ha6968~gfsOSC}NhN%fi*46_7CfQS9JqRa
zOl6?w{uvWa=iGig@uN_g#Pr>EZ~NWby6z-)oQP%XzLPxRL~XsDP~`!Km9zAcRo`5U
zKV-L9RmQ;UkfO4xjG@yZ!^5g`40OO&H2m4B^-?^&=zIC{;v3UnH<)hSdUtl*lhb#4
zZ|+_7v(+l}O58;2H-bA)zq|h5b9;Trq1mgWvTsi1&RbWvbLX;cQ|GSVUcY8l@AYjO
zyB>f2HveJ9s`Bhtv$9v$M`vfhx_sPdE34c7>?wT7XM$q0H-C{xpJw;?e(wJ{(<gmx
zeRga9#=l?d@4T&l?@-FC|1~4&_G?-HNv~o<opSG<-g<WH*|RklZ=Ufn(fX$qZ9RF>
zi}TicZ>AO9yRvuf)6=f+Hmv;IbZxh;ZPctR=`5-Eq~zzVE7n)OYkk*ix~iwY{{Gbk
zhdpFh$==*OmpA0Y(_62Yq`jnKQ}|w=_MdEIs<(HkWBbbdr~PF=W3(?O&plRiElVe%
z_n4F2<%lCLZ}%8ZHd(f<BEQroXkGq$#;lZ_iiO*jZCp4lGk@!U(dB+~<9@7?TieU4
zQ~Nu<?6-K6u2?|Anyc14wb6R9v1e~dwUyS}z17>i{>s%Y`yvu{_*(Z&IF*;1`SM=C
zZ?DFQVy_KOCB?c$uQ@K!{Z%q)Bje*GHCt~^f1NF%Z)*AV)v^`q(+}OQzxww4t*uhu
zqM~MJuihozzH8bsxwBbzvm=WB?P}X~&8jpe-D~;un74aMf80pA8nx`mwQ$oFh7Nb%
z>xO<k8vUVOxVzNiTiA2od161Ea+{-LuO9ih{a@+!`p84GSIvsPx^ZRR%Zpd8+*ot#
zeCKv6_63h-g#7)({qmx^w|DO8Agd*FgmxazoVa_A;LhWb6Mxs}erhPrFXij-)!!F2
zKWuB`=loKe59{;w_~x7Hn{6}OzU9-|=#t;;Z&xkd+L$|+|M}~B?_(zx=X2h8n8ca4
za&7qY)6156tNzq_v~6{Lq!?eg*Qy)0Vy<4ew0GUswO#Y4U0Jbpv)lb?b9OOX$4uRD
zdh7Ooi*C=~@=(tAXx!~9F~9fB+S7gc%c^oKw`=e8uV2|4Grer(RjZO8YecS@h1iD2
z-#(!JzU0Zq-=}+RqkgU{Uh?i=QN6bn`&O}t@Tv?!tD1Gr)4#soQvU24_qUq<wpYKV
zcZ<KQI-Z)hGR$`GT0ZIfnex@av&w$6neDy&Z{y2p;nACt&G&y^zw2+MTh!~jtHh=*
zd~_!4ZcKFW^{Br|xnI5SR6bw7`){kQn{SKxy{veisqRZJ|2W(COndpVSI<|^zLKTN
zULWtXUw&&`JD;?b)D2GVHv%gT`!%lO3|JtyoAuRZ_XUjAtWuwrIw*hRG<l}osP^W%
z^qWnA{H5G70xJ$XHtv!UT5&wF@t2HX#(}kc`nyUmO(<sSwNSb+Bbdq8;<xtNgL1RK
zXjNp@T;Tp7^N!=n(`^p6`@~k9muzI4BbIT(v(c@7j(Ely)<(6ME7ET^N9J#ce=sSo
z;r)kRhuA!>E05PX)b??iJec9&+{bP5sKp^UkHh5Qu6qv}bgP=15B<M?qtey0aB2CS
zIgg9?%!^(BKl}Yn_S^dBc5f@+p7*p$Cavm%%-IrtTi!MEo_RV|_uW+A|5nAtk4aE;
zqJWTPv&*Cwj(RQ@P60~|1}B$^Dh`|<!b9)I#>Ur{N9kMLx&MCk>{Y+6{mt6ty<^ha
z1A#kq0)(ftWIbHukQ*bgqWLaU>Bbiub-r$hSYS7e!{orF<3`M78;z|Kt{>Qx!@BNJ
zQRA!##Q<*Y&ApX3rpCI~?3vukc<*nr>gC?V>f~teg*W_e&kF6Y56#=PQS+ao&w{91
zT*@1MZJgC?waVLi?XT=CelMRLFYZf(?&O^I==YVcf&Z_5cyFjtQgU(L%85~$B3@ta
zFLT-`VHUY>_e00?_2z%tWo|ifR!8UN)W3f(RTr#pc4ybtAg&3=GiodLO*nt$pUe8J
zAM@n}=b04je{L`RZ|S#=Wlq)g)yexRKWsSn?U<jH!9M5YocHUc|AlsBpKYJY|60Rz
zdzo9~<0|dlqGAym*G=|)pD+E-lOyB&*6GS>AGXaZK0KT2XV6B6@2`@yV;PmDx*zf-
zCcV#>u3O8kBYG=(c9C<}&U5Du=Ca<B^jRhCD}HE0j7^5myT87N_h&Aeol$@7*1Y<~
zdxd(Xf~#&$RdVCY&oMUmdb~}`=l8~iTXuZ=b0UEIdbO6Ov)PudI~^{Xe352mzo-*<
z;jCPlRCZH_w2$ESDlO^1DvP$>JaGK3&~lc=yEh&5D`b9u+9|PL$LGz|*4Elh8$K@7
zk$EFto&9LeiOf#%oxQ<I%jb5Vh?NoA*<SAQuKscCMD~jHyC;Q&RHWPON%pvL{Id|>
zho**?{|w>}ap`;CIO4<^U9og_tjCsl7dCwjShvwKJV%>n;q8FA9J^FY!-J}QTN=|}
zw`$Y`ESKGN@@nS->A1NZ($cS7EM^?AkJ34G)VTE3hYc(ITKdIbyRcNO+dWGqRQb?U
zlk0Pizk7G8e)EhYPct(+<|jYf=sn|IP&B))K_T-N>;HS=I@<kTwf^|ACeEY5xYQ}*
zbKSPMjzd4I6xH^v5KnsOv{yiS`s-GU9YOj>j$W-&l*_xq{jqR!oJT`*sgp+Vj}>tp
zhptsAP7%A#z41fXUIFRbuUaiCcE@#ezy0cD^XA1m8#c}MD>?O3dG;K$j}D!E{9N9)
z|DAGN$G>0u5b64JK396xjdvT&3L;h-%-9xs(RtN|Pd4X1)jIiFaNAxFuI-w{nag#(
zf$!FuhsFCU*Yqxmja8IC`lzPA&S9s0K=s+3&(67j^0lyZ;Fp&Y<kNhWyyNvQ1BcrW
z?X`bj?6%EWxT;gzjQ7}5#`;&9&%BNvyH?{-uygsW&?AL!oo{)cWqtan>sZB(Rfcx=
zuFqiDoti9lW3eGuwdt`VcD)-vG#<MU=8-J3XStysUq(-Rv()3q%DtQg9%fto<e#M*
z|0%DiJJlc1&-21p=53<9^)HiBv6o59R{xB6c4HHFzwEWn$A7lT{Qj_h#nb1z>eXMl
zXuNs9@=xa?slXhmdpA6*UzxeSjGMot_~9jiSJ!_T*{;dDd@9*lKDtQBztr5!cFyr%
z`%cX_K5bSNarZ_@mhO{{>-tOgx*gMRj*3=yO7xw}A-wvvOGHGd`k`ZotOWVOu5=b`
z+|#eR(o}G%yH!!dwd3n1KlEL??4j@5URk#KX<2g*39U8W81Y(1=aQB5`mDLl;akof
zJ!LoT(uJv)WYiM4gQP#cy5AW8sG?%s%8LiAYnj4sTn(R{AF`oQS1J64PLc3zm%PNq
zCvwk-?`(eV^6pXQ#OfL9Me@b2atVDVylQ1$54S{|7u$I#Wu+9iwMtI%?v~7Er9|=D
zDsLW^a@PN@a6ZJijZ0SE=Xq(G)t(!I`<>sO{o*Q|EFZmVwg2ZNnRk_D=?f1nd-tmN
zu$D<d^a8GU?kh*anYI>qFECrjdF9~rn|(8H%xD#hJMSbNyy?QhCpsmC7TmTnC!FNn
zHyIq5%cCPP<LdOrDNWx$7K&y3^W(g7#IrHVLNP%4IP0qi^%2{+)x=U3woc!4;aGR%
zi-dTwT^45(H~tiq@r&KK^Vy{b?p9LP)*JWj{+8x7r>s<Wt#g~G^keI|SB;!66J^gn
zlyA6RcKx2(?OSL3qRdX0XRMi+^7CKM)s?dKUYFXkL(9KDEKah%_3~QJ(v>L+As?Gx
z)+Dk_`yl46>-+FJcSw4Do(A8tyqBFO&Xo$L`U`VjFL=uk_jcXg*sbmF!}a$2RMyYW
z5wUx}`fW|G;fIxe7x#q(&+jajN`AcN*bj@vhKzP%y98>d_g77y^;K$VLCA6+nai5o
z$4gHg<D6Sm5VSld=8`7={g+ev-<N6~;Fof}{k+<0X+ivQADauB+<$GQQtOkq_*qss
zEsyEBsL7vPdg{2vTrPvS#W~FPvyP=|`&^MtO`7BvIb%(5N&f{+_TbV}M@{B_`mn_B
z=Ztm1jA<EDk{@W!4VtksSS{`4l-8S8sgI@4p0Vb8x6DjrBiDYOOS6A|m>%!Gd#!nJ
z_*2!*uT9x!R(L1N?9rUDA)9SmR?i`^`i0yR4L>aM(>!xsQ&|7Sl-B2^UE2;=J$)EC
zm+M1MT7;TeHs7Muuby**W-Jd@d-iHdtEE+H@-{!q8B2rp(q2tzUtV(RIL}-zgIHsK
zF|$lQuK()sR!cYdE%#Y-Rg*ir<kYdOxkVeiE|*J7o3dYw+89(kq4~Ng`^BJ*PQ??t
zubb2#JIvPmaidQ%)1E7{Bh?Ot=jy#*TdOBs*SP0I{5nh1t=`^FkM2AAc(3(Il{WLd
zzQ<3~=enk_amlGe!B(ls%ls~yt`F8rdp)Jya^=~A$g6t{+^mf1wjbPcW%0X+jo%||
zb$v2F2_L=aboZ&e%)P&x-85?!2dnM7s>yx-<&@s{rS+!{@>@N9G|#Vc$N%SVrd-?e
z_hn;Aip|o$EC1H5m~=l(=fzda)}>MDNuIB&#b?UvNbLChoRL{%-U~T{14m{wGVAon
zS{yjGBji=!@5Aj!SE{OhUEJ!rcWb4O``6#U)-Mxlzm-3^&wpvzNpmmtlIwP_^<VCj
z@9JF1@BM7I)8rt2!FsQwH5+eoe(=g~+r=ivHRV!q<E#qj1!C{Gt{jtXwA!H?ARf<s
z<#0ID*Fx_FjCpA*(;u`si0%_u(V5M(^}|w!+!)an&Du<*g^>&7^f<2^cWq?bF=6Sx
z#@9@tGp1Di5eya<X3a`$a>(rwU(sC1RB9NuK<*gFmE*!pydQkaL>lWG|K;Q?_~6iC
zS{T1T>>cNoWBg2|AND%L)~E;Y-{-n={P^Cj{+3F&u8G;L;r#B^{^imk_o~dt3*xLS
z7EIUs_IhbM|JO^$*{dWI=7$As+7+uW`t8Ni&bw8a4{P^s`8Y4msv=xJcF*GJa%C@<
z_Rp=7OlS`aV%i<9FM96v($4c=FV!Ei+`FYPOkZ}+MQ`!>FPFCaf4y{Ey-M=IhOkR#
zUu=ofnsdopSpDmzL!I|-y^VJ*6Pw+2wqL@+t|8RonEl74AEfJFS8obQY<BS7qZYuu
zo_oqB*+#1yDgolNSzje~JJjZgW;B>@niBNfoORWQX%4<RA}d;VGHo^VU%)p{Y(;l^
zJ<F?yk&VA9{1!0IJ0Ij#9xt%sP)*~kiogY8aY8G4S(!>dY;uS_Be<eHoW(2JqmeCQ
z%F-<i`eG{%O`3OWzlY0}<GXZtHf(FJZSk7gFA%Hcy>-&M8L3q(H^k`suDRgNt^M`V
zF|EBN8=~}M*Ie}Gzy0OX@!M6B3A@8Cm2P*|7cHyrGKy>1p#3#;vPao8j|hudQJ?-@
zxMikuKjg%7?!#VJt}5@nY8-QByNPLFSW-gnr{s37kcjDj^cHdP=7_F1Bz=?1XU%yh
zZs|=Ij;)S-@!+dY$wotN+niHQ^0PM^9GJ#a({XL9&XQDJZr_~KPTcwF3wz&2zDRHv
z+jV1R>z0cZYwAC(KE(0N`i08blLoCfUp70ZC6tH-_06%obz}|CW&`8HIW|)h`O;Em
zbib5*_V6Un=Z_Bp&oO=ZY++@XY~=IMz<!$F>4iLtc}v<Z?z23cv3pM97VmQgw`A<2
zDzlxA91{M-=>DbCS6*^%U#;Y_f)@F#Bz<?WZv`pI=I(s=o}V~cUoBHvF<n{yeSt`V
zxO0h6Uy)0+e4mfZ^A59cnVS|TCpHJm?6f#LvAzFt@r{|;#vfe2PTwxNtU&RwPt2eD
zvtBKdPk%hwJzH$!qX)+xIj>b^p3fEX(717yg>nFQI&0SBIS#USR>pdqzH)Ta@y%Bb
ze>%Q;|3|L!g=}F<8V{X1u5Dj$+1J>3U4Fe}nFr6C=N=Ck+|~GsT;$dIa%3KzIGETM
zBlGaY;lREcna3Ij3eDtNdv!Y6cFb08oh&oc;^@TI%Q82A%ur^ZU-0BnaGy=hv5Ec0
zGOQM-k0mDwb1!;($+Azx?)ix$m3=xj2PgJ&%UJF>F|j+}&FD$u$rC01x;m+~_1ibL
z3bIE_7Jg(?mb;g7<al%s%Z+LKHu$dbYQLbf_iV?ZGB=+$2}ch3x#he`I&#puXGg_!
zLH_rKj~>^$G1*L(YiYgua>9r6**zL(l8+pTanm`Ic;r})o6MP{BS(GQY<yCV9OrRk
z^6}?CbZk}$hpL#r(ISIhLE&J@jRviP(v#~Y3k^C2#r+MPJmr<Ad@yu68CNC1cWs%o
z%&9*T3)l1up86rNFsEPe)E9|`I{kv;+>#65^a-ANBe8Ieo-K#?>r0AyODlQ;s*ZPb
zp6!X)ai*hJyT@Y3sg7=a$&UrSg7ViTISZ6+Irv{+R-D&3yGP?r(vc(KJrO@<2}*C5
zTv)AJudMgZ=+Q%Ix0;wFl>_B39e>=I!1s~2=6uH?{+<~-j(4>F?zvHMpriSp{j$#P
zi#-(;O@i{t5}XFxetnzS!BU}nHzXk;=GOv;L>px_HG`x?C1o?e4YOq5Bpx{$=VtRJ
z`N(nh9+n>;KE9Ga<rH*oCa;dHW?^fp^6Gfa^-tNZovwFEY}WDFqsGm>{`8?^Ns$&e
zRJg@wr#?*V)~U&v>e^s_MAC3$jEzFg8Fg;2&Q!<DjXD~p(^!`t-?Ts^Qf!La>87C6
z$bc&4Zie?=#z~2-6%2RRJU{7_xK79C%*58#o0~QmdW-R;ooag5vFU?>vzXnn(@F;>
zKkB&=p(*E3{x7qBQ@{)@ZsFjR#KcWHIX;tGn>#lZ7&?l{EjxYa_~lItbmv$o^M!S?
zUod+V8L45T&MkcY^r1uCn>HB6i}A%xY3-h#@-VScr)G~%Hv{)k$-<3MHVxlY)}L^C
z7#cYvLWP@K`sg;>HG(_)w<_^($V>S;GjnG6ziY*w$s&6FYbs}j)%VQbm~?i2*5xlT
z!5cNhbsl+Xm7WrfDljcIIJ%`L<Jfe~8<(yGo|*dL>xx@GI`;!BB(}R>wK=08QrG<0
zPixJLZ1V!$sfPuFcFcR_p<epLZI!Q7baA?HUXcXf+b~@nUb$<REbbf#k6iJgRBYCc
zxvgUFQWo~^j`Xn1;xgOHBRQ#F|KULmw!<@jl%<?CTXrR9!GC|v1qQ$TO*aetEzK+X
zdUbhf(u1qFIC&=?QtI>3d3NHEP+yMD(-Q}c`eJmRpE%6bSF`4c#(`CVFF$JdBtBlK
zxGwE@;1#JppEXZU91@nf`D4|$laU=VHD@Pw-<GM|ad=|?ZW-1e%YMz;BN4di#4+dk
z-4pX~7H;AH*{3Xgzc}R~dtc6-$0rWf_QlvdIN@wRyOR6zjjgGVJ>6t{(vKYFakH^G
z%AtFphs9#bz74*2yvp0tUKadPn6*T?XNJY8j@IO!8y4p}nuU9ISe)!=5AOM4an_@u
z|8nyN12tKv+iUzK0)I>q6rOLG^pHo{%r5E3!Fo%#n3{7P?Vo#o{AilUAbzQNgQ1zM
zll9{69*vl!BS%u*bYc>Z91C@msX5uvo!wKh<6K9-bPvmoiE=H?g)aqeomrFKvPscR
zgEy(=le}9_PD+cBoSVkg#Fio%H;tW%Et_V2QHbgBZ@=*Gv9Fs(V`7VuxSK|5VoQ;z
zo6MTzmikS?ZW_9YEuRG4Gz2~Q4;^kP=}3QI>n3t9{m79#H=Q?$M~=n0$-GHEa+JNN
z;>QF*`QMV91q!wt^1_!D_cb>6Xw30lpRlhpvL|5Gk&a%=9*Y^LJGv`-Dk^3P%AYq7
zdT{fJYLz0Vf#%((2M!d!Y-VbGE@}9YNm=ZfanfT~H<|i9M>@J$dn#s}?C5XpVW~(B
zIlFHAFIy(&#jfiLR{#3X<x|KU?AF<|$vSez3^i_U|5JyKl|)+1P~<*Up8D`%YUB?K
zm2QUiqmqV(ZZ-|?*1M-HEC>-(>pOYqP+{Z^3q@{r_0)%trs&wrnbz9hpDOr3xX?|f
zN0fiT?61o=1^n=-Uvu)9#YAff{UWbtuI~~Tov8H@s%$uUv+tPYo${?W(^O7LUYHqZ
zdNTHBv?<#@`I$?(>^ZI+v2BdnF@I6wC5e5<KP|rU+`>od{L)*?mu%b_@!~*pNLq-W
zs=a^it;HoDY}~oxm(1e0e2{x(&#F0maZe29^mxBa_I8a~Hz{%M&ZOY_+lO}q<!<uv
ziCH_Z*J#n3sX;b1ma8VEFSj^*cx#C2#o0c;SMyh9??2+gJ?n(%yox<VZozZx_FWC0
zE5y~Fn)qmfj!umVw={d|!w0sJJ8tN6?|OUc&~f%n92?gEI-vZuRKG_sy+v%x-Xz~G
z3*Js-eQT7G_^>wehJ^z6Da{nem96zU8iyyas!DBIP~$vDFzUh)NzTpu8<!byPGo(m
zv}wT<7csMQr<#OBA_L|raeIZQI7W8sXjFpCO#67*g?saf%tW!B?T?fG+VyqX9Ju&m
zVsx~$=&lUK7g?Im+RAw4?xZHnR+PSVFuSMI-1OKXX}2G@*RAOkoA;qTJG<UKp`rfJ
zu102`HIfzw4z=BH*Dt%oB67&|?TLK$z6ERD=5h$Pzjg^Y_W$+=?YFtPp>tmyzH<NN
z+Cx(q*L0p_j^LhLCmuJ&blR@elBd>%!KtlWM|mA*EZm{Tp)GPf<VWAeEibyP9c~>6
zOIBFFA=M#2>2t%qLu!xTOV`Ea=<>YF(ti@|UEi7%E*Jl$@LJ>N+W!|mcqgq53(qcI
zxAvpp;?-N1?(E$rvH3UqNw35{znK+Qi$j(kN-yzx7&+JIW6XbrTMaF%R_|Q7b@dh3
z-MqIVYNY@D=n8Cof5?2sku6)7sy4;0{TUSg!|d6<`KEtDmqhW#_;7JeUlqD_mh+*P
zI-*uQG4Gt6>z#cvqj+^*%7<`^h<)c@v~&sAu2cD5CIzZBi9T)GF>8HFOV1s$Rh(<k
zv!2g>=D7=7pMA6mUsZMG#+p?!U!DFhh<95)D<nG6BU^ZD>(9jY`iX5OM=Ms=Us$gt
z9Pq4l+QACLZts@mA6Kn(o^g70SmD*p6|!2Zs?x;TL+!8HzYwqIweKxd*DYmBGgxF`
z>wBnN!(fqtzKXr}<btgW{uag0x)5jSyJAswkH#JT_^HAZ+lzPh#cZt6z3BVUyEkx0
z*xoH%Z0ponTe+`(j@LM^9-DJ^?cwMR8Z-O5xRz&TRlNM4E5(0wN=|`A<>cS&f0GZd
zw|JMOZDP9ZVVeB<6(%B+>nFWdU$*Mj!thGzkCn4eST8xm=j&m&P*keyh2sxDTfftP
zcOLz<_|U%|Z@&~pyT9uV7Hu%_eX(ZmnT|tE{~YVX?IyN<dMSTcF!#LVqE&9iOD@ds
zS-aTM@^5_T^qv;2nz<JeJ>TRuYTa2GCt0$3_iPc7eVp8LEsG2CIvQ(Rvm6ua^MedF
znrcSeh}C=Y=`5RHNeDOB@<~md$)1fx?K>X0y?xWA&B4uOJ-Mk<*7M+@Z$*k(>Blc*
zIVM&I8EiDtjEE2vy%loh-0eWtz{axgo(GSFdmcQrwn#DS`OYHNK<o4Btit*#tlXa$
zI~lI~l@{U{Vo<288L=boN9E!8<E?tT>iOffcdz&~^QqRNQ|T(K+}-Vq9xg9jsGWb&
z?p;8N_2bPYrmIx6G-5ot)b!L@xu>6M%?L?f{IZ{mD}7Q^=Vi}>hkmShxZ=W8^A!&#
z7asnYxm)78#QbgCo(GS7T$1pxW~YGZpR%1zD<0;09z3#YNkU@soq~uC>3t$M1wVG2
zcQJLVXKg({sj1U{a#O4Ssn!(_tuO9a)O6_X5{KxcOA-=`t`vlHNdMEwvyl7va>ucX
zBhizZIwyM`Jk(jFnDygn5o_S#?8!}?rJe^5&D-%X<;e2(f4+zP+ssW%doy&OZLojy
zq~lZR9>X>EdKx)iTw4se*RS8<5YDx1N`IHtr<@xxF#+`zYMK|eX=+APXut7@d2ven
z)ro^Ars==E9hm*p`?p`vh63}fT~(R?1Ihv~bDs`%@%8uNQd_3V${oCT+2hxHf-k-*
zRoL`&bDjOFjWyTatFj6gFHW3x{?{Ck`y7K7Jlzr#edR;%k%${IrZL6W%#SWCyYgX+
zg^7-ak1v;+nkuV1_x<Csn-;g(>x2uK?$Obl5iw6hN2f!YkJ}{E<k4T}`b!_C&#nKn
z-~FTbfBpb(W)=|!5MXMli9Gl~@SUIz0|N+)PTtTgGX1Xv3upb;9*=a5t@?i#l*=<R
z=q4~$Eu0t`Dv;rJ)QTbA=72c=hoj6BS4%#T(~yyJ^M2TruyFa4Wlx?}O>dp}Y*O9?
zd95YYKWlHTsZjrQJ$Z}jUC*n>6ZqeVZq9kSV^s%V#j5(y`k#?9&gb;lZmUdTHDGOF
zH8%bxm8kMIbf0GG>H|Ms)Gzq@xBTqYocjB5hu@w58+Kex{`Yb2Z}&y#Rr4Odkd$Tr
zUF=-#?d)yWKkC@Oowo5~<s<W#8=}<<-dxkp>#I!o-u`UsyLWdEz23h%`taV;$_F!Q
zrOK{L_1#>4H~QByMQe89$=lsk4RhINS>JuW{D1B7{=|pZ_g|P>+F14T<C$F>^Xsj-
z*E_uZk;KvV|IniZj?Iq}etcbfd~W-b8Gq#8y!3T7^F6Z7$uR%dTP2~56^rw_UFLT!
zKWg5zF#AUA^I2`lpYBgBeYsGYD|yjPC%;|(nI9we__t1J+LTzM@8{#?mTWam)y2)K
zV$C}i>678TJj*7no4(8Wq-#p^3*n0oGC%ensNbTtc;UjIm61|XQWM(#3rN1auyL30
z*`D6zRm{p)FCD2odnTy$WJ)IQ^mR*<U)u3=T~z-RA$w!4kDOa~IGfmdp8M5NHOex)
zKm2~XiQUiKZt%c5SDO2W-)=Us`<a(_JPN*PEb?LQwjP$6<*`l&%5NF2`QbNvY1jKL
z;VXXlMW=Sv`=?Ik`!KhxOJx2jw~ss@=6=&VuzXXZ%g4Fj*pDvX6mRo!<r`sjyQz2Q
z?)o0JYm$2df9mak>_@vdR!{M%F*oB6U;8!4bH}!oQ}!^{m~ZR9Diq&W8>IR1=?(iJ
z&l*cJ0TGZubH$PA$@^Q}YAnro^dFtxnB3D~y)Mdo*|xu_^_rC~HI`*J4o}{^Q>*>%
z{OP`$mCiM0+d9>cEZ^kq6(?|?vqS%w+}{rqx2>4+<nzPB;kV=_^>2y(@pD(>MD?Z0
z@~1Cs-&CmK6c!}(E3B!Z=zfpvkq&7I$yEkkU(K86RsRSx<CQ%1DKu!({;5B*Z#wwC
zxUt~X&!BX*+%1ltsz0*pZ?2rV`kI#JL%XTpk00Or^~0BGTi4pGU@{PDkY#xBW<qnY
zcjjiL6>t8eyqT;OlEhQy{3JE_v61&C(^nIi`J7u=7S2pu8=^TyqUgiqjlNn5n|7S}
zT2!k#)Bl(l6KjO0=CkOVYQYPZu3p0Od2NoOXT#Jfar>s`eroZYwr<iT-uqnj6Xez9
z&AvQHEV}v8^umJVHW`b&EjLy;KAya>Sb{0s`D)F?7vZx$p1k2WtKn==P<qSEr`gj}
zrX<yvd=pShO3~Tots~zno6<b_yOyrS(-m!MJZ*<G*47x8m4C8xeX9KS)04mMzdUBI
zSz4pDJ9JTvR<+8*TVX-B=ASYPH?W)bHmJT<{`5M}y+0zh@xFSJd{h78ljNH&hbHx>
z-v4m%_@+el_xr_^ANbwAKY7xN<eMueP5Qnv=gP_7lf)L3g&zL5Z<E)`-%;E6m@4=l
zG;!-$h(8buY=|z<Tg0$EBav~L=}q3An!sE4xt^Emg()^vtyf)pGHRW)#LubUjypYW
zyr(ICyQ01>F6{U>r3)V~yuGmSX~wyXTU*b|W-PT|yYPAUvU$moE9~1BzTEJ;PDtgy
zQ|2z45apjU*vmr8mPIN(t=6^Ix7Mna=jvrIQ(xyQ?r6Jf-Rr6r^Af=&i?#pmd6Q8c
zm?JD|nYO;Dt;=NX@8b0@P1%IMUpv~ku)XD%WS(*s@At}c^&71>hgZ%r)!?7i7yU3&
zY}WI0hbM1dx$|e(>LQ!d@s~GfD?h$;WsA(_FPCdO*vhVMyS(#y<5{=KY46_3*e}n#
z+uu2{vva0B=WVW|TRuzO4q4aqO3>WnZ)Id?S?Uh1?>kEJQ=?|{gugs@Zs&|?jn)1=
zy~nqGojNh|<Be^HQjSSossH+APPeDzu6K4Hdrn;`SzrBVw$JgS=8`HVe@iPPRP>AI
z>R)Zy8>3~p_-}x1x#hgnt?$0HxBS&Tm@T<+ip_&+#iB#iI&Y=#zp%R>v@0&#>RIaR
zOZx(~ewK8&6tHqdB=Yn-wkG^w?7S^{;;3bzXQO=A`bX!v=6`l?P0(O=zg}9epHUK9
z?i4gpS9+GL-wi&-4bncFN-kc|dNei7plFLZG<g;pKYJr~x%bS|Npm+xy;AZ%D-ktM
zE!Xup-#MqlmmMN_miB7qZ0QVi=6#-diD6n?yXU!-b(hWyIOlsv_Uy5Y-ykKPx!9dg
z(z@WzgvC0h*&lW!9=jkBHOoT3ezHlr>6VDz?kO7=w@x|CcDCo}iiK<*hdJ&WUN&5M
z_Kb|A)#8bUTaLM2GB{gMI5(|o-x&$6$!9MGyDc-ix#pPbHH}ttgQB!cS@)kQIxnBo
z8|3v|n~h&uWa`o_B2qdwIbkVbPI<QLvvj|F`CS&ab?5q(%a)qtdq}=nGUZa*;=PyZ
zr@Al%Bz|;w|74+EV7Sm;*_Xm^h0dQif7&kg{Khrc_NJ#Fjb8M*?L}WjQTgi}E7@Is
zU!wMio}b)s{8!~o!M=4Sb=M~*l`Ng?dt858&zumyXO-4}xBT9qeOjCQdvrjI{m*4Z
zy8|Ap9j&(xsE_Z<zVSCNyPCILMlbE~xzE35Sc=#4*B;;b;Z&X8wVx8VYJ;<<?|ECi
z{^7rp^Lw=e&TqZ{vd-JSEibwJ-KYN>KAx_dJNe=D*~fgFj7*Hbd0qbKd*k%eNqaMo
zn;q?mpY=T3=j_edYyC5~-Z<|wx#s4TJ!w`edTMT+R%@+ne0=NZ&iCIB=-HGn*zx?O
z`Sr`srGI62zm~76fA}MN+wU7iU-mOp-gsN0cV~sX{TA!``s7*fcF6dyw=a*0xor6<
zxo$^~`n9!pXO{e&zHmG9PDAT2`?A-SHs1Nx#`~C={rF~XmhbZ)+m$cy<3DRDFMUt6
z@8!<Z|BF^%zgHJizx&(w+veh%<JWKB#eR45tu*m>I~M+!TJ8OL!S3kY_3KZsv$0?Q
zH?_FncG;c%aqE}A{HprD_1M>0az<xAZnqC9pEq@0a^$&-26K<s&X0SpxBJ7I68<;m
z^47K69iDscugvEUYrgbdkFDHydtYlc@9w?lUtczzo&7lSpOM)=k9`RmR(3fm@h`L8
z_MJSoFOZqnP<H#J_m@g^_H!Pe=XiW=UH!ZtxBYfIy!}=1ep~+a+cMw%zFR)~x$ye7
zLxy|L37Fi!A@kSf-C@}k|N9qK#XZeW{~osA^Ug|{ofX^Gex1Ge_n+67UvHmVClf2T
z>i%`!tj9Z^$G^Dsv@`u@{I#mw`Om+oDN46X@07FRJ^p6*zSXD8X4Xy4+8mYez5T(~
zwBK*G>6_KRs9XI%Y_}u-@w~HT7VjEkZ_T}4zw-L_^Z8M4XG#^Xi+d<mR$uYxy{&d$
zZhhbUWsl9D{gij!`g_;5t4j|G|GyyfJ^HWn2dm@%FGcS7z9ZkieLs8I?K`Iry_+2n
zZTfiWyvM(u|Hv+z`&;+Lm%m%rzWaUm+rHPm{{-iyZ29oZ(PtJ<{oJb;=J#%p`stOf
z{LAY35967yGfh7G#IO5elALz-=d7LQ!e36A`+w2oeF^KY&6u~C*U~uo{iMA*e=qsO
zZxnwMeDi1KTG7SNYNnb>RPOudny%)Z{c^^oI<?=^FV!5paN~7+hDmYTyM%w-Z>Q|N
z6kd7CBY1*sOKaM`OT}}}9+R2nSATlWOtYt!|G0Hquex17obdSelJ#@UFXkv}KegP)
z{r2mYi%)$cvRn4L&G~q?sAsRG^n5nycw=MP<oruMv%l1TxO|yq?Ru~JT<zEUHtqR0
z;qC3X>w0<bK3y+Z-}>uukwy56r9HhWx1T>+*#A1ex^LOtYqjAE;^oWszg^2Ma{g2K
zt$JRgjb^@Fd+T(|!%qB}5&f3!>(2Z8-j<$wcFy$eHvj#rt-n_tt=)fB{<?<qhhL_9
z&e_`LS@V`(tF8ZQ)i%GZ{+&g6ZRD-o^s))EdrTjlSTQHqyKwH6)N=(P2BH2}*Kl1`
z;uE+n++?;oZKGNC>uq_xt|=jY7k^dymVJ^k?R!4GUg&s&d7{L%juwM+-fmB2r1{#d
zOpUZ&??{M{ao@~+b;XU=tirH$y0z<c?<z&kI`;GQB`f<J{f=u|_g(g#+8!eD>6*o<
z6+MfYz5Z6li-bl=ddp9J%)8N6xk$kAUSw!SSZqwSckkm1ZcT^xCoMfIpy;@7$~QK*
zNru5oMFnq*an!4yWNS*>%6N4(F9*-Xith%OFDdDVMolbcD~pag-u{>QwRdG?6`M}z
zyj3q=Jx_fpv#>VBboqfG*5I!$7i*s-@@8<a42WT^+}X5o?X7R-Vn_K8&#7XbTe5QD
z*QuG?|6FQ08IZKYYi&}DXxn3+_LVMm%I_t8mWIsXIKS`Yg0iL;^&(kEbL-6X+-!~t
zsJXOm*zm0NJ<G+F6Fy(Ky7{j3p$i;4gk9PbGxkZ_y=?d*9lhIei}}m|vzNzDx_gCq
z7KsH{u9~OV{@U+*<k^!suL4coxACjb<uwgo>+Z#WeZ`bZi#e}nEWcv#(y)1D*QzuT
zeQUXbT<N0Z%=M2}%~+)&aVVtz=IJkvJt{xN*X1hy=Xbtz*Is?@N*!-;k?BPtPKAk!
zN)#X7I@ZKhDY4CC$F`L@iq=m1%3Bh%LvC5K3AC-f=(jR)lk&RPF|U1>?G4`7*4H-m
zL}{2+!V7_&=T@*d9~V-(esSTcz_TCDPB7N0HP)DD?5w3e^|5Q;oWPf^eJVvo3+f93
zEc^-{^mwUTOgL~*@!An-HS?^+lTP+3XPG;N-Uzz1NGsFO>FS~2JI7AAWu+|cn9|uR
zxhCYq<v<~orE8sgLo`+$yd3EHW#JWtrsa$01|44FmU-x2O4Y{13o{g(|L@7U+nijk
zzi^#Irmv4`vfhN<+jzOTni6|Dx&j;R^|i0p+rEkm514*xdXmb?#hGW7E2sYKf0BJI
zH__*@nrD2M_J7`<l9`*gsC|3g8~b|Jvg;pzss--|)QmqRIQz!(LlU7~{CodjI;Z_x
zw(Q~86BFfrD@MoE-+lRh+xnL=Z@zrF(|G6Hr4Lri{g1`u8{OT;@3npM5;>PoJ1n2Q
zbjTJs)11Ile?tCQV)(N5j2Zd2MW0pg{WjC|Y*`hPGfUH~&W0(QH`*MZqE?+d{d4Q8
zw6hh?eHvY-S1biJ?k1c0c6wh|>N1J!Inr3Gdg-`Q+5N2x(iRE5ylrlk-|;@mO6-cy
zmcXnTH71{Ymra#@e6*AEZlU&{_gvq1e39Aw=9hilJJa*O^y|&b-tzBOzr*#t@?Po5
z=}fynZ8w!UT{8dqjM`m?-*G>eW7`_KSbu5SZhxE8@w*HA);fP#TEi1C|L_0(ukSp(
z_xbhZb)Hv@l0NPenI+X?rErg#F)Libye#hdyL$;G4_<gho6R~Iqjqk_XTiq6Q%PB`
zs%~Bgi?NblBzeuN<9TP|yn4GzgNf>l{iz<}v1b~#?7np8^{uag!P`!5ySQqP!oG`U
zAA9~Vu*|rY;y7W-oL&A*Ph1W)eRAyJch9Q`lbAShVPg2Qj~C7zvsQAwUOM-Bi+PLs
zmD1ShpY!DS@A1jqDvdQiVqI$Q5*`%#?c}>1qIx%-%}QTPz5DCz!vHhARCdEl^_6P&
zE}y@tt9<?@?!tU(W>!`(@ACBG2$zsWUkyH+@$A%zH`!7l7})tNS7KxT>{-QgcBW+d
zx@iYZ$~s_|S702zR<*jmCgu|Fo0~=z2hK(J88!3fKI(d;xO5fE2BSk<2hQ|yF*wZC
zO=dS}e_Sv{a;t%8=8DKw9bB;|BcA*CsMfDK5Ri6fiAf}nnb1Ygi!**U9n4xMG|9L3
zw9d(EE1dZ(cr??UPR;D}5||=sB9nUT3{UsgsFfirMYvSE5>Hspm}e?!dHSYNN=(e=
znU<#w13McJE?9bc&O&|0IOa_fK`g8~2?v-q>}X<L+8}P=H-SMxovC5YO2vQ#)(M-r
z6rKyz%PUWFV4YCh#r$AF&?II}o(GRuIJpE^CkV648>cWH6`uQiZkx=>MqwNEeHYCV
z9eFeN3tT>&z3}2x7Ymby2g(yw{5W}+hdx&FFQ|E-e6(ZnEW_551y^QGe?4WQmR_v)
z@kh1RE=v4+-gEOF&(l3#yzcl@!=>N6t}qI+n<*4sTw2dKv3niQi4}7mDTz22vde9s
z5Gc$X<5KvU$w;ePjKNh@<J|$#34xMJkM?A#sc0%JHnL<C>lS@?U=hocFqW`YS2-9Z
zr^fOJv@T;#2`MvG5M6ahHo|oCgh0!q3?f=Nx(;qn#l#j#ygTq%)JSVK7sufZJ`Fvm
z)R;ol`O6<%;*zYN8XMZ6D6wnBgut1mM|tiy9%pV@DzYZxgpw;;z*ZO5fP>oix}4me
zPUYYd^<P<WR(KQ7)I=rqpgU&@Kg#}SV=(GE<uc2m_2~|$n+A%DKlNrX#5}P!n5dc(
z5~lRT+CYc1vh|dKpis?|8um6>#l<VmxVjZ=lyTh0d|yOy@roSfdZi?`l(P-i1!o0h
zrUpA*NYg1`59@52a8O`sa%x1Q^#`8#gC`bvtvqMAB1+58a@7G@3zMX3&kjeoKufVU
zk>^i2-W|~L>3A#3$TsB<>y#5tNB1bK3VU?3RdfgQYIX4xF|Fg<HgFx3jcCnubW1hh
zHfXmL)H$)@%r2*{P{)GW{Q5Nti;Y%u8H694v!to#l*_USNzQXlJ*voAB2etg$mK5(
zI=_t7$+B_#F|{9Cv?oNBSINu_xNJGU?9aW;*YbNdv#6>(R9<PpCuA3-#lHQqa?5)2
znSS5WTa*MCO<4?eLeCwaCEz3$vCNU-v<OE`Zsr{M0-4p@w(uVcifvS0y{)AF7PH9e
zZ6$pCUbpy4CNKxu9F^odB(Rs!K7Q#bf4j{GyVbf^Dnxvei`v3wa_Q)*bw1xGTv~8~
zXV-*-?m^B`&z5R6Jzja_+l-FJh*g?)^Rhg?--)r0YCf3tqMlFab~F>))6>sb^)e31
zY_WR2K$6=|Xnv$)dFnha?@~6I*qQTID%9(QidxLusW9EG^Ts_vO@UcIYZfh-dvMcS
z%c`b+k^LDdJ;_b|N4!m0j$e&z>KB=&#VvDNk~2s<Zk3GhLgfcbxtbpPu*i2#6O2q;
z*;XSGzDJDd*3pwKi7S^KF!X7BpnTELuWj|AAXdv?j8SYZS0}ttk#qRFXU#3)^PBwG
z?S#DQwNv9XqxPMgvMJPJ10(N)rWDb*$}Gtr9vfx8JiL1JsF;L6X3UkH4jpZGm~6y8
z&EdLIdZKj8ii=Y1Or@*bJ{Hbt*der&<&bfXYs2D-u6}_Z9=SKT&aP#zS@LUb<U+|O
zmV7@v)?Cop<Yer}9HeM_eUpQ=U)llpqKh|j3s+TM=dS<ZVId=CwzVzxYgqYQZM&fM
z=XI-nSydPqV?<Y3_K9wuQ#7qRbYbM$=ceX|k8kXFs~C9H-77u0w@Z_cVZM5fGHaHa
zs*qvB2`=YQMwc*&Yc7IKPdTnT2sS-Ekvp&9yzsU{rl(I=T<V(gNUP<M3&)3vIrDUm
z%g#zjV$dj98uh4Nd1ctzz|N3aEjygfPT)8kkg~P!hlk>6jvr4}73w@v-e{+DZdJz*
zk0%07HA@uiglg7>Ih<IrBIz%~@{&m%D`v{b=h!XpP}%bH57%>!nxbnH&bs@APH8ur
zFDX;?yd}!f!IH0QX_r&@<B0PGA*`3yOqjm1a89`V6hHpe{t>H`<m<zgx}TR#(AgFu
z*&A|smX2ssqBdWk#`6!a4xhfd-1Je$pF6j=-rv_-_+qw?;kKUd$JyIg6|9modpqxQ
z^zMZ#w}##foqAb#nO4^$v#GK6Q$H;@6k_YT?^?F^>YUxH-ppd#Xsc8uJz0KtZeGn~
z&(zIZ*62QYuC>_cM03w6d*fBcbL#sSGekJ;3>94!x|6l{jNvcF8;lIzIlWeEta5s-
zudJTcpX|4nt9#yxB^>jQD%-9UF*Y_fFqUFrI4r@yaHKGz|Fg+ba}fq+gS-hoJ~1&q
z85JQCt<H`{9wKb4Ob!MT0vyhbJ`&3s<>t6EA7Z?dZvXqSdfLN=lA08W=NEn1d+MFI
zZupr`=H*$f@zHLz(*B0)*V9?s&(Hc9v9joy%j_9X{!dGY;(M`kZx?TuK+3-N@4ZU;
zcU7)Fzp?8=@r_k6|3oF9_PvWeT3yG$bzuSL#pePq`wxWcJZ1R2x;!i(yL{sJ8&)a7
zDMzm^^)@I9uF7j!-mN%MK33N5vg)?`GlgDw)|>p7@;7z5x@NUslV3w#%r^er>@iuM
ziz{>2i_KB;G3Qq-cYb-|t&>sf?r%r8e`cHT`lr{#_4ePYAFdVozbwR6%!&WVwlL<S
z6;)OLKhOPrh~bE!-1e<=MDO06ef;Uk75CQv4E}UJZeIS%d#4+8mhzp?WxUa8ukl#-
z@K>AfW@X<O*QdVB-tts_*$tg{dVf>5>V3V%$G>&$os~=GY^iaZUae8as@))`pt<$n
z(qzWR)m!%e<PuWbb^YN_h9zftv+hsU;9>UtGd0V4vt^%w%k@wR)#<!%4-08NO4*RO
z%gav5rkT0#!t8ZZf;>MM+z8tB<=%xe0h%YxdTwhuw&$5Y`B)WGUr}mXx#E$No%oX<
zx*^vSHzs~ji7kqCy4v|bI8bnpwg2Xu3N^CxgjItNDXV`LmbmpwyDVxFn?6teaYa^-
z$(~n(7j0o@Yj2KQy~&|t?!~g8nmB>9YkTBQMbDV`-NN(C#IIb77EHXldm6i4UDEPv
z?3;9}9(qYm%2Ltc`DW9(HMjoCWTS^_sXec|)SGrpj<yUuTDHPu@ymR%+BH?KGapWU
z@@wwmLLdH!XN4aeKjdy^xRd(mLG(1v{;LVnO-qFi8AyLywlGz7&&3n_E`%SJHkH1U
z`IAll&LgGo!Cxk;F-gx5IJ-F3Ia!crj(oz)6Y81KmB-(f9*khS^65hfhqA?q;`-jZ
z2ao*~7pzTf2v7XFhet?SWN+)WuzwbjGpDIEJPFv6%JlQMo1%`6^oyOlo)j@KI^Sq2
zD7~4vo9RJ}`*GE>&&C?rjaw=%HW&O5Nq+ubDt%`CO=)SN4Es!%-ld9coLa~9?^afB
z4sY5t+xBv#o}_w!swb<gezVtWWu=y9-9Gj5wsQA0!d2Mrh!@u1vfceOQ2*DAonjsv
z%4SHuw%gJE*QhJ-5ZAePLEZ-sv`y{}ocu8BMW^!<#uFb~wkFys+g&>nw2G0p_Ii@|
z-mW^s|7@ps8rJPSnAvDQ=Z_7aj(}J7gucSk#~q3PkA4m6ZGJh~XLDJ-<LZb17|sTN
zUt3b#R{wEl!IPcsZ69YncMg9$QOEMwRpFn0g%3k&cV^H3eoBqmQ70xivQ^|zw%%k#
z!#D5Ndp~C~bjn#hC#LDi^7mn@|0HE^V<~57aufQXGAn<-)?X2m3kne>YX2<yS17j2
zh}--R-8*g5LiQ~6`z|RenpeY~bcf%HYk1=R>btMn`(u{%Q$+&q=$ps>3_G-YD~oUC
zg(=0h`fLlQ*m%Wl?@9StT=!<GSbduqcZtKs%@6z2M4pOI@8t=%x*5|k``XTcsb^bf
z950*_ks$Z=gVvOVYz`WgrW4rbOn556+9I{I!{yk<=e!?phAUoOH|goUj~}8xZLeR#
zo3~uLMJxK`?rZF_`VRFi5zjNK*|@Zo+%Nm@`pG7jZ|c%`vz0^XQ1sK>DIFfCTD~+G
z<(GPY<({%>-NgU*i`>J%>G#ZdyGLAyN5ydZ;Vmuf^$Sg}&C#`8`$56@@Rssxb?l*q
z^9x*VZuIx<6xtl}eUe_!NrmlgZ1?Lj4sYtQ5V)b@BfTSOUw5OXMS*u-z19Y&|1%~e
zZ3sQ?HO=2qW)+h)XNbMYwTzP4lH%3^PjXCmYIn)D9}?OVWqtWZOVIuev&Hk2`yUrN
z81;DhK6Jm$tGIOIo`(37`!%n%cJO>r?wxXiU60o(GdZwFm*u0y9`C~uZ<2Q2NeY;w
z(Oteqj>k;;lT!+F4db%U3|ZE_?e+7LBWjjMe6_aT_;K^?bb~9IMVu1Zi<6wvijKMz
z@xI*gh_P_yZPmG|Ny$2K2frP)J!N)e;hm?!Jq~$hk#SldMV~qpZe9Cn?i|;XxlE47
zLTfi&X8oqk-t&FYg!&d&x5M-5oRT(59=boh<n-(L5kZF*%$_S^Zjhuj_3}KYnTz7u
z>t|+NSh=d{)cwrNok81rs|`{-mr0zj{<rd&>bFuhxjMNEZ`V4fvMi3e6v&=?>`2no
zHOy?SkDuM}FfQ(CcAb4s+%bDwv5~l9{GkhJkLM^jEc>`!h2#9Mhbl?&e1F%oZH!l1
zv24DTW$xvAhbW^dJu;sn9BYEgzNh+DKX+vBOMOwlp)F~u()BghDuY(sNDw;}a(F_d
zq+xZ@FDvuq@@E+vW?8RYxh$pWM(IU|xA{k{4uz#GSg~!Isl%F+E@y-iLbBdjpGoMI
zJFtm;``=Yruj3jou`s4t&AF_fTp`ur@@uCDn^T|hSAmNLUG452j|5EXolYMAxtDLz
z_d>;I)t8E;?$*b>x9F32ztXvS$vhdoWz(wP?RJcMw#dCc!<v2jk{&LDnR`UUtoEGx
zarMOaq(I4;UBA0lgvbARUB|_{i~XwQly1iVmrdp}yZ)c&C%tdENJ`3U3s=qlZ&P@_
zuTaoj$mpA7c~ju3cA(Wa$Nd}L-za16ILGrpX~Of(y&LxLJHc0~ThA3^@n+5}qkpoe
z58Wu>NQ;#BO1kH3uq|}bj4CnJHwW?`T-z-E%t_t;<&LuXYRS8E7umRIge+(5KQHo*
zrJ_L9U`f|v<Hnq~%r_e&GTRNUekyWQW;9Rmw~mfylK8vbvd)I*v&GgKHGMsd2Q=<4
z)C`z>s_Th^likK*_b_8|h3WMP>Gumt1P`|h^S5a*PL_ME^=}#fFDuU8d((3+?=m*p
zrv1lZqT8-4TQ2!!4aY>BEAB12{l4>uwW`V6M>f&7BO|V*Ox0~>(n;(5b$Ox4tQNCZ
zH_C->H5mq^>|@e%oSrhDbM6k74W80PlCp*qof+o*$`D>Q^+MyrUNg2$2lluW*4G^R
z<GRQ+<=_clC%)u)4BY-(7Q8&q&2U}UD=TiYMcrkQssnR#Zs;$x$@E(0RUVpGCw@%3
zUG8&6zn0Gfle1Iw*f)6o;hDC5%>p*-P`1gMd3W8HwklV1&kN$pn|V3@;K9WFZx&&q
zi`jQ+nF&dy+D^9mta`WU^WRSW-)q0g#YfgBR?5Fx_g~}E88LyqS`*xMIjmR9T_M8u
z=Y;C+WA<Kh>l?Ewxus`3*`4@qn&{LnOK$nPKffk*?3=N5o`;XqN<HRNI&)Hl@A)i#
zF+IyN{7Rn2lvk5J&9IoHc(PE9r`S@id)2XOm)HzVKc>WqU8gr>?z|VvU@5okWX7va
zk9kdOAJ_XgP25lv=P#wJHUFKGYV3hYO<P1Hle4PQZX8knx1(^sw@mVl%NO`rtftOj
z-!{J@!}7|Lkc8itYfo6Hzu5a!^6%x`7w+}1+*7SX78+#NT+{1}u{*pspW9pL8(W0D
zf&X@U&#y{9WDL@`C(L2^{5$<vWv0fgCZ+XT)9U)0)t~3o?@OF2s<T?-?)EATCy{5<
z%zX?$?sEt*tgH>-v3xJNI3?3BRB(T3inafQxksiLH+*6emHPXa;qDpdvzLt${DTt<
zq;LH0JS2aH$M|fm<}^bsW{0l^7vfD=Ha=Zu#H9P-wt(jAe)-UuaUWH*8U>?+LoZdV
zo$+S=?5$T8IZeFHy`cV(s$M{W&k??7A#OGsh4T^$ex^#urEzUP88gf2s{M>d?A5b>
z@a%c^(#onrIJ0fnhG-Ex|A$h0jxRf-T2h`C6m0yBMU;Kcg%3aUa@6?iZQROEpHMC+
zT$8P2+7okYp{5p7ZCi=)0mJ8&g`Y*=p5|SnB3kscmF1J%dTXnL>BevBU&l;5r?^@#
zJUw?M|Lo{IE*;mny(bf!eH|@C+eF#5cQCG(>9>_Rp}1gH$gLgqhmJTsKNrlIIZ-eo
z`i_f}MyR*<k7;6xCxh)xUn^I0bGoo}R+%y_uvcp=dHKMv^XbkK^#zASuAH>o#^m69
zZSKqWnQ_<iqouU+()Hsy?pUQhu6H?=aY8U;wMIS5Vy*3q4Ht14TuOBP;#p+)OPO~o
zbGiAkEgjzu^1Qgx%Bl38FGjHO(r2ax+H*RtuHAXC?^IU8gjYv(Pbc)nXvIH1F}?F$
zm)6}Ew{!L=KA7g>yF+8e`B;VSl__TbIq$s@<6e|hXz}W2=1PwhjegOhFAFw`2z+O(
zXW?h)x@ojSZcVL;Efc4ftmXsri%Ne5w%mMpVA3wD9!2jsh8;a_eA6vIPt*&UC!3bm
z7gV_Sg!TnH@pnJ9-+c7$)h?E}(HivBh&?Wq>(sx`2iaA0^a4Vc1(@+)UFE19cIkb0
zqRu(1oIMx9uDm{CATUey#Z6C{Yu#0`5^hbEE%kRf-@8Q0&PYz2Ws_8OX?pYTlj$#}
zZee@<R{6-<&);rbm$j-ks_$IBq|H6_%AV@mKejy%*v41YDOLJU@tTzTGyALO&U{Y0
z<NJNvgU01EXByr9&%|)rd8=N9^D4HfmkwB~J4nr3KKJAg(=T-!6<S@ZqW7MS__g|Q
z#$WC?&VPO@pR5;uH$$l@dgX6+5yRETbG_AFzZS<Qb3VIs%I5Zk|57b-_s%FCkguHM
z_1bJ>l26t>!J7%84u|FondmL}sc(9cb3w)ljh!2cGI)M2XHo4)%TAsD;8?<yA4?Bu
z9Wx54dGj@P8uQTuIjX*^jr*7_3^@*4JzRhE!n}6JuhX7ha!sA{wO+J1GEyPeYHQE)
z*Y6gXo{*WUxRSl6C|>MYnEpccHKC<4*5L<kEjTe>|H+%SC^63!3Nu#hKk)ptY4RI?
zT@fB%CS%p2qMp-&&9<GtrF-hl4;*QXJo4D6#O(`5x0%(`@`an9{5)Pi>*I>u7dfuU
znYT49uIT3K=392+cK@sm<wc9@yMnu$4(#CgX!Ygk>c`jmG8|XvGq@ZwPg2|0QF6pA
z*Cpu|bGYes)kx=d8^50+(d%tj8^+28?=X`OKJ!@lF0cFS_U^kk8q&|sSM<3d{r<o_
z-?keo<Ch<uu%s+UJa2i7@#akov$yUkeR+S<v~wjTQ<yoUmbN{vRp;oi=Y2KLmaBe8
zyZz~C57u2TezNZNEf+G4aGLOTa!}&eZy~cJr?#zpbt3Q#pG3rrZSsW*Vs51~H%b-y
zCjOs0PciLjOXTC6z039#%N^Ud?^S_%bZ_m32mLP^z1OWhA|sdj(sO$5<I;tfjx3tK
zXiCk>(xe=@r;(hVS3<SDV>d+QZ|se;wADK)Q9sdov$X7{gb3T?g>xd$W-YT2FuuC(
zN4JT<G|TC?)o;%;{<nE&^2CgppR_e2ru^(|a*JO1C*k%qr_`bc`mMcULLZxQrnVMc
zYq~u7e`Z%%*`wC%2$Rf?n>8GDcD2=0UW@nLPP_4hqb%yU*Y%wb#Q*O}c5S}Lm~wDS
z%)h_K6Avw_XE^8loX0nE@0s(h?}Ebb%xa98_&&Zuf;D!X(u##?|6UiTy#Lmed%OO`
zwzrI@*VQWK-r_&G%r@b6**?A#-zrLu)aup7C3X85Ts+8gonzbmxvjF-HeM=G`=MQb
z?enD)wOif)?-jn3TJPb$-d9QMtcRGvw!;EbqLof?JdK<4{7GNEm+F(FubwWC=U3Mi
z$e3X7Gxys*)r-frKNLCoOxHu-!~ezFjIVQkw|Mxkoiy)2L`|8(8TSt-ud>J+cfC@+
zvh>-M{FNs*tiJU4ZRoeti?7%6m@Ww{>3_63tTaulE4g|18VN3u_q%+gT_Z%xT6K0+
z)Gu=KsN1XgT-|vi%e6y&*L3S|Y||(#k3H|L`FUze_1%Q!4pRa;rMBcM2y3`o{R}#h
zJf)5M+?F#&7eve!95%H1_35(IY-hobRXJSFr=DBNUzxBVf1}3+kGK3Y?@YLMX{E$X
zIm`0K-XAPNL1(nyg?Tt9ZM#wO?mM5vGOry864LAQJbA5HrzkhK*l2%VXBXgYQqLLQ
zmb0+^^Dg~sN`>aavjx_#xj5mRXj((1%2RFjjHKmfGR!AOP5AI4Bv9+?`sqy}^(nS{
z53gh^-;rTi9onf_%hT8X>gIpHeip~rZ5}`C7hGv#(0=4x5%+ce-NGQQYwZ`7==`wT
znHatHW1$cuL*YzKaq&Gxx8H=_Vf#4okaa;l<5|aEmb{|(+@~JY?s&Xp&VG%Xa#zeR
z{0m)mflWxVQG3R%EzFirS3g@>;q5wkLg~{AC3bc0oK>Zg7vDU%bTZ~H?+NZV-fK5h
zI)pub$-#MuDbZwV!j^p3U5*Nin_D8@zlnUu^=xbLoLa%YgDcL}&0kdQaM2@YGUFDj
zRH>;O4o1~a-q1cx;N7<sd`+)T-4Z!)%g}=LQNlvg2V8x*I=dK69HKlQriO%6zn(Km
zRoR+h((Ys8??hs?S>g}+83vf`-~aL^uS<yg1cTJH*VDRwuFW-&e{<=+fJ5|J&L&3D
zIleJ&wNtI^@|E-$-Rdjf<xjD($b8zl(mL(>%%2V>=dMnkRA0fgOmc^ahD^$N6aIz!
zVou8XUZ^%N?9_Dj7CZ1#{@rQYHE-{KTRcByugI<Mefpd;)Mt8|%eBuAna1^6s89Ur
z(w<8kukJTKp02$mR8?H@<v!1J&Rh+tW41Fdxdj{U{hyedHE|73_=+yaw%eZC2iFC;
z_X}m7;q%oOc$QTm5ffU^6UiF(%zEDHxiV{1TT0IP&yiy|+<R_cn2KlAuSXp$r9vDV
z{_YT5cweMhyW&jK?kAp0WD1#*eOm4$`L^-K)agx7u$cd9lFz55wTI4b)tUWo&w0`1
zyjtoHHXU7O&~Tp7X>n_@TEzBrJq?pKJ<e60owE-Kw$4~@$$acu!<+DHT=lOFbt{8H
zzDuo+(VpB9a-r(@Y6jD#xz9iLiB4HM!+ZIirUwsMc2B7^RGndalx2?do0E)kv5vQt
zE)-9`y~}^cQuCr&vJBqqB~%=qZdt;!aP{Oi38#+s!%K`;Ux*a1_H26VxW|R9ZI&9F
z&puZd^KDXnn=_3UxVm?rKV7zEyIJ$}`s(6aPS-bg=u~lNWlk1ueY|n*C#wgB553;b
zxRaM4BCMYwyZJNIW5a`!?n%mCZ#f;+<Po!BK}qj9ZHeyA=_S{n>|prdv1M7ww#1JT
z8@pbd|NA9h;G+2Qv-}EzO{{D4cf3<jQHr}<yP0{1wUEog$TfS6%DD<nKg^p@ZT3<=
z_=b7?e?N&wi_+cV9)+>Be@S#IVCDNO;J0MiDRtGe@f|bRFLU42xx2sXWl{#G@v7-w
zXCpNt*e?ffzhp8;L0)&&`5C>xpQ@%wv&>q(<)6#S*?-ThD7=-X)L>ol!RPvOAt?=S
z`^i>wUR{ru$vg4o>IcOM3ICQo`Sx{{LXka-W%Pv~{J-mK&&-WqF-v)o`y;L*i(6+|
zifz4G3u+Sd>ls$K{14af{FRosde`6h;>)F)i<@RRTF2WzIjEBLc*UOohTrc;?pbT(
z^!%3D-_@s8&n~Ud-s0(-yL@Z+!)+FO^g{CHTdp=X{NVWh-}lq9C1({|1L|Qbk~tWd
zT52L$lV8>|9+*DYiA5E>3^~`&xBHL-&)(nKMboFHxZOVbS}amO?2pCt3%z$YT-NMa
zeCXe=+^ba=Z6+Ofy!ZI+?A7~La`naN?haU6BfCUY{-$nh`Cg9&CgyB@LR04cE4*?}
zUrgqe{9cX#K90!!3BNvb{r>SK#fNQnZlsLe_tizbQ>3`rUMe5dS?KsT=3d@Ho?9!@
zw-?9!cba#}X8ui{lU_|GUnE%L=l<T;ZE&<OrHiB3!Zq(ntGwY96OLAypKl&5J3nEA
z?e7(9d0f-Y*==nn!@b7<_Fhm)-u8ZO28RCW3!PaMz&->mv`)CKryIkNRS_ZK{$Z0D
z`x#09gXwpk?Juv0n6pCat%1sZ8)f%w?<Gv(-_j<$oqji^i{oki>^Ey&S8l$xm}5qm
zQB;)mIhI0KmYo+i{rg`WKF5|Rm$8B|lTrN6@@LVNu9KSVZWQnOG-C;yc4ytX`woXM
zy2M+($q%Uy)iLb+J>A)!zgn>5=|ju-4QbKuZhPPPer$KA`HA!w<$G8D?r}0T5p8{V
ztR-0amB9k1<w3nGZY3O8sK8$>pgCh5vroBmbMUQSqTAn==g&DZhxx1BjrIkL&Q;tG
z<?a2r;rK%BcQ+3RtXXpNmd~EXI=dUU=eU_~6>&PbAme)C{e$&uYObEltxHPUwRW|%
z{`t3osWbgn>zoxkRiKnvGe2s}$2!j!wX3c?J^b%dK}=;<z@mVaT`mhRWr*DR!lv7K
zx|rGgev!pGv)j2lO6Q$_yvMh_tkp8dCj0L8uukK58%pbTuQ>j8Qq`TD7pK3<XzX8N
zdbh_jS-;%kq%+5)(y2vXS?bfS3Q68EI2FDiW@*gcMQ6qKB#OSjXK=b%W54cNEyi0q
z##(_%v!?h@e49P1Y;#t)x8P^#Sv6B9KHa@5(zw+A!<^%(_eH(agECrHMNeM!woGLC
zG3V;<70RDDR`_)?&k5-JT)?EU<NeEb6YLj8UH^2jW>Hsp-{&{nH!f}2xAx|u%z8_i
z>~1xsJrV59*Pm>CE!4uwZ96~gdD|`Bjpuly^(3Zr-{$(^?Y3?2o+oz`EDwJ?aru)A
z<AU;5>k4x|{y!W~^{QqqV$ye7eS-VN!qQVSr%em&tT`WKwqmX4!?$JGQ_o5S#i>5N
z`|Zkpk8PhizC3NVz4>vQzWj>USvM-rs6RV=qW-eVrzvIg*nd4rjL)=avU+2i5I1k@
zoyL<J9xiFDx<1`UF32FxqDc0eg2VOaYZk<Fe_m{5y?*);+uOUNt)`#9zBT2}!Qzbz
z^yJ^@i<`)pcVuO_m?+K(T&s9?f>pqDzTbi+Pj@OlJQ1@%?|OfR#i11ru{SDNKkj=H
zxhms(qJ7Z0`onhakMHe@W%+9N#!;&8J})odch2L|zg}+mFOVlaZCi0)Gf(T!g!PST
z&6&rzk6-y}`Q_Nr?uiFI=W3k!YGLAPFZWIE#i`A$tJbczQC)iMk!$9|w|}~pie)~@
z^!nLTHna6_v)u*uXVpKg@>@Pl6nzkwv9fTxdcn3GkBi)HFQ2l%z9{9c>(70)Z8p9u
zCoW&`u2nRF;b|)W2c`MXU+QIS*8C(|m!fH7c4w;b!4J$#sgsXrYzZnB(w!CYs3<yY
zuR`42xw)R7o|I+_>)yInegD*@$mRFeY?5i$z4f&Dp3w6NEZl!sTZ+z<Z_>@ZduxyN
z!DOvXd9Th|?Ty^5?>$>(e?wEf%ygET7M_O2&5y7B)o*-g(f8S|KfR&o!!oD1rL%v<
zr!N)oI<kt%ep0zsy7wpMc%yFu&vWj+-Ivz;%BM9^V~_F;-IInlA1KfGo@cStO{t&Z
z$f9L0r^kofoAZy0Kc9Pg#eTIZ=kx4CE56G~S)bEv4bYjpS$5|s;|H~`gDbwjm8tJ8
zpKkFa)2VWrR8VHTjabFR;OWz@Y*L+eE|%NP{nnJvp093|>|_nJTP$;Acl@SBHs6$f
z>q*7Q?Rh>qKE(It0;f8a*Q{FiCC^UEFV(y2eSh2MtTx5<4WDBiluR~fMcm73W1rVr
zCBN|q$GoLQlk8U}<wkCL61eT10^fVH)qS3Q^?yW_gMYH#znXQRHeCBq`BsS~HQ!=&
z+0^$>`ozC)^;L$0>I`%LaQzLKw{&-ufY2VMQycd*PpNl^Z_VEDiD%RNhEGy&<2KA?
zlRx+*xGZd+<EeB*@ssm={&X_#GG+B|EYiPmZe=iE9FwTGcb2mF-Kgd$@8p*oj_BmR
ziJmP}!%|mYEKtL=@ydcS*WK?=W!1hm47J`a5mLvvR!#np^6xWJYm+BkQCeGE`^u`$
z*LvBODP>2!7iZo&6>q(Xf7{<apMQrYmM-Vfn|}M_606`_*G#7FFG*1kdVcGQpYh7c
z%Q98!Z{FChlWVs9+RP=HQ77ZgH|2QyaQ$5EX1431pV@!$-ZS;mcV}#w%-gs>EoJVs
zwO-G8EN{=5<i1e$#9>a3mrqx^KG<okpYCJV>ZWaK_H)XHE9MsJf}TymD}-u%lu{)o
zNeT*j&RW8(#3{h?IKt}n&d)*#7Y%q8YxFc5v^|noJSDlUgNIeA(L+ILX(pdj#R{e?
zxlFzcDt9aIF5+d|#E^NRzGiub`O;Yr7Z_f6yD)gdh5YG9dtHD2d-adGb5>o-q@PDB
zmKB|D?#?)M@{s;D1{M*e_JEB{=hj7O^S4*8`};^#`O37VA!<`D8#=}m{#nv$`6F?P
z+|-)%*GpUE?EZ=<T<q~$eD~JZ#Tn|~Kc&_^pF4x!<=>(0U5k&h?UMMS{3i86{r6ml
z4*Q#H@*ey>xnZtpN!7^?_ncRA9Oh>hYB)b$zg|Ueh3a$>kt>xprP9sq-8G#;UwP}c
z9$>MT{HLdHIP23xL;bx4af-TU8LD-8W>07__>$(gS}?3yaX#NFW5K^M${jyXW;+(D
z-r!E19N*pO9?~9nXW{~8g~F!O3$j0m?5IDss(z+N=7-&DZ2R&m_OpF8?pv07=~j9L
zU+Ro*wX8_Ln;q&O^k%>KGWn2ELs6~nlErF_o6?^|t_nFg<NL&&8$L2${2O`Z(wC!|
zlaBO6KZ@~NeJq>#vemEuHmUpx9g#`=UuLb9il4di^{PKt*8KR~A+7!ShV=!rNh;5k
zQzVNu#OjT-ti75&c;o%m4|p+MIB3E6Lu-;WYv81dj*Z(rR?oS;p>6VJ#zP!$AA0kY
z`FsD*E$g|&mBS&h#p6_U+rJ0Vi*Chw<On)$OJ%M;Cty4)r>E`TyeTVx6?JO-vP<~;
zDBNUkQ?jveQ0BxLQiu4wR0U=$zGO7pcy86Rhx<=@sCI_ehc(pCv0NZBS)y^S>%s7|
zGi<Xy>R9Y>T33_xN$2j4)i>9FS!iNfr1yV?@%FdxW3Jwr{7w1jtj$}dt|(o4j-BHS
zKhv>uJAR%`4BT@zdFE0!jx%u+GZ;7=Sa)T%$RE35^Oj?Cjo#j_nO8DWy*d13Mei(O
zI=-`5{C4SgThnL1?nb_@XJ>u$!jfm{goRrxkIybUF1CZ`NRj%-NuQ0KW%3)&on`Z7
zI9FF@Fvan)rqTCpDtB(KnexTwW>3t-OsgGU{PyZff65j5g%{gZ#s13_JDJm#_gKv2
zc-4id6W=Ywo@pm?e6dRY@-u{?s3Gb7tLaNqC!M?CaHGIDm&4+d`^ks4OReg^86?hn
z*y2^vpw#}9m8sljR{ptJPBOu(xAsqrX*^?p^2$uc)^+#p1fJb!;Qhq0sc7Mbm=e+8
zR5$kPljlF4y-SVzf1R28`vp!{W0rr7o6pSbz*n{*?SX}%)ZLZO>Q5h5|M6cSVbbg7
zwUfP0er=GeZ<0vhWd7KAS^QeCqt?-_bLvIj)-e|fuM=75cJ@f5aFI~Z);k>LALUm(
zSbO0?c-SK@A45ycAD5>6J+W-^_v(p^Tn~O^?<wGPi&!HT^!#r>zZkEon8~!Zi=A74
z3q}Nf;#Qt<so|}#_?-DC18$o?)ZDvIcgOyivO3d)ZzWcAHO$yL$=%R2Zo9gwZ|S7h
z$CH-+t-sRKQR;Bg?9r2R$Fws%8KZB{jGuAn%wa{&>5PlEY5oei-0ZQkV%4nMl?nBh
z=^Bo_HrtKsn4NPp5}O<D6`X2jk7(I`#3#6{{M;tl$zC-A+ct3>y}RkAe12wE{;6_R
z<#W!zmQ7NOc5IDdU)Q7k&%yb&bYSN5ko)PU%5q9J$4;+*cG^KFAt>|I>#Wp<e|qb<
zN*bs8X@#Fq`0ptGuf49Bb>?5^ke#QNOb)f-O7%M-y<c8#%Q3~7hf?2l<{1?nIlgsS
zuXJS7s?t8Wm4^=JDYQ1%KK&>;sXmo8adN@@>FbWQeD+WgN|iruqA*K;j?lTCXPXx3
zg}t)dd1>X(+L9oRqmC}x{Iw46R$KM6*N7=i40TNk$=a~mvNG<_nkg1dpFdmAn)jNQ
z-|wH&{9CBKlsB%sPH$vlU<jNZ;}7kqyqyy3f7?K$?frM{J}qCS&YDSW>&jdCX0XU^
z5%qD>C`z6#A!EIH?i8-C`}O_0r4D&bENe8GI9tQ+d}VFTpJz6;jh`}kJ=K=9$=7J5
zbeV|mx!Ss1E9_{$i`n!qdKaI5`o+8_f9{1(vG?2Krv(1n5w3N_?2AP6LPimu&U&Ry
z3OCwHr+FGgGJYv&tne|Ib2)<R#pI@AE_)YVx)puhM6Btc=)|nZ+}B-V9NZc?%DL6s
z_^nfY6E|?TTc%3fijycgWY#lT#!ZDeqglM<`{8x>8$bWMF-7#s*WVt(i?e?;YAiUi
zXT$FI<#)ene+yZ$%CvLGWtYqemd~f$ca(^z7ha|KoImDFh3#XHC7O?JsGMB&Q&6qz
z-baqYBhR|DHW-9v9P((H=oYM&CO9$3V?!Oc<jOrh(?3gveASz!7@3=MSNq_@lb$YK
zb3#MUwTgUq(SGjnB<SGBqn_t2orNFDTAjXUd8WoUOn<jcOHszGjVFrVd}<1x@{~1k
zN4{<MBa8aab3@<8nM~F=-O;1swoNnf<D$i)seN~z#0Nc7X{+ktpYVRyudQr7UtX2<
z6(4(6a4;ZqaagPFv@gb$nr#MAWvid$Ut6|rfngB8fkWofrO#s2S68oi8`)@Y{5f2s
zIdX=Nrh34>fO93=s}HyKg}5bIEoHgi6sj`AHG0J}wpuOE`Zbx3t88aoOgv}md(zKq
z=PlEQ+bZkW7tak{BPo^77dt<Xr`No_ZTjB*y7LVT9oPK1?9DuHVv~VH#Qn7sOZW21
z1-tFr$2wo~Rqd|qlGU#?44vQCZZa)f{Z7GO-KA+plb?#?j$IcMUiy93`IfbL>#2%F
z=ZUub&C3eTww%4Ny!Kvb!++-ACHHdYpE+}g-*iH@d_|&hT=B&0-S1xiIa~L0%O$q5
z)$jI4Y0g~zV)5~d%V$fy2)oK(eEIG4qw=W9S}c4XA0Iyh!%a0t29e32?Z9zCEF9oo
z>f6}cn-*K~)y`kfDe-2){+E7ESNEJ*X|+6SU;UO8j~yK>Hxf9je(hr3wpC^QQ|l-D
z&+q^L^LzaNovHsn*-iQ9x!=2f|HuB&f_<O*H}ScisC_p7%gx`j>gs;zh?K1VcK(x`
zUdhrWA)7znsqyPP`QYr+?WbG+E!q0cHgCH5^!lAXhwBzBIeJ!9YTIgwYSq`@1KOIJ
z)(L;lxO+F|!sd5VYu4Yc+OHMCFJ8a={?gN@X9<ZqYH}T{e!lYG-SfGZO~t!hubJn5
zy>{dNw!6{I3A?A|_kPvAz0dU9_ME@hZr@+_H#)yw`tACS`%|TN9Qx<C_JNd2bJ+y0
zoouJPc4TFRt*keQ|1qgs>1a@5zVZjf#dlQiM9x{c=kk8j#7c(Q?(?jd)-RInU+U6w
z@a`veM)yThYcliiCor3zxNSZ0<@Dd7|DL&Qczh!0W#fU%_a~jIzwzxt;L(tplYyVC
zRSOds{(o`*;msPV@vG<FzRiI}LeC>3eM+O+9KLpKJi5~6Ft64+o_b61zH638(xyZO
zq$r5L;Hp~`Kjmr2z2d!AB`Qz&#6>30x!@gBF{f{Dc>iq=mxjY%Q|_gP1Y}seitDo3
ze!l-j+j_4ak#}<c|K$nKJ9C|Bf;Ug>#aCe(Jh~q}_nxiX(bxD}{-J*F%l|s{vRi#v
zrgi^Nw)rOU+3rP=+^QGF)^0ZS%lBXTAH(_Tb4=XN$={76Oa;`7MT&QQl#h9MR9^3o
zU+d)U|LTnNyKk&YXcKa8T>aNsn$^NE&UOZm%Hl^_G0KO%h4eqEH+0XK7|?k98%yV*
zsgwUqspM98_saih>zt$V?D86)FIFDway0T2(%@Vdllb7lJjQE(U+7Au|2_HY6jObI
zb=Aa+tPV?Bj(=<6)~jIqma^l9R?<_M+v{hTBuE5K`lbD8wbc&y8=^hC9VJ>X|2g^n
zn{VG+S;iwa77Gr1J9g0aRDcHa(L3U~Y-j88pXPr)v2sE7=F~IIh5gzaV+$TW*sHPq
zwoUibB<(cmO@C94ZD)8>H?Q#0^OYhk{5I$8YU=YtE{iOD_v`Rlvoikm?%`9OUpq8E
zL8rxK#^QNP(=@zgZpZe$b>H1zUZ5Yh*v#+R8mSGPT)Jfr|7U&9I?s9QUH-j!?y2*l
z?Y=E{Q_}mlHK?xQ{gEo0UEvS6t~+J=oOzG->_Xux#(l*(@{C)KNOnvTT72Wrjei9P
z-%sr-?pwM+zy8ebhhNO!32jezOl0Z2K0hgvp~{}0IpOf}=GEDY_a0*XQ1*q@XEpP+
zQ!6{3Ju$rdW$#WE%ci;pF6XGg%pWfH$_mr|biX|^CH#Gy?}rnrA5<Rb@okto#qh(;
z1bKgjUEXz$CY3i;75gMsaXdBK*!Q(AE+K(M_xcGVJ-yY&1wxDJZx*m@<uzKj*qZ0&
zk>gi)J>)y>w!(^k-VEny7v1YlCGC#9ZgyiwLu`5awu7AeSm*3{`t0x=C8h6XZ<cRA
z==xXFu>ZGQ_N)gx4@=97rmXeTey1KOY1cR5;`aO7Z$G`wzTB|H`b~0vGtcU2HH+py
zUpM6dYjtj%&Bd0F#Rs^U7(Q^;-@ASzRr<);SqhGv`!CeH2{7|NaZz=9{DD#Z<pbZ%
zGm2lwyQO_&aCX?@c1~N_(W4-XJ%YhS*!#d~)(w+_e*QS3w0PI9ZY!fxH+te;+`sd<
zWWs5gx=4rXVwbd-zwb2dnJinr{O8%p1>4Tso^SkMJV#LaxbC|2eb>yInLaPS_+mqS
zy;MIdtIy)ii<&Z9avjsAUZ}Twyp(n8jjtbO1UTC}Et1Pgn0zI`;(d{?F3-=SuD&Z3
zvlL~oy-O8oIXxqrIl4aNOI`RmndaY%E(IPn57}g7^l1K4si`KDtaUcuI`q?5^X2be
z#Z%J{*@_ot)~))P87q5N$6>{ig{&OCFESaEjO%YjHT-n2+FhLXywJ}#agG`Lbi>e6
z<+NzuyAo01f%DsAo=m9<>VIz2y7I^_nR$OSek)t}idgNEcswCAK;!zvBNeBfX{E;G
zGPd224WGO9rme%mWA748T*}nv{p}0zd~<C5p{c8O++yn4FuQJ<$cvmqA1q4DohuCE
z>{^wieH(r1U0IDcN(LPBS)z8uNH{zC#gwK==Vj9#rtNVHJ+k{}TIrGZE4}VNK6fll
zw@AL+b(V(O?c(Ux&klm3(La{#PFP(~y>M^%jf2sxdrcc(RKI>}FLL}jyJq0q3BBCv
z_gvm<2v)Az%)W#3U0;~wx55eCw`^zdH}&aOJy>r0U`p9Bm(BHs($D|0KWTsXmtCIk
zP<2W+zu6}Hn)_O}-7|WNYg)b=oRL%9e(JgYaxW>pX!oodyTq4EE_+>Js=qwHF{*Q~
zY157BM7zXv*RlskEh0O`RJXkUwr$P%!cB5z*<DW~&Ph$Y-Sw;H4*QGO>Jz$kpB=3E
z@c7&1MeOo!Pv%_GdT;;0tp40@{uBI%fAibPw0u8dX4r4d6!lm}{-G_;X7>|oKkc;t
z#U;O=zqQun@LSar|LwCxgx+@jX3#y&^2vFtp|D*<ZZ4Z#&5Qq*OGEF~AIP2aU4DXm
z+jsdI3y$~hi<$T3PkpPr<(Q@2p=yb={t2_67OOM+l(<Kqjl9-&o^!tWZ^pR#;>U;e
zD_q@{*Ht}Z|G^rUZ4>;NnLo;T1$T_I*XHzIwjUEkI+^a3w~7l?r3sg@O<0u>_fR+e
z2j{=?<c~q$zNXBZ`TA<w?`JnN9Q=begg2GHuQD#&mk@k^&DA^EsZBet{rL1myY%y0
z;fw8sBCBp}3wX6`-!9Yig}OJdZJ&I7&Xs!a`4{}{-<|b;^faRR=gL1;^Z)&0c^i3M
zHi~^$Yq+iwcifX7Pf|?#r>|7_@*>|qXGNA?R`#r!-O(W}Gm9gN_X=3#zpgwovu+Dp
zpnib4k3wqG&*bkDZuchNsF_@oalZX%PDXPa*ImVJ+y!s;*llL$T%#20vq|mG5p%T@
zY}?(x*7rW#J$K%l#GV~BeGM*h7vuK?T1#$TF0kau7ZEmDGyjDbAAJ;&OXfNE;TQ+&
zy-l&A+uZs~Ev5E~&yeiU%M5(+abla!pQ}@UthX=nPt47K$NP3^@|_Et&TLld%(X2G
z-S{!z%#L~a+x-*oC$0%pW_-2i$eo?v_6ld-lq)_VukgC4{+iUbl0R|%fk961*6r?L
zy|(zzvYAaLliwS)&u-n>tGZAA@zySvPNNm`xbv?p7Q3p?VXc+aAZFN=Wz-#ZVX9SF
zTneM!y!if`I}clDnjJ{*oNeSZ`^=P$vmQNNuy(pdCVzDKkwpHvCtRB?SmiGLSUa&-
zaZc{Wjy2+La?9LaC#|l}<Dc!wTAO{A_t6xQEr%mF$}ifoR{mGT)6Z`X|5Yyi>Z7=M
z!CcLpZBvX}gD&}|@hz>r8=%IXQZk$CnXsr@i1D#hSL5WAZz9IWGW_+Ed$wJDWLf=W
zq5lT$U3`;Sr}hNi3_2k(e@S2MH^FNUv%8&Dc(oQ=&c5!rdTlqOlJF`CxB8RQ)Y=3$
zFYQQtv*tD9*3MGvUndqj3U3yaoGzHlapH`o%K?p-F8ML4$tLTk**rM?Z0(wguOB1=
z-OZh@g<R~NwxCTW-N<Kw@jB*RJ>Go3Csx?=PqJT_rWX+{Dt}?-g%j*G$3#9eu3RE-
z`nKjkX0+$R?YkcAG;p&jEd6f&;!WGF`le6I1W$#l-=9~k!M|t873azeQctw6nabRE
zHYhy1q;9{G*^0aCXZXJUrd^dExjFvg?Q5&wpR;*9Be90n)3B)`HhuA_U7`hj>p4#7
zz6f`)+NYGTeQ7e|=O0}UTE)M_$OSX!h<r^7x_MW!jv@L%C+E|y6FnNiN7DQ%mApJ7
zv;6B*eG*L8&pOBaL2b*WT7~;<PP+s*E$hzPqGFn17i;`POuSV>>WbrgR{^$?3-yMr
ztg>H}Q@q*#W<*{-I3YSlBxyz%dxcAL{F?`cTe!C`+s}3B-g~*dFUo&cfBs|LRI=w^
zpX;NI!K-HGulf4N=ZPHG>;uORRev^3<aIsy+Q77GZT;Dc5{!*=f3FFub^6#loiq9}
z``@yPi_UXqUa(2{9(?Mvfy(n$9ZnOsD#>;3|2?N;X8Uoz$(pBSKTfFJbG-O{vA~kF
zjV+G-hlQkU*}OCMeKhwz@nBhdg^Nh+x2y>Nvv(HO+Fs+E=+SdFbV5yXcG0>x(V4ey
zggwf9z0=J5wg!hx{n<;KzMN$}m)5%Y#_OvO)ZZJfE|_c^_Dr=}zrg5e%<7eu=O)eR
zJ^a-~&AC&A(ZDOAq(r*A;P?9<x^A0|i^U&%9(#WDgv&JP16RdTCj4xf^lJ0ZjHbU#
zN~NqEGk8`yI6P-nePi%Gu63>MnFUf@K{>~E+;h#$N^EhD<y3ZQD>0})^la^lgqAhB
zUF&aNVsOhoQ>Az0tzBAtt4Az5lbW-az=fF?n;O>Y@)(*dW2@okzqhrw(pJbyIC%+Y
z$g5Q|4y1ZW3Ui!Yk<1hyy}+^cg6ppe!-d^)Vx^{El#|_=|7viax&N@`gi}ba;kO@t
z+Y2Xu;he*_*edi~|Hq8abv-Be>c1bqvFAX2@3i`!HM*x3uzg_r$kWOqlD^>Sbb*zx
z9S)>;a57otyK*ya+h)+5wL_?l$-HSDTb#v}ng9DbWcTe~oZR{}_FjSD%bmTiR%YyK
zT=j5$B!AyC1-bOH**`1SY|NR?QM;|Ll<EHw&POvE7dZ-kl2C1OZ|q*5*SdU?X2H*T
z)$c!-?)aShyu4!jmY(f>)^qM=EZuHv9u^w7{Lr!c>2IpmCVAvl=f%8BjeNH;SYy%Z
zV?Cbv)p;pGMr!k7Iq&XxV<`MLr#det?zxPRBG0GJliO;;ToO%_e6<${E#Llj=S9z3
zOs*w+w{{<y_^_1g>WK^g&s$u4urTcEjHNOxtd;c>nEUlD-`rR96HTl#EB-9Q;a&64
zyse_ertj*DcdGyHnr^Z`^iI*G#=O{JBk!ZVI~)Fc+uS@c!`A=(36a1jLi5X?x9|TZ
z@z^hdP4}hpy>*5BXN|wFG`w)!J$YuG>ee1%FD<)jl><H;+Y3J5tC^nnboCO8br-F4
z)VFH??B5wSuU>@JqF=q^g;>2{rqkK|$_&3F#22iY@m_Ss&!s(0S-d4b(i<B+1;5;!
zer=oLxusjQuk8wcV0+b;*XKlC)@rN2bBnf2bXf6qiNvm~=Y@WU_Ni?T`mCwDKYMPq
zWZmS#H&2C=UR<+sw@Y06{cru(O){<7mo!S=Xh+QNa1P@6yYF6u`+u&l3zj>j{%b!d
zqrWoOF0fIK{qyT3(@N8~c^D+^<!ee*xu-F&{%XX34X3h|djFYGJJpdlzUwhbGce4a
z9+1eQ1nx|iR<ioY-23!y5o?!d#GTl#-PR)Mg<a8w(~mC9`1t5uUhC1s!mjK>{iBJE
zkAmKO{l5Rb>i2tp?$7jjcEWt}<TEp$q}Y|JvbfkVFf=eV@PEEuzH>^RW+OAhuZN5b
zSN10{Z`<<g$g{UE7OZV$aoExMH{tEd#3wOF9dFFN9$a7YrN_4Z$^!QbD%MhM&C{Om
zb*RjBZfJR6Abw@@i`S*IGgz$l>=I1AFFDWnu+g-;5-TUoY_zz;Ept??{Kuo;d#)~2
zIN+AEVx#kv<7T`;SGgCS`B)(3q#OEb*|R;H_AdW%^F_M>-^aD<pS4K4@I+5sq7rk%
z@3idqB!#$>1{ptFy6S(K1m~>U;pnm0JZQJv<q26&w`~8gT44R1+5<<rR=wiYmNMOc
z&U<J5sb1zQ6Z6-;uk=2CDmk5X-}+;j=eMz3Il&Ni^cf>Van?Me+MksHUsCp&obina
zReZ*_FK=7G-0lzGc78Y`e`B7);yZ3li<hrpKRNAX^s<-}eNQ*qzW#QW`C<Lv_PhdB
zcB>Ah+-mLi@EvwDm(82ivhi-9zP`)<mG<E)5-*tFtlquh!lrJ?kh?pWXSv(0?wM8~
zoc!+YF{8}ITBoZoGq1WCahOMQ_NPXh7_(&d${?R7?smL?{QRzZZ9B1g;YGCt=TD~{
z7M1qtXEu-Ds+2Wv&z1Z~Yp>|es>nF8vtC1Z)f<7CwTGtWxyaOIu-eqs=uW-tS34o)
z#9X1U|FeU`7(V?!yK=d~=@=^khKe%`3>O$H7`(O1qqEh8{mi5{@X9bqf4IN+X2#*%
zwYn>-qc%IOj=VjWH+}8Hy<2~Ge&UaMFx4k8xO3^PI+vAkTWl^Qm=(UyT=c6XAZOcd
zdjWxZmVo}&$C<yvBwg8?EkBlcFwN_ZpI^U!+kJcf_a29@ospl-^ZLd$i8+t2XR-Is
zb@AOdcjNlAntSf8sXUnTlUHr+#su!$ys`4pyj4|AHun{S&#aWaIBDCL{JWR5&)<4b
z_<MSO%1NGrCsq705kF(~c5aqnzgeBPZN;yDV!Qs|-J(!m@oZz*>{^R2VSGQfzE)iH
zA-(^7)7*=Bo`th_%=HfmIN&u;xnj<v2PK7xE}AKSuH9dLJbwML?3zSn>8j1lu{Y%A
z>DfmXzrOZ5@^+TYzq@YQFMEG~IQ{BkePBS&=9>(M{_p*jc>CJ&bf40#VnqTay4ymd
z?P|AQ{55r^&ZReVUNP10`~1$|)1gb~9k+VcCnL`_e>8F#t}&}#O%@MGyW%zDr$)i%
zYyV%18%i$g;naU8{)&0g^wew9UWsPfC8uwYy12SmE9<gwhsh__i9c#vt)G2s<C|Av
zr+#w9^z45sj5`dAp3bZ~Fk$lKT-|n)GhPX966tQqbDwSNcM!{V66<#6tX~-wa#f(<
zqwXt#ymPTejo;4idH8kW%$$7zArJ2UY}$4E?TSTvM7}Jxmbsn$eR8ARtog3L%Vs5i
zW#u^4{VC%7^|WUu{i2VVeWxz`QReubA#&%HzL_dL4-R>TZrj^`_S7B&mDm?5N7$Qp
zH8$%#zso*x+kQPZ2bq+_rQy3v<>Ms+>kG3b*iDtbcO5x-;qDes(M`KPCZ=(2efqa<
zX~ZV$#ZTuf*|+42{kzsF)(?*u+0C3QkvQk`L*pHH_89i%O{;XgKj%-+k*Hvys{Qvr
z<a`Xs|FK8(^Xa#*ZvXB35O98t`0>=A4D8WX$0MK4i(kv$f6D03)k(pt`ENY__48w&
zeaiRa$Lc>nJ$vxpM&I)7^DnqB|NQd)gOVjr7C5}P{HWs3>V)&Ztd8ED^6}iupjzwt
zZ_JJTlNV*ZT2h!JuGBO6_>$~`!iPnh>R)6kMTh3ODrh}o&t=zIzR}pd;-JGqi+iS?
zNebU;<(1XSPwy?$|MKvp<~=K6KZdVY=P_k{N%_!r=Km3ub@e>ozi|h5z6f9vj%wO9
zN$lT(eTkpG>PP(Aa=N!UwEmX$*O0aMcI-P@%+BrVaAx+Nstdu28|zIRU+|pTa=gvq
z!`Z7-tYX&qXl!y>A9sS)noH3*H1Ev?zINWPsgoOx?=L+z>2PEQ(+T^RGgVh_-*fQm
z;<r!V*(&-hiu~GIvDaYBhWg`&?!9lgbl`78kyZRo?;=B^p!5ez4(fPMxS{60*QG=x
z)$Dvw>bIcAVEOfH-Het*<~}M|_%bwTPrwD=7m806@9+0ryjQJVL)cMnwYC<=;)tIy
z7qh$e8cupB;`^fRNSXEt&gt8Khc$bd+%H;?@36#2;h2cRO%IvV3Jd?GA6)8Izm22&
zXx4)J?MBOL7R*{aDK5SAWo6D3Erm;e8g;7p=N!H@nI~!KkAQPuZhu<y^!bb2HxDkX
zG`QH*6Ogudot}PIQq7Cq8xAvWf2JP&=q(fT@?8;!Z%@hHR%Ekb!Se83Y0M%8TTX}l
zJEj^tSzgD3UDp0p^mN_ZcT*oPTGjNdrpT(e{&dy$xK&SPoioe0VpwYC&0hOE^lMI-
ziNvdoAItA+|9x}+gItRAe}|om7g{d(y0=qw@ydzDKPIJQ@I|h_c;(lxxHp`$ze<-k
zomrCd!Xx77%-jDIXPe6X;MfwU^?ug8>l}OkiT{x*?)IG`Uo&M#AlEYnbtBGg$2ICL
z(}bt~XWuow{;`0P;*_0V8J5@Vv+K05^?EORqH*>il^Kx>{v6eNqA>4X^wiDoA1#xK
zopjWcW6$J*+6OKFzaDnDzig^QPcMhIrB&Avsl$s;|6=S+6jCoq&}VB8&*IM4o_Ata
z$h0Nf|4d$an8kCwpt(D@@G&uSbM}9ln@*cpS>2GE;4k#Xt8I7v2H$_1QVqWpZ;bz!
zdgI}|tPuazE0a~dM4I2)upH=Iy#MP1g>Rp4gv{iXco)>yxjkcDrRce19tQg#TN(X1
zY<jBm(gqQ|kO$1)+IpT$cXs(9wcr)wOa(5+DX%}&UHTR>@9*Awe&#$2o;E#XN)S^}
z=5~ABT^;<OY?*{!*6O3149?U`dR4D)eNdtBuJUQ_hi|t__}6%F_3>MJWY>S(@-I7Y
zk5K#@vnUQteeJDLA5VyFvkIEW5|F=x>))kQT>f^Jf=l}hj$Ad<oiTmlr^E+)R@HVd
zb$DzM;djG-uII6DYc=#6&EG!`{(B<e#dAlmX*b#r+snHx2#P$G$s-yy-F)Fy&ic1E
z=PvP1|HSb_;$_S6txxyL*F4wV(5?1mm*@+wWna3CT&AY=Z&2iBYh^Y&Yd8C>|3q`?
zU0d3(ck*qnm)Sf2{gJOxA@Nbo5eJn^_|Df9>bK53_VA&xP-dU*<HOq9uUY9=Oqx2q
zq@QJh>zynuk>j_HK3&v%%SF$mMs?qw$v-SA>!$@DDy)fh^{tX@b^h(2DY3wo-=iUQ
z-b7vX{T-R>POT4r<!q>Y?MUB>i;`a^@$YTenz8xZO7Gj2ol})2NX?17dZ$jo-7#-b
zbp%^~-0a}?1y|fxWl!1i$dUJf@VBTICBa&2rde*s%;R*wNG7FOTJuC&2cMYP_~pw(
z9?Qs*u2uDyp1X;a`7BQgHdpqn<UZ~2Q{mM;`{|y-zccymDoy@a&WJy0dXKYcT|)SS
zxhgyclaq6=1UOWv)E{J;(;QqN9sAll;!+n&q0sk&$(g~XizM5>Jbxe*u{`E-UH|2i
z&jhViv={AKXnU`d&)VNKwB4h%i;-7wx)EdN$)Fv7SzlbMpL5vBz+q-)o^I~b${B(#
z4)fDqe712+2>zJJxcu=29ks>$-a^X)p77jJz1T6~tV_jT8yVS&PjqC$#7jaa{a=+G
zH!t`kS8M(p30cu6R~nq1HqTxbAr$85UM?9E-1~W5vsl=H%B1Md1v~g=wR&e{*t5kL
zm?a2BzgW&Gs-SjxQvKZXE=Lpe-v9sj^x!<U-QB{;JhPwvxV9xU{#!TqrZzt%Q(s@t
z$8UMX-Is2dd?+C$_pawxHPwTLi`FjY`g-C=fI2tdmaj_!`{(f9cR9w&*Xy?ZPi4fk
z=J->}xkhY<mo}fT-r~0Ew!ZAu&3TV3?I*7I(=tWE?c<^ZuK1Qu$2)A>>ThPT&AY;T
z{o|fSz3X$u5|#-6v_HE?|J!!oW#>*@zEE@RdNVJJ{k`e-`_?utnt$oyp5I5h!Z^gH
z^=_3tws3`c-0ijew;Ka&Cw9+xF<*b-`r<RCXKK`!>jf;H8~Q_zIs8w@#K#uXS>~%~
zzumOJq1*1?*7jZB&n{ZOra#Mn-|Xr)v+FBuo9w<ly_WVjH+We+pWMvX%Ez<HIos?#
z<dz-bT~aH0!D+IQ)8eAPed1<ECBFULtoF^2Lp5vpoJ)saGIQN64=D~&Y8FVF)T()Y
z#lPo42l;<(Tjg|4A~Uj+_p@Z1Te$V5eJSQVWv8!q2V6OxBzafct|<SG_=%-06?1+B
ze4I6}-t{rhq0EUgMzi$tf4{wc<ezE#k$>y<&+4DovC`Ww;_Yr`rBk+xwHDd<dN`lr
zJihh0yStnbM_bIYdwX*Y?E~U<|Lj=rrPmN~|1Y1l*g0d<>%C1v8{=}OxW<;ZzC18n
z>j&G_55>o4tV*~Ly+dkE-j>ST^@bgKU6~Cl%MNa5JNu*lRY8ND;F_rR7c5o&?3F*K
z{#x*9**4>M&QS?pjL!(^FI*$km$%hGZJj~E{dHx6Rx@~(KdA~5efZ>=)0_ui@<jjM
zaTb55_xoAi1pVFX*q^CAXIp<j;xF&w_@wr4x7f9P0-sNjuKjQ^B6-ePkypW8+S!IX
zWOpd`g<Bur!Be>4$o~5E+wOc-Oj@3yp5)Iw{UPIK@r0GeO4oPI*%Nu+IU*r)mSYh|
zX{;l=>9<<(3hBBVmTIQI4eg%PO-`ThapHCTtp{$rhMe;a<u0Zevn)BwnPXvo{dd7l
zlfo5;<Q#7D^eKLBkuLC`a@}CNlGdB6veI8RlnG6mD=zu_{5Gb=Cyuhssb?<I*fcY{
zxj5*7L29O){FR=UGlc`>^VYb{S6i)SmZ1^9W43Ofvc&1Kv)j7%oZ9cXi~qQJ-yD7I
zETxafI@)`0IoAo*skClnv)oYj=Rx(4O{rm5bMyQwjm}>^ExvBgd{O6XS#k#b8;_j-
zBOc>0f7P3)taLSl;72a@jZrbuv%>26Qga`gb?LHQ_|?3{H{n);`p@^NtBi6B*LK9d
zRuL;(rK{K&z&pK2^6(b{F_{lPqNm)s@GGJ1rOC8oJ8cbPey!EL6aDdfd!+cc9Xe-Y
z-skV^zO5m$Nz=L3?@wRG)C`@Q+6Vf-e6W7IL*HlXi7)D}lrC=<=<WNk@{P}9iITnv
zG4+2YnodbC+?!)K?N)7c>VtAg-di917nIkD9{iGQRAyG=`how%>5FcDX7XR+HcI!}
zGl}aapRMlsJ>{v<p=q{T0}7sMO@H-grtqq*F$KyqqTcoH7ZVayWofs+Vr0H8cmHOq
zx+E7i%k1Aj9|RrI+?lfEft@as&1G)W@`?7>nQNEUtDWQw5<SkeU(Z-DC#EiR$86Tx
zb4!y|q+`p({}`}r;rKea{N622wGK&>{Tb04b2AiPpJ<jotp4pyC&!l9vzzTSXY5*=
z<(Kwk<81!*oU8kKKmT22JK5B~+(2@%<tv{V8?Syzny5UZ++e5j_s}}c1#MMrhu4Z9
zzEri>s62vU&z1Vz#!QnpWiJG`Y&_y{@GOVnrQ=JAXQ%iVw(MfsygOX<p85*;_vt&T
zWlm+y^$(O-v_w-O@xtzZ4e6(MJvQvgTC>7#an7WEm0!=;&c|I*{LWq-tuG|$`^j6E
zqqqIe<XJ~HnI;6L`3dO$Z4^6Ya`WYDm5ps{UZkb3>fMxgGI*O^NB#A6;=Ap#%yaAB
zTUi$6ojfXBJjJMI_qVflb0j4WzpQ=fmvPQxw$6@?ZN8HvS9eD1rQR~MIy5U`g--ak
z<Womor<nfwI#D*lb#|xTQt#O{CaY~iX8!0q^y}`+8+&R#aT)u*-mI-2m{#-RMaNR!
zy<cW733HvKdR_dG%G19${9{&4uK)L)$#9Cv;%U3P|KxlzDPAYzGV{gcY08ZEGH!XE
z5;V>h?A;(=?<}_JOX{8(YHPo4Nj;*)|2^{G-gKj@v4WGQF^NwUvHo}^^4$Fd39}4m
zxs#pQXa6&H{g=P6XoHNV?<t3i{DE4Z&q+15C`5m8c-hs`5SV%X$LX30kAhn_DBYP}
z|7&~yY!?=b_nl|z+<QV(RzLZ9w5wq2>%~s1_t~HCxxaLe{2>jto4uw9nZITq{pqkK
z^r5k@;k8P~T8oQQ-x$6zd(9;+SN}gK<$%S*+eJLxOAXS$8@pP}v3Pi@W~-+1vgc0W
zY;i|6mWf5y&D%VwLeud>+SQ2rAH|-2H<{^o^ng|UDtUFgwT*VIQ=jb+@NJ*)GgU-b
z?(E0kKHcZ-7MyL`vn;9F+Gb|D;Ef5Zb8anUc>O~uTk6l-$z@R<zaw4l^{6@j&1_v3
z?z<@dP-5&ZrML+fEZT1WZjwq<xBczH{UtGTvlXk)j_(#l8p}Fkf*NK_D(BGS{$8}(
z`7|qE(XTJ|Y4x4oQ}rHfdR@MM`5X3`3EDsS1s9Z0{&s!K4NINOgJuQatW)mY=eZZs
zmvY%;_kpc4|7Oi)SFoS9yq!lul`+2F)-LqwGC@thmq(BO<a-<TNWMJcT!EW_MTSJh
z?gEu3CKq;ItCj1sWOIyKbDuF%_WtIMLuRYIRNh`+krs67#EXvF`p7A7$}XRHvgw$j
zrV``BL$}N=V{iVR^q05ilc#0X$)m6IS^~E7WZ7;~RR3Ek#d>Da+;dyMWFMP+r@5Ey
z82__Xe95h^^dukHdbPgUovwfBuf&5R0nB@uHZrhCXkJx+X?bi)pqj}%*Yyw5{)rje
zq$F5QjXv;EC3n*NGLu!)<oS8)FFRf5EHJvW_lcoF{)D4<%Fmvvk3V=N$7$8^e>Si0
zAGP?iv`hAq^8PiJ&siPWqJ+I}M~Ebs+*~-RaDn|SnO`P$Z*7Fx_o}W{d-QJSt)=0s
z-`d`|^=!@GDO|S~M_XjSnQk}jw6Qnu2Yp@N^^e(~%~{mg6zeW^<Dkyhe-UNe4wV-5
zz0sw5JKpLqUmL6Fv(8&*2dh`#+cbx#E8O4uG)%l(kvntcqg`($9xZG;cvE%JGqb%?
zdtH95iWaD4^IL5y9&_<ex%KyzWw$+yYk#kFF4Y$*dHqc^IOjx=>#Xm^3!c=J9-SL>
z<ZPVxk22q&WnzCrJ{10HILh%mP4js9;}yT>&gHG=-uGsK<*V}ik{y>r^{&<y?X{84
z-En`FQttCP|AMvzO8og+d(HLK8sDgRhC>=ntN6DsW!iK(H1XygcCV}Q0zPiKm0P2Q
z-Y6}*vb^Mb*Pg^*GX;Z=XT;hpRu;YdP@wm8#gB>RIsqZc%T}Ip4&riI60+|5*@{zA
z)oBZ4x6j<uJ+VG=`stVxvtq?F+1|QOx%zOgp;LL(N4~2w`gIoecy8xY`n2+r#s&ul
zmf%-Evaj$wNOesM`10<r@0=IhM^{S8l`{KYU*-C<U2L^fXpH}lQxe9)iM^AfZ9|P~
zKJ6FJ*`)e5SiGI9CVO`DFXhlFcNNdNTvp3uyIK3q!@KBqjaA#tdV$}w%J!Ymdb`x0
z>F(pp+c)GF3ZALq<>pwu@v3~S?Eb@t!r$)vT(xS~&y;mhD?YGa5X=yL6ug_^LW$Dk
z6B=yCmA?H@e4NYkGWP4H`)cAjyj%10FJ|{D>TBJTer6D}_3DlEttH353-V`OsK4c@
zvUuVg<u={$PdVM&qGlKQI8Jt~zr6m4{@w>)XZc5H--~U2dH<EH*QAItCcERwJDv#!
z#a*$T&cwdAmi?%aR(W{j%iLuq7rr_9JD9j_O!>*;f75xfQ|<Gg&n&+e?U}Qxa;mn{
zt5m`L$E&4udzhCUk>m{DV6!0ivN6N$XucJlPucAbz8B)3d&}C#Gyiy9hY0_9;fnfW
zJ;%MC9QyP0;+YA(g&{tT)BSo?eHlHIcfMP>>s{TtJ)4-HUUB@h=C}H7jj1KYlOzo!
zN-y+#SGM*T{PW9P)VZrSd8_%te`h`(U;XxO^W?mXN)rEWc;tE3ettBwPC1M%uh2@<
zwXiqg-h+no5~uq)-aIUM{Im8#XWBMXJ<s>dr|RF8wTIho{J!haY}Pfk7aq=5S2D`*
z=K1|Tc55E@=PS|E%QyP_d~;HGmN5UT%~`|rnqSV<+qZ{(l(~O7TKN8q4cVD?B~pKc
z^17ZaeU!d?ZPC?xr_yt;mDEdqj;QNd7LXD1zUKX<g14E^bPjbKcVD;6?&Z>?>s1Fc
zjJh7|h<W^Od;R2}hSz?b*DjAseZDPjPff#(Lz5mKZVrptl#w7Y;ZF6J+Z|3{dp8E3
zmwV{kcT=M>qT$5Bo{b-3|4IL6u@yV%_U_z@y~a$kwah+;4i{ft*md=IPjI(W{meM~
zWaXgEiw)Cn&$q2V@_+Nn*^%?}@3HYSWIX;G9(?`Ir8o82ulQd5t?$u%c7X3su9K>p
z;hjkZ%Y&_i&lz1h^dW7=uAr^krbcLH>TLb;H8Az|x~pC1tBb$wd(!wh{nugj;$K!V
zI$VWKlYfO@OMiYgE4t5uN91p1(4^;!mzuACyp-Kz)jsvoyPG&|g+Hs+>xGq6)YMx4
z{kd>C^ReJF#<B5TlbM7Z#Xh^&FLSPFTfqCmefIXb!Fz45yu16A`(BNoPV#eyf7;>h
zrIVx+&3ji&Xr6NB<=SgbC6l@H1P^y_|6S9__9Z0k*B8-A54z`XPClo$yKb$&V*H(u
zQ?K>v>fEj}iq3!QvBBe=#}=hmuUa-=U=Hk3RQg(B$-mD0q+)tv<I!JwQ(GQf^bW7j
zQSD#6((9cni}X>!X-x`2A2Ry3d2CqvER|)WxMR!Sy`Lh4-iI@;xtJCg^>B&itjPNn
z(zUXsZzt=9y8phZX0y1t^Qul>*w3P6ZYK(LZe1$#I<{`P`qx{*jHPEE^9SwvXm@Sj
z{ce-KUr*oo@q1V`X3JXn@vkwhu9zmezd6V>y#9A6i#SKg^2^#k4)F>_{|b7xQm`k<
z@WutF{fo{nj1JQ*^>C?Ei!f<v5&3_L``<Omh1~xp_Bdw8Er~0hoBoUKpH!0n>Nf&%
zU-ceMUyyq8==9QX#h-eMth3&*3Zz>Icu6%+H~Awud8Lx$k)+)&zpsby7V2oJ{<_vW
z*oSY;43|^&X9Q*h|4c4RQkWwuXrJ-T$hm0$P6hcsfyY_DHGlNQ%)5O3jd*We+j3d$
zB@f*$E_*ObDnw3a+x2@|X~$M?HQZ$3GkwQCmT9-*mvX*wT~d7MmS3ppAHDjCN4Au|
z&0VN?=jQdt2SR?=e0gSe_q*9Ncb3^dR-JretMc*RqbKPPQ?4o2zg%FUetkL5vy-<t
zFIsGfPm}$>Or>$JTN{^O*6rMPu6BOaE-^oojQRFV?>n?Ipj6rO>*kPqPiFN;-xHp+
zOGw5>?$H1K1&4mj-~P9pQ{(5K^Kb6I(7IB8G4%h7|J@(=3oHGX`rl>v|N0+m+fVoB
z{f{jD|1Q$=L;k$_?0{HK&Y$x`gIo4r`gZ-9Ff&6A1D~F5bSk%au`jo4dAPQ5U21%2
zc6c72SgE_1xV5zUPXB50Kb}rWePdZ_U(xIWy1a&wNrV}6eGO!@=)CnUms*$@7-mfO
zuVGO%{o3P^4#EN6sLHZFf4nBn$iQ%gk%2*+ffsc74Fe+s1H+QWO-u}v8CfJ`LPIzi
zm_b@W7)|^1n>8%b%<L>6m9iGN)kUUT*iJpmz`$^Vfq_8^Y7NM)C5^?b43l$NWzFzt
zE|OWjHj06Pfsc`aK><Z`<MjEpEE?h<mw_<4>+aRENHZz1Pyb!ZqG$qA0K#aBB6nN;
zxNt&@fg$821A_?EbVvv^@lQ8wU=g;dNJ+*Q2$7mkIgUCqGBCt4BRmH&p;c}A{5m{#
zKm8nY^C%Ak!(Dj>1~I7JkYHig0NZU>oRL_Nio<ruw%M2>&SViD1_m%jF@w_-Y=%ui
zN)iqeAW20_-bCTAECa(2Sq27S6cZvG!6sN$<l!&?;sn;j__rT<85lqq7K9LQ^iO|S
z&mw~}>@P0KeQqemz@Q_B2z!Xe-MJu}rLpLQSozBP!FNjr1_lsD4LS7|kWS&re$DLW
zcoLOF=t5;d1_lOx2K1nx+cN!P1B)z9tFH%fx$&N6U;trM-@b-eZBv9Zz#)!5t8M+$
zhJ}Fvgi#%D95B7G5v$#hs9GxcY5`*)BST9Y8(M-{69UpHJU#XcBRlq-2(cUGS}T;u
zezlZodSD%kaD5Vi^bXpGet?02!G@86K@@5PB)ywoVq!>1EzV5Oi#LofFG?&ZNG;OK
z%u6o9n|$CKzq!GwfDe=cz<5cc@nxn-Eh6=aIP^mT1-|2ihk-#7#XW!TVY(;2C?!!Z
zt2iH5l7^UxvfRHO#n5*TF%8u#Pf5e;M)*nxY1HKQw$c0}7UMF?Q&JHIdO*r8RyI(m
zNH9n+OkrnWDC1#f&|`2lNvaI@3J>u%adk9K@=T6&b#V+$a`em23l0fQPBgEKH1H}&
N&ksy;$_vl71pqV39!&rM

delta 293980
zcmX@Nf~T)Ts6N1(nMH(wfq{czR!&}|)bFn1L?#A?I8Fuzb_Py{l;i@virk#g5LO1}
zr|(SDk6t^Sx7a|0?ZMY4nmS>|-Ip9Do2<GN)-bn?&zx~*Nvh=olX*f8Y?o5z)m>^^
zwaTq;&e=0fz312aAD^ylxa)qd(T1gal3eRnXJm!%-2b{~jcL7a+^MFgrZZ}<l*BUX
zo#w7vT{3m|x$P4zx5{?xU75W|pd!UNf9gK77rgAXPd}}-n`gyW$G`Pz2H%;sRLzX!
z1t%Q$<T<!_GyHOReK&?T?~7tVBF~p~_pFT^UmRX3y8T#Bu4h!k+vwP<A+j~{U-YJ}
z`FF96bN%fndOQE!y}C>1Wc|F-z4Z$%bHk@x;ax8H_e8B;g0^z#(Mib{oV$N8R#vnf
zI#F<|;Cn=O_oi5n%%k&)*E|gOJ2mN3vh1cV9pfyM(!}3so1<j;KCXUXzIFemu06|k
z_q*Nd@)nA-DDmE07HhrEKVibes?ZN-um72mDstrar?&+G8P@-p`yQ=a$su*5KJ>26
z)wd7zx=qsF|NA=intUAp*46tDa+aiQDV=q)u~AU!-J#N!I8Te4E85+E*6$Hu?fa;5
zC1S(9@Vdy=bEZk&JUx>;BQd3=Z^qJ=^y<>-YL^ytSaBa*mfsTK@-Wr0LW|!`SlL0z
zXYI8mONuTW64u%s)8}wOj$3fnx+hZ-K8e&j3Ok!@)@`vp?Ajjr!TF<F@UF9~H@`WY
zz4=JoOuh4$oa&{zP3xAi9p~ohy&=%_GOJuI({kU}&nB}{^wSr~&63}DW8>n8XOlkJ
zOy0YswKD6{v`do<lXi#tJ`uRR*=gaSPc5en6-B-u3O*Spr+ojgx=PK2EAgR<mTQYO
z<gSI^OjMkEMp4N4_4O(Lm@`U#{(N3?{pBA<lr%YcKa(yf?QMR~q{PTPD<^NV2#Wz&
zz@5d6kq?w=T~yCs*u}uWu!CW;Jd61BdA!Wr_0R;{ePSht@s@(pAckg<Vve~P1|P$3
zFU|d5uC=!;{6=x2cS+rz`ge<GC*53s?|a-D^AmZmgDM5Ie<%mdeA@G1a>~vR(o@+_
zKiQ`LGwtJ4`%mxMj$VKBF8-CzT(zwS_hn6g6qx;a-BYo1(RS@oxmk5ziURw8P5FC<
z{ia;(6xR&f3mVU(CKg(}{?aw6{@qjATCr8jrEAl(*ZFf@_-1h9#I7Y*{frIYZ$0g6
zIQ6Z&{Rf@(V$-ZPpDEh)=*!eIw;51EAyQtYJTroUfq{>afk9#N0yUAz8`wlZ!7%wa
zn~M_TQW2hB$4$%J5+qsK)+wfJGQ5(4YQSWDc1Wltv1@UFLoJx`uf3`m1H*}jlNC+H
z>nl={;n{re+}Qlb7Mt4Me-9Tp5jTf*nf0%Z&llOBJ8gWVa(Cn7QknGTgAN5crN?bI
zuH8E2-4~N*`{gGE<xHC7US+w0p?mJZ8HPW1oT%zn-84nDa>}~!%uVO&H_Q$+e-#@y
z*L8aHJ=5QozisNh?Ec)TkI@zSS0DdLrT+By_SaXhtDOI~d-e0uV^xPgC;ZR)wV}xW
zJNwDg{crB3$f>U`|C>2Y{q=YKk8k|9O^g5h@9)27^<Q;w+WdR4>8tmyI6v`|?e%=^
zi$4^7nO^@%{deiNJ#p%%ZMr7s{7e0L+ulf2Bl`TJpPxQ={XJ8^<YfE*mRL3R$D5Y_
z4Em#7=~*BC`p@B~2^H39H@`#&{d;XS^O(F_x?{xU!}spVN!y<@{u$cyzxdQXmD4G<
zwMjycKWCl&biC!HqOoO)nb*F(>5L{8=j#fzzs>nL@oCP!C(YiiN7H_?uPDF2>wEc|
zO3P?nu|NAy&v&eeJ(i|@&&WIcbj7|uhl(r@%~<+5m5ulHgZg})?*Hm`>z~Z%I`{K?
z%A5Kh`BQw-`#=4zPrQ7-CabXelVWH8|D(%{?Y>-h?B0JiT(jD1pQ^u4jivdnAJ=^T
zTzPc))A6<iR$&1F;_Y8zWg<%t>RzsmI$M`+{^@v2mCeT2g~#mAPR>x<U2EQ({LRm=
z=Z*WZ|Bv4b&Y$u|R{lUuy{Pf^%J&v;?w0?%S`(>%!@s@sM|s{e_Q&-MzhsVQU5Sw2
z*4O*>SFBl_|MZ(WGwUC7JO1;infPV?<~g<>?rWSpYW2gcwrX1OU+M38hSfg~{yHgN
z?=yW*vDxf(kK-eL-u1VS`+wxE%)akdYwAB9-)|rmZGVDowaw3fidToO9SVJ3ZyEo(
z=0uH4@HKn+{&Q7#SNk6lOkBL_OMjI7`$s=@((P{=yr|<kcYe{srwwyujdJV$raXU~
zc%)r|_s0DFfA!5gyz_5boVa(+k?*79t;+p#SO4&y_sR5S#_As*{x<IH`uly};}-(b
zY!V00?Kb;=F8xlVguO}p0>Sy)wizF~<Z0elzvcUN<*hTsj+ZIlHPsc1FS65(>CRkO
zctD~|iuLQmy^V`1u5}faiX|z|`_E>vPnPdm`__WFcF%uu@$}Sso8Q{8Y4^P2dh7F#
z9aP|PQ`|kP<o9*+m?^zi|4q=Je?%$0diwQ?Q=juJ-Y8#qyu!HpkNrnUHs_}S&U&41
z6Rt(ia6VW6NJA&P=jgeo*A~9u+aBz`sPV(bdr1dZ=D#d`?Y8>whv)2T(ssyxZlAyO
zyx@H`wVy@5KkvS$AhkQN{>odonrYn1IkOW>@*ni@-#bt(d!thSU1Z+A^Tl%ilGZ&v
zaA~5cUzhQ_sH`;CRLivc^`&*ebGnyXiT_kQ<}AAV`wp*dPYUa|+r+s$7CMTo;`qPE
zwrlyUX9o;=**^uWyixgk<+E%X=DEk&D_69<X>$LhTgfLfFX$APzLx#rf;U^%NIqBo
z-x~d>WSfPSC%ei*p<Vv#?oWFXVAxZwB<}a1lIybKi4^txvp#>nO@8a~JzIK;GSAY_
zY+AQA1_!R;_kMXN`FhR$BlU+Wr`h?|C&UJ=ygj#I;nmCszn_^z*s2zaoZmM2w+CCn
z!qeAZH_j1A<?iYBdX?9DW$Uv?iO<D+Rx}H@`nfYlH-8Lm_xkcqVN=exFT0aAFWfS-
zc+tX6GYRRb4ELNj8+JcG)%R%0jQ;=o9u{A^{xivd|IWgoBMYB1)ZCX_wo|K{tA5fC
z4(COaj&Y`J$}ilrbw<37N#4fIb>S+HOb%HbV|ZI4^y={kUY0i({0{8c5!3S5o9)~e
zXK&5yIbx0%GR<}69v`)d+V8U2<)T=4%B(vl^q)0fVrf<uKFhr9aPRMjzDI7B9kTr^
z_tvXUqGyq+o2TkRVd+yLOQ*Qqah;hoC8S8Ie$KAa*&gdB9j%i6oor>=tgz2I;AI7O
zPp^-kFSnVllN_hUx8kLL^RnI?zn$0;(j+yfDNn6i^!3g@llh$D&CeE3x;Bx2mVUjb
zi2mc#TN);WGY6O)=6Jhh>r4X<7NrMC)1uB5NPm)SStRLFk+S^F*_Q9icxQ72&)vXr
zV|B|SNw@k8$#4_lt{?o0F{>&s6>SgQC_lr<c2QUIyMSFG%O_`VNV1;Nr!`^bf)a0A
z!<c+)1KS!G(F1oDm8|p&&$pg(POsqLuMOM^8|-vc_uQGjX5PlXuLP&AU~A;)y497H
zm**3sX*qSqii~!_pw3roPw;P@_butkv3E;0t%|?xP;)$FQoYr-hr!=fr<VS`#rAly
zP{=;zmDRU4B)d=Q{_B<-<a&3i$XWwCEziBC&Wo0b?zpy1_2^~2!!?UI-Q3kyTFl+0
zYB}ZE?4EN~p)LjSMLtVce}BPrW$`z^x%G|8-;%9kbC>OQe)*+iZ?L)T!;HT-{4UOZ
zxuf{ti!T{_Pn3t`upireynfn77vorlOD`{0eXLjl@~lpYrD@Px-;$~=bs+!Fvt7m&
z-4y)LlF`aG%6{E;S&#B0@hu6pH(2>1<flE_`~6qREZ(2;$+1D2TIG>`t2+gkA9{BA
zxwz>p-LzUsZcSYSGcC=heBSnxSU2W=j<28I@8#3{V9DJ@_xfTwuXNX&#wH$q^hMZ6
zllMX8$_=^3)nFCud8hAG85Wg_EBSGX&a3z=c`QYJVT62{6WiB^R%KO({uX?YIUl}e
zch|u!O|Ng9^!{8UvAuSd$z0dV6>9SqKY4XnY@_klEyo+PoCPig-Jhj+bWT3!&joYW
z7P_vxemTfFRa&6e%l$py?Zx#+FR%K0<lM2~`K~M8I=E@s?qxmmeT&%}_2<hI?Qe3l
zEEc*PeV~DRuCI!(4XbwzPteVi8aF!UPHUWdqm%pl$-_sr()#!KZc3BixQl;Xvby=%
z%}wvQUh^4*q#wP$a@q<F`MUOZZmTPq3s%35x>ud=8d@H{aGKZML(|pUoxVFPWU4oB
zT=&-Zw^>Nli67!2uby2KwcLE@d)xKxk5^O|%g)tmc)-eb{oAivZinwXbQe^q9v1CX
z)#r+_=h}PrWyn2_J*OW`iha00zxquW-!8Lr_Z=FaKa1U@{onCI-BitRlT*H>FRHk%
z7;?F)PL^5qRVg?>TV(gy3#FnfghfvIsor+jS|1;As+4!%h9#fa@5s0Pd&aY|U{-?L
zt*vW}7|N2CpG{Do7L>!nusmY@H1S`vmmhOk5)tLw%l`M+(h7lBs~P`ZXScci_Li7n
z#xtwD^Gn+p=2bN079BjV5!3IbtnU7H=N5$pJA9Yj;^NJ*(JI};Jj3L!xTa5h^*zmA
z*5*LXC$byrcZA&3e}87xF{fseelLahjB24@PgieVH8(*`t9B3joK~%0^DI<X-{$1C
zw6#vmImn`;75dqBV&>(yEXI3V`KrsTdP<WbD~^f$*1MrSRpZ|Fvy8QKU+%6Iyqjm_
z5T7pQlpp<T*+fkamJn;T-1Yj~6t#r)KG{uGU~4S!cspZ@bNzBxNuH~IE5v?<L`lrq
zmVW7#Zb$JUE#Y<VQ(7mu9jFTWy7x}_$}*>|6V}LGl1K>py4UB>in6AeE4CO|h$h|W
z^Su0hQQFij^$_lpr_@=Ozx_LJ*?Xnp)$7$~ywqLtU3Zn*&Tpxc{|SG1ziYxTzA{hM
z7Wuo=(l5s^JGS!h+t~FAPwH>~+T_xn)8?fVskoH8!Oz}sm+j><FWI<c^?symovL?a
z;oE5?zg8Z8WK$(zwd-y`=aSW%SSGw&?%F+@Wsc8wNgp@WDbI8LcT8amj48<6oP0NJ
zMa-nBaaQ6>r$<e?diUj{lwD^NjI=KP;kfC;>)bv0renpT+dNbKHZ}Q(Gt4yTsCNpx
z^~Pnpq}MmGuQTs#*SPTHR(QrPUbo~8`dWK=n7%K$T2nD^U2&m#;nzL7;)~_3OSYCT
ze)~9SsVRq->RjQE8LLe*{t2y2t-q2SuC*|Sjp>#qD1XdooE!1#l$`Zx`N?069GA0A
z-M)W)zvSxjhm-zH>^JC_+h**%(<@YN<K21_rk5*h?BXAmiVD4cDVFtdtJ0>R4Ppro
zO|Ng77HE8>w)VwF?yP-A%89*M`<OPqd9qaUHQ&t6mr57Y7M)bJXFsT;x>oSbwzt7-
zh963o=^3v7JgenK4VzP7=JO?W=Y#Usem(7DtF8I%(o@USqbZ97Ioy{{FMo88kzx93
z*V?Y&`g*H~zPocvHM<SBmUq0K9$|kzVcn)hj2&s}=XEbI3EpGQxN4-tCN=Aqg3ck9
zMJs=wUG%TJu`P|`gqK0#mR-z6yDwhJomt_MpksQG!9|(J?$xR9*B3vX)vfbwHrI?F
z5{H$vcbGWNNzSu!znrv*(Zfx&@lLl>>D!Yf&sT`)UHnl{FYXzh&B=PDsMpKam06&4
zO3Kq|?^=u<8MZ!-_p$_uOivM={w~nrt<H>~{0j^&bDu?v#1`FO^lDOeeA!C#*i8>(
z<=^yqdE~#IJp1a*kCnT6F1_bYjatDuFDYtDu7ioS;!&%OI#n!XNh=Mib!7VVzaO6c
zQ1yo5)Z_c}Xa9U$fB%!~m5iXttgr0W-e}E>YODzP_itP7&V0*)g-5=g3s~4a_b*TH
z))QQeyswLutbdy9)w|#O&?@kS?5m!4|B|Mjb@Z^FexGgAwc@ueYb?)-TFCP4+!-EZ
z^5vWOXP&t$%O+jssnm{RV{-U)%175vjBVX<$^KHedi%roW<8iRl})O?vE^4{UgZO|
zf*&!Zy*s(=Q<b&(P1gADd8cxvzihg2XiS5Z5y!#pA9kduHn~lRIa11gkm>mh|I25e
zUhsA9xv<G6!1y7<mldYR_c6EYyIhax_%PM^w36%D7U2xpbyu3MJ=Oj8?(EZVna{Gd
zC-iA8knD2bw)W+<jZWb*{v5J*_t$?=Q;-TZFmB-4e$8v5cKBHni!A~>y~8>(IWDGt
zet%wSUQFeu2C41w8uz|0O*nN;K|R#OxJkxm+PST)5qGX}%@IC#LsNe3ss)QIIlM$K
z-f`9rTt8?1v{ivW9~}Cr7g@MWu;qL0TB}))OqMP_ZIjQm=;l$w1og6oELS?y<CnaA
zRBx2>i2vZf6<faMEf-GCsi-b3wNCl@%W|d9#52OX(?T<z{^5_=m-})1?P-f7Pk1fe
z()>$(Pj1B5U2ac#4;*;NcjxYuc@??rmu_|H-{_pn)-*SQ>w?^G^I379biBDu&rd9j
zR{t5lF6N@nZNIKZN8Hv|Z|*fYZKfbK^}qbK+PAZ0>dXGb|4j^#%(4>vKiQi%U>l3%
z+m;103tNoB&d+O4a;~;C5Im^vBp3N{S>o+gM(dT=%Q^AS_1&^Fx8>o)&_bz&6}Pq?
zywo&%x@DepV?|jNcUh8pNrHOWFQt2e@|$j!^oBnC5@WqIbDns4u57WGyRofF;QS`B
z%ZqZD7e&5`R<6IbBe&0sC%Q7<xpJlcgT%@gxy$zCuuKYE`7-wQa>=9%+^oLUCi|a8
zEl3E7vSLhIT)4_<rQ}Z4=Khe1X{r6QE*{I3-5^u;^+e>0oS>zt+yXsb7RL{yT$z7T
z<oF@y=Mkslr<^sg+BC^;dxNkcU!aJoAk*~Yw)fK7<IR{~zDe0!KQoW%M&8^H>w`aB
zN%oO8yUEc~&AoG*&UTivB+X0JZ!R5PHLF5yYR0{-y0=&FUr-V)y4j|!Zy)Ok<<;&c
znZ8T*PT1nHXV0Yu{XZXdv;`aI-pCZa(YZA5&Bl|jH0RfOMhMi`o^x295UstU(2S+=
zL|AB=^Vctve=N(t{Nr`s>Az3wf2uDP<?wiVsX2IJl?%hxuG*kUz7{6e6juA26lTw#
z8S?PRhQ)LFg}C-?;_dh*=9Cm^&hh3*kkhw__57<uBWve&|N7hXdgkYcpOe&#p80M0
zkhc5Y|G2mt*Jd{@D9hU~`DL-_i@xv!*Bg`atv;U6`dH!^ustcTbfNsJ1*yRTSAy#Q
zMKxT@(~)ur?OwH9FWbSfJ8Bw77Te#Jh%cG1EH373cie7Vep%?ql1q2}J8a|G_n)2<
z>T_jQ2-lyDcD);gFPpAinI~;}W|3+~=JI2?2HEDv>U&p41>2g$9CcZnDb8<_9G5sb
zOr-ge-k~Y}%U!FSTXk+V_Dp-|p`0wA;^XU9zf+I7a6wq|l(!CUt1L1aenuqD-&Jnc
zF}-zWnM-kKO6wH19kQjzF7>sCS|92Ox#%xnY<<WsO7o1-Q;m61Gs;-_-K$fMPGwU_
z&N7--X0zg!VCoi~%~#G;w60vTp+D^3W({6}7AIZpX)OGW)zeDn&C>j>keU_b)hjY(
zwpBuwcm0G(T8~~{pI>{!^Q7*Qd1c{2iybWl+ar9co80f&ZZZmIo?v3?`SjG3n}(NX
z87Rjd39gRFRaf5b?|bmNgN(oNTMoH5-*viUOpU)e9=npF)Az8_?`ofca_EuE>-Q&Z
zo;>mP^N3WPcY?inK5jSpQkHa8{K|V<yM1oY%99#zEp`>wuP$qmT&Xm#*g)#RH_JeY
z?(6Y|oHxbHLZzD~geab8<(MfoVZGJPwce}Ejwqa5)mzJSHCZ#RW^3!6eU8=~e^(h^
zoSssd^7Odf!NC4=n!S-lVQ)K&gO1vUFZlk@?9x0H(e+XsM|6EJPkZrsiSw$C=d1oz
zUh)GubV|^j%O-C*>WwG4+AR3|@Y}^t@~;kRU7nD^)Uqb#rsxa?PhStoUDq5=wJE5C
zp834-U(iWEk35C9BI}FH{qA^~_I9Q@o>(=bmdP@Ba`3uSyJ|Vkd5C_Gd62a-&C%tM
zUkgiIZ_uL13C^oFJXbz3L#ikB#^b9iR8Dz>J$WO%hre*^?SDsoKi<1rAL*NRz_nN*
zh4uV8hf`OUOwry{9=mjkuA|qgj^{FG42ro|{_NjWw<y!a<f~)Y=QlFttEKL3=u)5E
zP!UqtS98C#+D2~k-=mXHzWw~g*IQt+)}@KZcL$t_^;cUx<H2Ol)2Fh}&WIKGd}GV9
zstxXkb)uSPge>&SXx{lc!e3N)t6FIN7Ulc%FUSS&@HJ%&vYUT}q1#MBK6Ht4JSX3W
zrE2r<)V-+L#Ct3~^7Fcx>)GEc+Wwt7ee1W+7h>9TckWHIE2vwh7Qgmyf!(STYAio@
z<ZhAPlf`$yL}}Iwh1DO+*_@WCEt$k@pv&nT<Z7unqxK2gB|R<i-@RRe7iHKZd-oep
z(62ZB`NVH=lKqT+t#zCZc6qa9E$4LcUcAE=*|<M=f}yG8_Mg(1LFvgwIliC4py+qV
zXLC{EMVY*te${=lWnzfSdT?^ZmLyw|{xv)9ONy0oU(Wd1le9cPir+V7Lg=^GjgyT{
zKXlFAmuV}}uQkh(d2w#uELrs;Ej5?Tk}0PDs_H=*=TyS7QyRA$dqOt)G`!ckQmpm#
z<>M~ii^f_hqW}CH_g#6i`hMtvnvjiWG%L<^+;+2_A2jFgiW&U2vz)?itml5Tlr3&A
z+o6gOK_Brc6?syo=^JZ>OO7^W+&Z*rOM<k^myi4UG)gygY0P%)*q57l*v;kVD+Pwa
zpR3oW>Yb{eK0#9L%fU0P{$U=0ao5>?1WjIFCi<wRWFt?G{aQ1hT$NYNFS+h-ib|5U
zxlwcVlDX*XgB2-)JQ5kjmsi~EdOLG_7wf^6*8FJIO{_|4!Ydm!uE&c8nlTHmd^AID
zAKTX1u3xhSd_sSuuxeHRkh=2W)pdoQbn)X2b8ol`MW}Bxt(W>9QNHz$&Hqn(<~{p-
zb<*0PkNt1N&1UP}bUrk1vr5|h@NBc%s-&awiKc$Fr$2Q?$=`kL`2Lg4teE)TpDaoL
zs`o$Smts#<pZjY;)$`?(rOjTJt(T56>pSN6^54h*sAJihPdSb{GBPm4GBYqpfo7Rf
zi!&!{vhWHf<tG-U=pl_nZ$7H#!^k-+Col5a>1jrrCrfFl)r01trbbp5%n22}zx(Fh
zIe)*E7Mu6azI17U4cC{6cb8<ITD;+=`zEuSzUAhsjEB>2>E)_Sxirl@Yk}x%=B-N`
zSmzw%<7;X#-g7@B^$UMj-{T+rJ$``&F0wzEtwTjV&Z&NHx9`R@o#1b~pO>Gv-Cump
z_IpkF=Ibpn>-ggYvyas;PhYnE-i4{!@%KaT7;Rm9XX>n5mRl3E+$%G~KfTH|&zpa5
zPilJj9_wqjr_Px9GU!p?y0=edpT505)m%6JN#V|z^auafrN;f~SgP6^yY8xe+yeH_
z`})_K6`wUHzu#Y@vq8Xa<)7m&DpNI7--Iacwwt?|{Zg}H0cX9UVN(7bBh&i5Q<;Si
z8mjuey<xF+r3};d56in2S6MOnDor@cf8%D2mhGGw9L??#USUZrd(w8z&|7lpD8~;M
zh4sgGq$qHmRSKH=)tE~`DJ|ykA5+`UJx#4uv$ic#S5P=KYZn`<;Hnk8&)GMh6yxX&
zaP?LU<hY(A`I|{e=}pU`1F!E~EoO=es9*bNRwIkksRGy4tc#RV6nb?U!Y<D95t-Z>
zVwHL+bZ*w_*{gP}lMBpncXt=wk(8h_S&MI4+p*>giVlg*sv=7mYHn4sSd?@vm?;@$
z?skAl&?I^3MW*EmT0P%03}^Enbb7d4H#mq}=TPP2CMSzIU1qWek_6XW=Ww3BVbw!^
zmk0GGs~Ck{>lAqAAAD)`ar3gID_o4rFPHJ&n9ldKJ=n|pkmRD!^J&I2cXevaiCitR
zL{3xXvY>@`_?(HXIxE9k8W$C8JNYo-<)spv`8uj?iwpWXuDDE{EilJ>roz#Sk5+|6
zXjPm&A7W!~V0er1w9A!1PpSO&r%o1Aj3ryF6I(N8*RNieX0;&NC41sc(LKCLi;i|`
ze=an6Z;+6j#quR#jU`WTsQac6iM;kW#UP94Ey^2$QZtWSD;9haTy*h-OxQ!U@MoWs
zRr&sMUEt#Qk+}7PlEJi>4WC`6u&Gw71nMm1KIUdUN5AD*_u<a3natY{uto$ftJuBL
zAo{@}DWS_Jqy*~^E%!Y=HI6&xiAz%8ju~Y+C;a$Si*|n5(ED4Y)#YuClshX=2!FEa
zj3r#h<W5ZMI(#!_ma`X&Hj`+o_7c|hOlgr4MiD!1-l=-(vXYf;ZsP+*`69L^k7;-2
zt>AxB?8m|!>D}mah5Zue;YDlZR%aDU2p(J*^0uN?QGLxLmqL~K^^2z_p5V@2F~j5G
z5+Qd_A62bKySh9|Wm}m7`){<Cs9Ej_Tj{d!#eoue&A{6SvLw7U{x=!4>9)U;{>yc!
zN_WC!!5u8xCo8%&I}YsNc(6jqfkDls*et)lpYP%6M?s0AMyB!l${U}(ey*+bGvVNp
z4+|BqZqX}Gv%Ac+&G>UiO=JD1IV;cqnIe4fOn$+>zn6ASkvHRd{~<zi^2ft!rl)!f
zG`bf^-8>qkIQz(gbpnkkivj|qr=0znxcNihbMBLz_Bn$0CT{%bvtNGM*Nmz1`&PKG
zp8e44Vu%h8dxD#Zdi(JgTMBk~NiGk6bD+R3Fsp6tBF^{?jys|l7H!=3F~X4J_NscO
zwEwfZ1dh*qE4om;RaSJNeCu4V7oFP7*TYy%3SwOqn6HPk7!^3XzR-^<So}dVQH8@?
zQYM%EVVdffuUdz<hp?LbS=uFV{AqmH>EBiEOdmuqu4(_9I3x8{lVJDv$G;5jM5#Yo
zcYoQ@A6{M7JiA}H%(=Vv=u|^4EtdGy6VtC}*E<*No;F3VeZ8UJ1@;P+i8>FubNLrr
zT@BK%kzH@MOQa<7u>r&VghR{uCpYA8it^<RjE-WspLApY*_+x4-X6D?D%SAvdG2UV
z6koHayY2JItzW{<^KUu4eP+f*lhO|>Kgg<C1=@C>`M>`Z|NYcM!43;pzdd5jVQ}8&
zdoW@{Kj-^;hI@QPfjcI57A&%u=q+}s`Ip<X_P;wyOlJDFKh&G6bEo&;Bh|SD=E7@#
zUYs=dtYR|f%nfgn%G3@&l=GQ-?`uT-stfno?k6{?Z_2DLxgobv`0-7pv>m*BS1u&6
zJ}uDKbMxM|bK{nSwLSNyoMT~qSf@7Ycu{uoPw%3<lXosWY~EYX9&aU9(5%nbZujph
zcig>`<;MRw7N4&--Z%f_?4Q9s_D6mw{S$heUD0v><PYPXc!gNI8=Jq2M!jJxD4bqW
zy7Nmz=}wzzw@dHvo9~$LN_ml0@BaR>AJskiPxy`JJ$f#DRqbE#G5LW1_mYnM<PLMc
z8nQ5HD&wTxraP}^744kyYe~Ifa#fCitIh9k)%6T^C;p%NAyPm4<KmwECx5v9$&!0s
zpZcd?>rrga@1Kmv;w|(Fb=_<~NIep+Q~DS*qqe<c{SlUV%_Z4CB))$*tr=tAALzFD
zkHO9_dA3WRt4yhS@Ve-y&aZccm)NZ~$fW&u)~uiVk!Szx7kbZ~_e|e^>_>4=dHrW|
z3H<{3w}(V+>L;xT-F4IE@?GBcdrMasuHM|U|IClYJv-#ncYL-_sA1jTI{l|GkFdeX
z*(aZIa-XXH)O0B7+rjs8l{2St$)7p*@6&ZVd6^2K+{l6#Yk$A{9{5ei;?s-Q7AcqB
zGC#<>`6TX8L1ugDpSnlS)=XXP<?6mh)%Wh2`}L(8t2y@0*?ZM-!}E{LalRi8*!qWY
z{NWX1kzv_x$q`re&C=Q6_ZR2iJdIZs94|O-IPLi175i_WuC)1Ug;=CiI4n8JtU37X
z!hA0_^M`XZ@d~xbvdG*0x^2}I%U|cgA}6!&yYLyY$#S_nZ(b7T$nA`hnR->IZJN!o
zOZGC;?k%i;dh3$E{avBr2&-2czId6gcF!ukcqidPo%@C3GA#MmV)p)ZbuBOozsnc?
z|K)~~C!Mb(E7w%H?cv>Z>rKJec)q6X^Vd)Lz--DC-^}tr;m3-33EzHyzZa?b@cC-h
zH;zp2Z8$=TU6}3*mCGJH-p3Jl|G&EM!HIvb-c8{ZGO^_N_qtxeB1U<~YOe=*6AnC9
z_;JMXgWJ>x7x-6eW@Sw{@LFNV<L2;(OWFn6>#JYY-FjiUbmjb+J!XFEQ%%mvs_uIK
z(z*0lf3aTSkLf?9^9v>RpHKW_q28(SJU`}2kMg_b=JhMHL)ZJKv&Ls$owjt+vMC|c
z{0v+lzS6uqFU0JNNNatQW__2l|4YAxhpq&RT<2W2V$0nI<<L)D!KUvH1k6~xSSu_!
z<YblX)OF0x=Pa#O37EREt}<NZ!QM8#-_q(;Pj=6_eaBvGur}CZe)eMEUEky@U$@M^
z(ke4Uy2n3M_UaZBx3fNptEw#x_E?|47}y)1{Ke@)%@?+UguIM-ix=l<NiCMH?}>8{
z7h`w(9=p76S3^dRp4zSRQu7bqc`$$R;&-A_i+{Hry25(6ap%EVix;osmRg+M66gM%
zjs02M0U6m+?h6uk`L@h2ku6Bb<2ZNG^2?$AZ@*s5THeB3y|SXmVXtfUbw9qXEWKCH
zvCd8Dy}Ih3S*c#{!n<D&ou9HzaNg?qKYOj}<5e{LuRaytF!#^T4ZCU<J=YdpvU;EA
z)kWc<9-D8O??0uM$yRE$q+L(hac_Ic`B0|01-tI(7qXi!&v9F*xq^QOi?Oq<)308K
zy)IF13+7H`Ozu4u!Pe{Wa<XD_FW)|%#Sb`NUDi={TcE79Q&1y#)6q2x%hXyQF5Gf*
z#mt31C&TKMFU&h>CbB27c1LUa;d5(~V|!jp3GbWvY1!#8Kdpu?cjj7-mM<&Ce5KRZ
zEy)a3uBsCCWB<xH`K_ALDz}>pS+6QBF{!&;Icct2@2rLx2d9W~^QQrux?QrOynHn6
ztgL6P5C6HGZ#~<iTa2p&D!V+HM5o7OJX-c@a(9ozQ%!%<dM49^oinZ#)UMfjRL*tb
zuP(NvS)18PlpFM8<@ao3U)^(0*I$-5Hsk1-{IHWNd!wKI@ezG*_wIa1?vK)wTRm!x
zTMo0&vy}?k`uoww^o%VV?mjxP?4L<{<+kP9-`zNA`)N(pj_U{FD$g0cUgP^z_VVoQ
zw+ySRj(+=;RvldV_{y0p_0Kll-P<%JY)$a1vYy{5RZ~TOi@g2B`*iiTvs2#Q=qfck
zx0roT<hq&s-u-EeGiUXe#bp0ZEwZ~ch28p`?##9RhrK4ZHQ4Ny>yxz5m~JYU|6PUu
zWa!$k8C^kteZ!@>PhU1&QJ;4y!DZgUgBz_ToHmwT{&wyY-A{jhE@@hH_z0(E{RNBD
zr#$7Riks|Pmp^IyDemWwW4C`>=d1Rryv1yG&}F^p*ACaRy_?`YEh_!m&0}rJ@%FXB
zM|HX`M+@FBcq=-4=ai3C(jlcOi-YGy^G}v%(VuYo`D3%nro8z|?9%>z=T+i0&S%%e
z)=bi$v|2h|eD;&&r~dtPaedCbI7-LZk-IDXvi0eu(%Yx*yq>&shqU0|)sa@`_SSXR
z?SJ+1Ay4AptIQA8PJdcyFV$b*e}wCdPrk>r^t3I!8*FBVD4v~N;IQ<(t;K=Y75wF|
z9p_(Kxc9*u_zFA*@Cv*oH3zoc=3!vCJz2q20o>Qo*sA|G!6u|PjiIabkCdsvhH!QR
zp2JWi(|T^&o*&9*-fAxT&uDs&V`9*+CzJhslooGG`RjRk!c-TPk8G1PPflj+V_d;_
zX6gIFi{<y1TYbOV^!}5Yo_Wj<MHw)d^IhiMTQJalyMHGXY~5PFb>ZJ_+qP}nd_Qe#
z)Y`DsS5F-~miF!DjT<*k*+oM{v?8b4sEM(CTNyw9)zz=p7uEBg`g--*`faR#FF&8}
zwRHQn{khM#&)%Q*Z&qAg)W6JA^QV2i_`}`W+SpiI`><EtCd2nXZ(J4sJg>X8v9?li
zZC&`69VT__{{R1f{PD+%dK;-;x5a_eQX{8zA5GdQalBCGxS`LNPenf-Ch-XWRj+z~
zF7)(6^{VsrKf>>AX$@Ll$^Gj}k=e}3kgDJt*UQWI6)DYszHaZy`ZtMwPtU!Z^#8{1
zrAFM^m91NTxi60CNnEsED#&;5-STigW&0`Sxs98S^T*!b`F`{JPs?=muKZA}|9<!D
z-O|{9p{!e$);AVkUsI-^x65^j=t9l!y65#Kd6cAIFa0fiwo#$o=^#&2YjNAm2?lx7
zu5Gc+?qEpV@Zm5k_w}1T28Ws0xJ`5poNI036%%-LR!)mYi0@zI#Fk|{*3Buc<+puh
zz5H?HyYkO}b3fZ=eVyBV_WRCPzs0VWzqnOjeCq#<_nRW7T`s@(<?hKCEzA6<+GX$W
zJoR3*{*hj}<?}hk=Pb*2W`Fqv0#Rk9AfUPUVup#-*+*dTY2Nuux0gMZ+V-;YUo;4~
zYlv{QO3gq2{PRlH^p(C#xBD7r>gq0<*1j`v^$Q)VWc4>+49bsvxRNjSc;)^2F9!2}
z`6^95nPN1vZfA_%^wU~PgI0!U?R|dCbaRlHR)E4Bg@fPAFK}hD{Q0bW;rRCD)7z)A
zHhjLlZt?pw6Ak|Vvv_jn>6~IM9S~TPVl>l7ZSV8{f5W%OUcS7@WMAU!h~MAiD`)Kg
z|0?6or?mekR&88!d)>>K=f0)=_uwzS-(7F$^X25fiA9EOonc^buUIQfc=prwRxS|^
zbq|RihKG#{n%Q{7e*Dw=5X<-FuW!SHhDN4_`Z|_hKfZnW^kG|h*)6MGZx|REpKS==
zX~9sCpO+i9O{>&5S8MOvS$@ve#@fo#!raWiKfUTI`uR?5p}%graq0E7X6^NNB?VU3
zx6jpjG~?ccTRXCEn7oqwcQ>isP4cQlh(xyjl^CCBkH|aPIimmW=4}iy>Uya&_q~u=
zXBErY>)S;yZs%ou)ZES|W0r9upyOc^ntN-?W3NBGeObHUdHdDRhneSm{yqDbC+D47
zv-rK?(kGI>uBr^#vvuvQyY*N6R_%TLX4kUK5n3~U`<b`bCcmq`R$jjDa@@vX*LS}e
zOc^gTT-qs}9iMH<vW3xB$BfT9`}wy&&-XEJc=&Ep>8;lnPSn<azB~8*rXt2yTduEq
z_F?`{W%ft+AKLH!Ja>P*iNarT4+d%RjPsdWE8MI$=}CFEnHU@IzPsXU;hTuCuzIzv
z*S-bic)Gcnt(|oD?1pDIZp^q9HT??bGZA6o=-FAmGvm)}*kJIgcj>Y(K~0T~p|!a+
zyEgCMQ^%6cCc`1bm#FOD_=v^)Vf^*)LMm<@9E^v)uj7}i`7djcb78_lfy1o#CznO#
z-d?}?pVfQI*s=zO_nrILXB>`uy6w2->DeLw>rV^+^!~d4(W+nKvCNn18;fr17_Q}7
zm18vh++*{irw?!b)Vp)namt?yt-EhsJLk8(G^{H4`iin?>$YFX5%k+-BL28obizOG
zJ!z~tTW?G3(<<XMe(~#flJ&m)eP8~6n-|<!lX>BFXl?54ZQu7?tKKMTVlbnr+tVuY
z`quAv^8ebLu0NA8Yu(#rF6shi6%ThzmUvh#KC$eX_3;BcPd^8xr~j923IjI()_hk#
z>-(In+l3i|Yvn)Yum6=X@5S<0i(mR?e*LkIiRsJ9lO;8a)=gA$Y2)BzdeYu6XH)j#
zMB`((?H994q-x!!Ura8M`0HAJF}g&o);0WMaEZuY7xTcbD;o8I3ms}dUFp26<~!3M
zc2h{_B^A$!3bsX8IxZ@?b_&QEg>+m{aBO7w`|)!7dAa}76ph>3U&ik#dAP~dTTCZ>
zO~^tcS1%Eb^^?_nrfGOKJ?X%7!+OW$ww~+dCii!~zx&<z{@EJ+&vW@d=Fgwc{^0q?
z`kxgI^7-fQS1HZ!Kh0GC`fq^0oK5+gD+`U?{bVfi?`%oj?B*+Fl7422qOqHggaM}2
z{&P$cH@SEUskrxuC>ptV2q@Us{MgRkFKe}-n6c&cip7p|Z_N~(sN$)0mhrQ+f<ULn
zah1hi*n}Al`ROE1s^?myG2tkWB9k*~*8~?KPld(~7L&<O7@7b6osl?grjB{4%q96X
z+oJCL<dhUBnYV0nmF5K{B`?qX65++1XLc(2<fLC=H@NaMPnZ4dQ{JmttGMN!2HJ;(
zbXHH9cke~R$F#}WhQYN@S1+<|dJuBQE93Ej=&EP$moe1nGd$kZ-B4G*c*{fCb(~*b
zHg0?_vN(GBKL588J@4mK7L<RMIwiVyuXdaL2j_ji(`*8^Ke5W#zUWlw`j?XbuFv|m
zG?*uHt)8y9t!$Nr@0ueQg}X|PlkXU@G1|`W7n}Sy#<W|PJy=dz=IqqY2@L^0Dr!QU
z-QAroNlF_-UdL45{50{}&ToqzEw6v4_Da?4w&B_$4%x{qr}Iue^IUVaty8d3Px`Fk
z<sReb`Su-2CnbyfW~R-VnLa1+*^P|}*Du~WusztC(`Z_y@%KHF3VUZRzsIxZ-kHpN
zwwL#OVuN=_?9#pMoV2lA^3t};PhGG2-u1lZ9p1O){50{k^H$E&mS1J}`qRzh1*@b#
zO|1X1RpS8vs_EX#m&RV3wre`;i*qyGCH_|!RtUT>zjI-Gkk$jWio-71^JgkWnV&n*
zY1Hoa)4+KB@h#l9wglHM&1m7Ayku3qSn1u#S_<Ecy*7sE>+Zc9B$XK})!4h_O!bss
z!p<+FKfmTYfB#vaSLL5MaU1W?tNs0U`(3-=SL|wL8rC~UX-{dm_be(-d)|)ir<wR4
zuQ++hC&mAnoMG&-n0d2z+*VrNRT;{txGv&2i|gsFtk)Lrh}rC5v*)mwn}1<pD(lCB
z_Q)M}M^mS=Ha?QwoM78}+En|<QS02JUqtk@#W<SY-spNA9J6kXg4#P1?)A%etg+|j
znzO^<<W%jCN3HH%s=xTvQz>$DC7(rFNbAnLT8E#Z?NORXCzWW5w;r65GVz-DR~Ehh
za<b3lzrK7Yb5xIGi^=Q2!(!%tZv8GfzOEoRmzA$TOIe_M+AD2gc4-&Z>Sb>XU-slB
zhg;3R^W;*FI<xJiEiWy3R;bk+I=GdA&HMc7W!d^2EHW+2xfa)l85Y$y1<wwCqqgFK
z&E~ba_h&Czqq$$nqd{Sf%EO&PnlW40WxhEFH#k-@zcZe|TP)Sl#k(_&VU<FJ!ZN)F
zCDtc5pPwAWqB?y#$F=x14_MZ@Tn`XpJvMy;t7z@Db@Ok1O@FP#>Lrr-{~~W6SId*k
zl=ii!PDylGIqM}0yyDp@=eR2QSbd7>`S}}*b7V?#`eP5B{CJ7~hR6L$F0vvq%rQ%+
zzLd{$ozi^pBEyc;`yQptn490q+~S{k@c-rNuQqA@H+na1dc0ib)49s6w|9Mh6S8C9
z4(S!Lc2<j)-aK+!wNZL*LU?cUiqx36u*C{jXXf-a2RMIw6#DOPEQe6b%8$JBjn=R0
zt+)2Dlt@~8_^Wf^Y_+ymUe}u?TNfCpoe6f3x%0|nsostWdG+oFYCEPbzBp-RYKe)~
zCY$|V)jz9x&e<qXU%mIk(e<xF*&eF=*z=p|j@gf;O~?Ij`&L*N-_Fh3es@<_hU)2+
ztfHQRmUlZR?dNctuXH%%*{iti=N0DLDSs|nbYpczeW^xPo!jP9o`wwmzs!pm_AS-?
zJMB`!;+idwvgUj(+@7gc`qHjUu)j6prPA?_J}g}m?%7rge4X1m`N{rkFW&r^^?u4%
zsRa2DvHbszv5kEjgs-SO$}P1pFK_HvGwEOM0-krhr)|804z1WHmil+M#>@N5JeR+-
zD?0dKtEPY1_YlYWWAk37yofrMxA}FxaHGx5g{RlN;gP-f=Zbp9&rfgC8#g}>O;8p#
zsc8<H_~5e6gECW%wMN+$ht5gvS)X(-X4$F=rq@e@ZI$_Ncu$@2)FF{c+d{shW>O2w
zNBxEZYt?B#ge9Z`CO(^7vc*K~t1{!JX&KK1A5PXO-sb;lse0I2jz#sWLh9I-g<Wdd
z-|gG~uaKeti}sfNEV8YInVTQ!Z)axN&!G9fd8^v8S$9f~MNJI$&(liWo8|ZML@2K!
z&yx?n?a~Yk3^f59Gv-~gT%xr3{FdJ@7kMvUo!`E93X5C+af!Z<5<Q7Bj}3bc8}NmP
z%an#QF1oPOK=a0Xt^mlcBmwBQq`MKaOV`RWFszuI?<f!M6fv%l4Sy7oQxRrp;p(KI
zprBx8X`Be64O1))PP9%^p7?sYp6=29B_@`iI8EJV{hXz=S#^T1=O+E2DXR}LFZuVR
zzBFDxX78%Djz=x8vwkzwJ^WZ3apb6w0Ox+?rH^KZpFO@_nR|^=XS2h^TAt8e!@lY1
zGNNYuj}wCH7w>6#P|YLKvp-z#&9QFIzG(r|r{AsOoA6fODd}{N{A?!XWtUA~>z%oK
zcc*iR<(_9@f;$T42?c~yN2y&dPU|m7)Q((S<m2g=<icqg5!t5h^!ACBYx^JTOC~PU
zdpv!9t-T>J^Ssgii+8lF!e;C+GN^j=w4|(G!TIl{)iK<>YW3@r8J#xF3SFu6ORd9v
z8m~Q%xps^ECS?uH-9<f<nVg+wUO5~go+n^9<IS!2dz6k}=S<z|5j&~uMce6rF8|tt
zpUNFjTpE|Mt#jYCgF>-Cyyri7w|+(}%dN9rQv2oSB`nQy?QOXFWakaJmJEZH7Oiik
zIz8jw-$-|4<o%JYD5YLkZ^pI3u2$pT<9k=F1dnq1{^Y#5tK5fcwMY&_!Of$ucP>8=
z`l&us`I*Opn9Hxbm3B032upEj3VX9Qy;;Ihc}<wwa;3iv_47-d&v+fLm=ZLX!*pGJ
z2<M(fW#<o1wzJsjxpji;{ykUvbE@yX(wJN>wBe{Z`^^uJcD`4<6#wRL#^>OAzwU!G
zAAihReSA~jg=_O}off(NV0{$liOO2uEwY_!CN?zMH>!W`I_S&0M|P4_(Y%@KX1Y(_
z>@i97Q0ez6bC<KST4wV8-F~BJ`N#g~Z`+dPpW3wt_v)GmR3;ULb=~(CHClKynMLQ}
zhjuyRyO+J<q>OfS)Vk%l989vUVd~4A##Dc|kimynOFKYq>F&UbqH_P+cWh~@NC+|R
ziSDvf=rbt3$#to`ceiuNN(;`*i+}eT#DBlHamD7XcNz_ow+nHFG4WmC$}w#f-*$S5
z!Sl-I-n?B+0wM3OXQW*GaognG$<z~D-IwY=<hsW5NYR!5#aFYQ{r7wK&04tegK%lf
z&tQQK^?UCdGwB_Bv_bz>l~U5T<1)_Yc6<#vx#GLub!`FF$2)hw+$$OKv@G7^-gB+e
zSw>SjB_jUc@lf2j>S5tKgS|`|&)zTX+xl$%`iE<yj}`8YKJ0v??|X5{l27ZlR~ANB
z8QPrJJR8%0`kP0@+712<D+T7fF%#MFXhw?flp9Z*!>oNH>b-Al`um8dO!AFDMxR){
zvMqn+j2{uk#n&$c{?>n_6&=jo!QLc%@%ucRw^J1Zw{1JWc-Ps<29@C)tG>OB`?zVI
zbncuNd)M`KCU;&fP~O0MqE)VPjZ>ddw$0jxz;iENZEH54rTU6jFmURt!`WO{<NqH|
zZmnWJtC4+W@<0EQ16msOQ>WS73K3V^yfu2;v|UmblWiJBQ`KaI`D4!9KJ2@e!ARj`
z(juWX4F~2t;&AJ>;!y0ob0RRJOe#F&=XbfUX4%on0jqP3cdnEXe_eBL#)j0C+6wb8
zwt~W3=JUDPj=M433%YCnwOIR{gz2}ePT#&vao)yf2EQJ@k+7}2RLS$}VttSE-eYeW
z`Kq3@s4q?r%e=DbKZiqq>O8F-lcp>DobM4V^s+W{Za2Ts-+R7cEvKFtG)}DMYkc>*
zxm(IgD={}f@xQ>yxZ4FG9$z_+IWR}Ye3NYtxoWm8^Hh}1z4HRAa$Nt_&VO3TG5uVg
z&F{t=xyRi0N#(ev?iKIbY@f{{-P2kB__|i%u`kg<lfHH~2L?|lUh5y-S<EWf@tfy{
za+kbnK=nhn-$_6I7wlGe=Ew4Bs@D?hsHfer=a)9LozMB&vmo@Ad200Z+81+|{EXf9
zyIME@zeU8Yg({!L6zvp0uCQ+X=lb@*BW7`({_6_=8Mu#WIDTZGe`WspEp<ZAzR9<q
z{ZhY7ZqfRgdkr?9$Yz|A)jlsxSYO&oiGM5OtuV)nF3VGHdQE9QA6@e1^Zr{^9S45t
zwsNlb|5>%lD@0tsJyl|r;h7(E!*!3cJP62}+z`D>rF_@QuGQy~I+xVf>DoQNd3T~-
zVB_VjPr6OlOLotfIqvl73FjV1TP>gOol3U*4&UPqt@jDN`F!$`YD3EnC$og_vaicI
z$~@=mw$%0APhLJhUHWnx_a?=^YgzxE-Km=Rd&=X#%(Er)UhX`(>6WLQY|3NqBN>u&
z8|+q#7crU0p6tvzl*Y9}h_&!_iH)$lpz5u#D?ipLafVp>eu{dMb}OIdk@}Q<N9PNa
zKIqs~)?9G;;_Y8a_1s6#6n&DHei_thwwP&k<<5)7MYR`S^WK#We!|7Qc%HMR*S#fY
zLY}X^pBcd-z?3WaGP~-N;j@Me3%=dV7QeUJ>TI<)&OYIg`A(rQX2}bcjNAN0*|(wu
z&IgpH7QP89(-5nQbU1!ssq&_b?RTarR_Ls;{m}0@pVRb??%C7pvi<7cN69^R6=7k$
zSJ7g!KsCYr=ri}ojIY0zEU8a2dpvIz&$gyypR1YPs&?I3g3&MbUb(;hZp=IVyBq=E
zeK&2-pX(ZQK(mC`Gu@=AFr(;|Mq}y%FZU9aXa|1H52e5Iey6?qzk1o;$G0BNds(l`
zUHE0u5}_~g*BUlCM8wY)akJ&0R6p6iFg<VA8~v<3Zv@U&T{y9%OLR9wmh@izBA!py
zk$LY_RRX%6f0O@nDE(KNYmoEdxHp?TELb_EwjB85#U99CK2tW6<(=D^sn_>)imma;
zdousyk?_Ec*?lgnU6%LqF8=g9vvFHhVX=v*aqU*Az^;kYk5{p>D=x0{-M3jI;<H7)
z+&A`qeq*zZemuXY6hvOrNI#jYKKE>8bArx-?8iyvtEO>%s1>g<+>(ELON0U21pT!0
zZ`!pk*(b0G96S8l@8H6UO^TB|^B-(6=IDEMzdT`v@$4(lUhG>Ya(}_elcJjWp*PL8
zR*G)@)N!|X|E%O`lU7H)+n@dX{j8L~8aqWUwXduXyjDFSU8yG5zT<0F?`zfTb1qLW
zWwyI3GV9Eh^Sx=mO|HM$x@hz5TOIS5CD?B8#_Zv$&#GB`hGBm58!=7OVui>@PFk-I
zUfQU4l+Uz_y{xk%ax;&~8lFBLoxr~QD<29kDJ^x{`M*f$l#{hw>BlbN^aVLR0`Isg
z&r2NE2^W9vQE&J=_Qb#IW}lkHEm!<-3;e>cWDS$?LMbJ!6z8=Yj_ExL|KOc*CcDRC
z?UDbrLcbn&q|H-qxD!!5?+m+<)|B}#<G4f$^HYy2@0sJV&!SsmpSN25se&@$E%)pT
z;)LWc-JII<{GNnFyWzB7`*N2aY`R%^T|E2yi!X=l@<07Qa_kdZz5T4cvqDz92)}i;
zB0oy>VA7Ea*H?uHn>6pQE8VQV`!B=abE=`APw*-+mS5&`6WKiP$2sBNuiJ#K3hbTy
zyVT`=dX!Vko~5ily=Pwt1kL<)A=CbvbYS!6xKB+pucmZgURmG4d@Mb6{j#LJkCJE4
z5MHA_yURja|F7a@-pk56JTI)OpHa4vS87)BT~(f(wQlDwJ+||$IB@%iT>0MADN2rR
z2SSA(U3&0nh1vzy3UTKL_BW<%-lxCuOk~N)6TY>dHRkb65<1UR^XFakA-@L~LW{Z?
zVy>tBm|*x$&hXZcwSq}c{c~b=KYr>}9yjs0Fhg2I-M^_d70S*VV$DBKNG&_1xQ3x#
z`$XHsJx=w@MJAq$4g4V(c28!FU*AubEw^M8UfymMin+bSE?}-h;@*SVSBuYou~D0m
zyH&8`*t}#;8TqeX5%)g4x-=(BHD&#pO#u%QZk=O_S!i){!@6bbFL@mKZ0l(nHCOTe
za`p{t|8e&$=$78!QLwI|>0j?J_tPdCzk)6^7q!(lFVgb;I7NW3I^(GApXjw|(gyE8
zO?scJnX%JN@TqTx<%L5D+aJ1=Z)vzxk$HW$#mzG*A6YMc^K$ttF+=#AUF*7bfklTz
z`VOdgm@MdAAirj9k)x_+FXvN-9YMVu8v~vwMz-ioInk$mdwJ&5Wk+8>YIgd)x!ZK+
zo*27>`=`gfJXNo^NtjW2UrA6Vm-0650LF!$Q~vkQd-QO+vcuMnpL1JspRY}NdC%wZ
zvLDII&b(xe;cok=k<Mi2Y5Z`)H>p1xW*wctHYqN#sqrV*%Sq24KWgRlS$X=!haGaE
z?CTVwqW8AWzc6u$%%`6ZF60NVn-EyIa&_20*?{UVSsWT|4-3wHwy%HrDk*$f*>%xl
zi}SACj+j`G?=GV4I5lbON7jc?ogqtKeB66Q!h7YTMRi_%^DakznL0VbYf6@OU5<Oj
z5~=FzTOT?3<xMc}miL`g&k$KZBcqV%|KYsmh$r_=Z-3sfWJz1vMV`;9At&U_o-BMj
zH!5o7j){7V1@ja)MSp+Pnt0qPv;OXm4w=?=h7EH%?+aT7dK>*zzdNy4e)8!j)9Ve-
z&s589Y;4Zi=(1dGHTRzN5&D7+y2{T|ra4Ofxqqke%bZfv>$y7`=KY<Q8<b&}m7~5<
z(sZGk_@?KIH_o)1YW_d)C0B(hNR;Pj&4#(#1NIkRo0fg<D^s0{CX01c_Zg0AhHtL*
z_G<hOKgV_L+*WJz_(;#2ta$nMRjr{P{y&|bFy(2YY+>r&M^87bo5Z-{*3MfgyY^1^
zn0Vy6!*Z7-g<CHVRN2MZ<ht2N_3SP6e<ye;N@w~pJGtJ!nuWcM$v)xHiyEfA+WWAT
z!+r0<6CR?+p5?9zJ6du>aNqXF3ax)xLXB;Cz6;dHnMv=CGQVxUOlMoq4Cfc+=}e4w
zg^#|F4q2Oi>Es-RlauG{>T%`#DSU~W^}l{n;wQGYqs<D1OLuHjN>kiX-TXUruY5(!
zhYPp(KV4fnTbBRChmE0pAM^6|a~2hyJjJsjz~{>c=d+sWNqeWgV16AQvBC3aluh97
z=%y{4LN9+O@2Nk{lKpVw&PjP;kz(bMSALo9?5}?#n!I+-U-P*WWu~WiD6BR4voPe7
zrr%5Eb+*CX``Q!zAFR9e`CQ>eRf$dZdw(d{tXa`MyI3izXpU(|_-@9Ir}2k7cvtb|
z&EF|ju{w^iVc*G?4~lWK{9EQJI<1>BmErKW@HcEy2FEV8ZwuVuQJ>w}eb{x$k*beQ
z=3>{^yb{X1aBA+gEx$N(^Ut3SJW*qGMbO00%2;Oi7TdfxIT_*a4lWFiul<+a_%ZF@
z_7%67bT-}jShIzH`-6CX-!%^T_Fh%R7D{Ix#qV(Voc{RqQ+~Y*su49CZl!MR7Bi3K
znY_QFKJ8)RubF@L3OU|;uHvOtU(2piC$QVc_Yb#Gj7sN(!#zqVfA2@F<ocAx7pcuT
zb<Lv5cC~di3Hui~XggY5Fq@pWJk!Bx<6h5&D@=4Y-gM<Yv~Z!R{;BGtN1{*1Wf}a*
z(Yb%`UT*AX<B1`uOMdRX&${653?JS8Et=o{-nm#MyCbUhV_o0We2qT4s%3u*DreN6
z$WQ)xHc2pFd_#85D%bng>1C#W!rFuNSDcA8yB49Lb|PZagZFp4N<V~%R61v$wviR&
zO^}`&Q1Inyp4NKKV+=k!_U$k^si(;B&d}QDo^{F8i7iYsnRBv|r(ZVmzSSOZ^KF9B
z@6Bg}-<lbeWS-qC7|`lyXnSUkz-5O;ZYwlm>d&W?7oOyeS|Gjo;<uA?w=T%moT3m>
zU0<DAcy+5E$5QQSduDncTs%SjckQVqoG(sKUCUvsy~)j2o9kinpRiw3<cyD-?D$|A
zSM;OlUEk60xLau(mwmj)k$Z5Z;uP!WbM_Rco)^1j7ParTU#s`NwnL)-&Z=ybdy)9Q
zz%2f_&SJC0^?xcqMR9ICqENis|9t#jcDIL<0_ykfU-Bw!xqO=eXVC7>WuhH3&g*xp
zyl;<SpZfjbd6_qf9oOqGf4*BTH`ywG;+ILUA4F~3uq-V>^N?M^4Bl%j%oA+4Z?*D!
zDiu|=GgHm{5Yy?@zwb>rq#oHn{-%|k^1axg%i{J;tCDArE@pSve;1B>{yeYLkA;8k
z3iZmLYrfukd(%wLu~KTM@1==LWpsXfTuu}!@H2h%)Ar)Mdux8%{5)2i`FcTL;Jzw3
z4&e(d^3yYaDGGT`toOdexhDDXytn_KzY=MdmiaH+&B`ueAXl@*{^Y(J+zCfBrkeb*
zEj$-%`#DqYwzTq0KGmb!*RQM>WPEtEk6Y!}ic5~IVG8d;7Cx<Usd>x#{c+!um*3yH
z7aC5IKI{4{;~96kg}7M&N9*B+n)di5y?W}?eEr_%>^@OpDP!wV$vZtvm3IxtiQqC>
zmGY+_xHPxD+a*#rn=k&Ub%pR2=Z7oua%QzJd0SDn{mP&F(YmLcnLlowt$C<^W&Nl5
zT93ojp3Q&AF{fPR9?vh^U46m5Gp!Q$6h@r#sN>K)_mFX_n4+O}`+pO^G~0Wo6{}L@
zyw=Ez^b|^*{WEX!3EexMlQQdg;;J+z%iqrqeA=^Y$qlQZaG@TZMQ2&GcPjDS_{sFt
zZ<hFehV9!mKZV3x*;hX4p?1<^-6wMr9^6r@x4I}jd;Z^Vs@q!yuC||E81_T{uGim8
zj#DOIKb}7-y{m$2&El^O=NTWzt6fSAs^hkNH!I4z|9-=t%&PCJ|2R~b^t@R=xm&|~
zkpa8QtlYc7sjQPD3UAI$U8b<)V#e0puJ(8B9@g+q&|zNijlufhb-NR;p=mWNCvSYr
zZCfAD=aNwW%xr<=4eK4!er)%i$Ijozkyg5)C;f3vQcu+~?`3*j^=Im>?l79Xc0HbC
z`#JT~jA{Mb8eV$XEt-6fQS|J)|I6+Ql-m4k|Gwl($_Kk;*J5sp-nW#PFP>IVaN-O@
z{-oLat{I*8GZ8%|RNa0<{*(KM&-=D){q-TKjCs>s=4<ZZbwTwTyK9_!W*bB%Rn63E
z=QTMy`|s*_tzR=HzG9wxN_&UOm;7^?JX4oVd6gLKEWh+~*4w8V0n@&oTJ_uW*yMdP
zIuo{pPWjI5`c~mjqCa<7U+~V|aT6UnnK~bTl((!^yf$;!(zGM#jzOUdRqxN3mi{98
z+sD^D+No7fbEId?o_*r|iTL{Kmj1I!rafNreZu81vCE-Ck*B-nrVE9{E%{v{d|gTR
z?3Yaf0`*hwKDEd^Vm5Dcqv&+m_v${2@48fOQOIjBi8r15E`)Eoy2o_mzH>ZlYXcQt
zq%C51k376RKjw<xs$D5FP5uZQ*F3i}X%|b4tG3-*rZsPainYevS3Bk&xs=48S%0t~
zncd>bUGCZUHmFH|N@nf|+o%1SY3-B`7QD+>Z_lghS<hg<K5=XJ>YY!127T<Be_+Qf
zAq&$ljM|ybYhNuE`MJ&ap*`=bRezZm-@mf!u@1wO2?BmcLq7&(Xlq{S@YnTfmwvdw
zRmS1rZ<WZx`w6j83EO;X-p(#L|C8UG$28?t{nY3xjo7D|U7Pnx9KNwOJN$%c@eZv9
zH~)k?`qA&IRac$;6qj<#W8<cxUHYHB&L#^5F6gq^@mkuGq2Y=CWLdAgX@wF?11`%(
z&R#3O^g#x%L}Em=@YGk+-JdP)`XVCDBE$7xQa~-s-9fO*Ud(@O&l1;Nu7T0lV`uv*
zda<ob4Xd9dtM2V_x%qi`7n|BbvnK|-BOS^k+-`*Zmp(0G!9TV7KVz1^c69;omej>>
z?|i;`uw6=qQ^rPxcWTvxgIS`rocnv_G8dTD^qBnF_d4Nv(t@Y|-t6g_^E-O`_Sjq%
zi~9Tp>-BpjtFE~pJGVG}gZZu>|9dxZa<aYOxA|g5t&Lz`{hf&0GmdB4E#CKJVq0<N
zL+dWB-UoW~SFB=UI`#X=F5Br!1)KV$9qm2}#lHKeUw88Ds)B1r%c5Q~zTPhScjtl?
z+m6ms3ANbq+4$1jbB%L59pV)x>m3R^HM8a<dk>q~dh5y4=56i2@}TZtOAC)MQ?>ox
zHw;-*Y~HAu|J%Ba?HSYWdNwADm#@kgm`{4Ya`$D7*J$4Vc#(cn&JnZNO{}t?KeL2+
z*6CgT^ls~Fp{Vvbj&tTRX8m3j?O=E#uXI<U+`D^I&XyQ{{>HywU`_qZKm7SGzlohM
zP+-ipdFFOsXj^8zJEMrmJs0M+OZUFvh%8cfyViNZ?Hv<CqDyCf_MJ!9k2lnJ_@qaF
z`>~j1=K?M5I~Qhngz<@(cHEls(Y4MXC#&4&`kmd=-Rh?cY(KE%+0Ko}t@eG$V6C_i
zp3ma&vqn|F`?!PoJ-4QX2UO>B?dtA|*3<pfqRP$e?#sz(Q!4&${$cOPI4O?u3th%x
zTMkY-;5gmu`@fxY-!U$Wc;t1V(``+^V7<_q!#*cwt!{CXSR>0K=@C&pU*6+~XP^AF
z?LJ3x+EPEw=*yYk?(yJ$otN4~Zth(=j;<9Z(rJE{C3_ODJpWcT@5k|DfB#?13t2UL
zMQBTW<%zsaTK$3MEB|S~IBpeutJGxm-qVXW#l~Lwy}mlEkbTzdm(@3`_@}+$Ty({z
z|EZ5<{oPCaY&n`ADy1*G@l<BMtKqs(_5XMG+9+Y~^g5*p4=<a9&HE{E!@~MnXV8}&
z>aLcGSy#f6jJ_BJn?B2}dfRn@_14T*uAJ(2v6P!XYr`bX%1@s7;5oM^?A|xyw34qX
z*#*-=Pd}J_WXFO>VS0QYu4g|kp5FK+NF!~j;L3)_)>G?m)kdiBPZ#BR8FGEXo&#3}
zTvSA=7d5-eF*q&TAkGlJW7Wh5b6gxJ1-jL4t%{QAQNDUq|AYR#1eJm*oXnlQRa2Mx
z%G-RHR5fv;*3BJPf4Y0^eEU!6mwnKcX<t`8TqQ8q?tZk!uDdm#w(RwK^s@Y=-X!TI
z5z)%t5wGJ}u6gvm)UAK3w=HS8;_?L(8w8G@mbUdiB@&tEym`muxt3PvZWJ`LZ(jR1
zRoeKz)uBFKjq+2fr{1@z#{XW>*C`s~zwCS1(}l}hXRO?7>tE09azJIlhv0LvA9f3H
z>tq`kMkdyjy}a2MKS8SXef>)H4c~1oHQu&!WZOL75E;$)X2QR@XG_+7t4~(H^wvZB
zz$<Nm6&kuTkDn^Yp7+p>^^#)o8Oz@}`=`tc4t&|F)_g5wQQ(L2L|qjg$tP8RgilDl
zdD^x-^~B%h0<-Tf3BTc~$#HrA?TO00DNTZtoJ1FHZVj6Es(Vo~`+<OIbp>0dY@QNP
zxTqnZxbmm>Ov?_FU)QcES57U8*&*##e_71N`x%#XPq5sIV6%V>(VGiqMZK*EX`3cc
zyr1=dmuJ#%*AL3VXScnxW&X;(>S%Fj)xst@uYwnEuFRh?=f?KqwO>vPGhCOsqGu$e
z7;^t~Oi<EX?@3jUV@lSxcE-tj7%g9Vj`xA2N$g<->wcDPT4l~h{HJ~DXN=itHZ6iH
zU#@=fIaL+c8~68~D%O1-zG$7Tr^cg4y`@r4ISIWHHG8Lha%_JRQEb}Lz3tTO<3g)c
zbsv7rc;=PRdO$7kkY5VlRHLrdcfOzEX-IL4Q?a&Dj1_)ja+)RTsrJvgB>_b#_gH3I
zvxdEX^3Up@xi_D^K*#~Mdw#cNCT*5kS|@lkb$-;+dU^Y#<F^i!>laJa8m24D$NxUn
z$H>R9OvcD-QFy=6HGvrW)~e4@*P^nOk5*39;_ja-cGpcO{lbFG<E&dIJ^yv@#xZlp
zp8ThslDluqbRBms>zaS}8~^Lnd3)?moZN1_@6+kcLHwMLwr%0~{%hSk^K?6rr)_Ih
zwljTp(D0icS97Lb?WUQTIHT;ONnzgeFUKr7e|YP1o6}cgBQHkquj1L7uxYwA<MUF@
zvLm0)>~~oy9RKD*q8rDKB|&REx9ocGcmKJBE5Gj6W@JQ2t+vh4i&b2hw6eNaBSCD2
z2-o8!pMxAjbvG2wuX1(&$EjI4HTiXysg=h6kaOi}`&wo8#&36-xv9R=ZAZ|5|8P;J
z<KKQ4cw5Q-|53HV>J5w9tr^SpogXbNo!c=(i!(>k=%K^Po?DVrXC(+V`^`*H{w}fq
zgU!C@-*4{`+Vw8*%y;nw-gUVbyPu1>yjolxp=`UB{nRTvvDGX7gg>a~eR-)TQ?658
zpgZeFYk|OjL$e#-g|<$S5panAU;poUs;OdVeW292XR=kgM^jH6-gMKnuUvm=SdGV4
z(T{)a)HccFd=p=CYKxtvYRF=vrboI*+G3w-?_=G?clntVTe@ICL(GR8q3gEGy<xjG
zF?741MbV~`*O&Hm-ec+bv;MVEcVN!d<r4*K)0UJkVB+4v60-T({u^r?TY`(C@;WBe
z+h$ivU5dPG-qrGJ#Xi+9|2y9Gue)<Oey`Qk=EpG;zQ5fR{y-x%IWOyBr0AK<rmz#Q
z@3?5iZaa4OV3>Htc9zQTmiIb%E*s3MN={&@VcE83#@%H<p2j`xbSX&xP;gd(<(Lv1
z{|?4&stsM2#aAdU)ojvPwDf4KVnbB~o1p#2{EGT%ca-fHf2n!-cH+kDivhNMNql#{
zPh==MAABSu_<Gg*O<YGNmS!2;+hc0HP4MYb-(!paCBL1@)3<7F-Osh*p#^<;I&zQe
z!Zg1hFzH;f-*KtC!Qa~-Dm_Ak{@*#*bI|tfgJ#9~^G@k>PUCC+xDq-M%)kI%9P9AB
z{8Ke6F9Sn0FX+s=$@}6tz_Y>NC9;u*z1a-6R_sxB6R4=X#CRs9@XW<+{W5<v)~XxK
zJkcjHk+;XS>g|)oC#2fn`)tWoS~A-tU-qVv{K=3n61;*R3??mIx7EsA>7e@TB}?yU
zE|tw;Vq<#2nBDSS>|yQ`pC>j?V&;~fb2=AY_(S3t_h#wfzMn>0d;06Q9#>-K`S4J=
zK_fZw*^EM;=?{&p*_W<)6XLsQs<d^<u2qlcUeOeIam7W;{PwYJWsmiKKW$`~6?SLU
z5fPqGryi`EmV514W$D~iE7jieq)vTqWcK-~>D477LN40g-kL{VuWBw@`Q^~BS&y_1
zFWd6QOE20%YpKB6wM8r6?7H;o)~ZwWznGdb7tT8L^}q=gwN9Ht^=XgtWA86|eR;XR
z{PO5E%j))ND@^dt=zag9wlO!AF^pC7q2-1c#>J<8eciHY6T|8}m4{aA8Up{WOJNNA
zDd<))L7=qzgF;-aqKyUz|0JuVW2>hK%I@(JU3qY|h!9WQ(!Q_{T2GZ9hptjTwpxV0
znX|s;+-t7arz!*9m$N>(R3uu)8ge8gTtf6v@QiSs@Ywx&n>tojRhAYlT5!6tCd$3R
zZ9`XtVx;A%1K~^ApE~Wm&k}beM72P1*{>sw$CQ?wdZO~ayfu}}BD6I1>*1oSsv%Pr
zEA8aFcfMIiZ@<m~tp$r@ras@LuEAFl*q8Oh!M#nQK5~JFfQo{<eT`j}ZB4rff3sSk
zZ(v<m_<<;uFu8roudn~kOg#B%*CwUzBeM=?U%4>(Txm^ZX6oNrE~b`0Ei-EaIYm1~
z+h2e9De>ZC&C>PmOTwKxAF-U$e5H9xMAJ3Iso=_uW;f9VIvGET)RwkqG&2eE*Hp&V
zCf+>sD{~W5T76?+Yv59$SDsBqC)=-RcTZ8hE;?0+hr5eGe!gL1$J~C8{to#Ksis?1
zyP2X&LR?Osn0P60y~``!mtWfyepc+0aapACsvt*NSVPMzbb-xEq5FTnIhAUCFn=ZS
z<fPTN59xDlzs0H_|9kCa+JU#T_LzToa^*-%X6K}(DjriLJgk!Hmo%y`S5xz!IZY$d
ztI4Iaaf!0qF(vnXa_2ivOi+&R|9<6#=EUX7=TB#Bmj2|CQs%7OU(Ow}%SNC1%({I{
zQ$ucX?p=3Dx_|MmOMQP9cs;-TZ{x1>b8o&aj#b}zrn)3Ay)5pz^f||KON=LMw*GGs
zoPK?g-+Y%j?H1gHaR(w9pDWa#vrbny$7%7u!0o`z_RoyxZ0C5V%bk;Eky1GKC*fGT
zT*Zxp&tFYo<}<(Xpg4O$BAaB=hm(%65^)6!S;cDJacM0HU}K%{{ftHU*pvs8SFe*0
zI@Iv<QStLV;d$jOf;Bt`Z_2mu+q^j7p1}Kf(^2<&1Noo(YrYh{D|&Wd=IP4Qk@cq|
zyNxecc7J9&7kMt?T*RF97V(zJq1y7R=d7Hwe9l^n|8q<WrWKt#Aj$lkdya0wx<@gM
z#;oT8&jp+dnX}x&|AEd?%PwP)bj~^bSJdr3%`oTQ`!}+ux%O5<GOy{S2hGPM)228c
zlSpb|Z1ey3_fWUqjvG$hwswER`_G>}RQ<W4USID0(L>eiKg4P8+0ONT@8i8?d!}=}
zU;B7(aK(C&d(y4nFMYgcc~ARz_2UYCnfI-Ss_$2<mwsP+sQPxrdf|JwTfQ4T+?%tf
zy!X4_!@XV=>!sfN9;%-B;hypy=CoUtC5kfZgI7=9r?>Xp$I^^as|m}WT<2Z={G-C%
zo5A{1U!9qEtUh#=cJ;5Ym3?nAqnGa5xIBC6s}}#uT30)5Wy4l++nKH|Ilb@ao7tyq
zdBa{kT^V!dvR=Ob!&~!qt+h?{u3lwU(-r0^|2fV5?7VrQlY+cj{VU(hzPc}S`uzBP
zJKxMc^!LrDvq|@_ynQ~Wc-`MQ#pgE9FZR<urTIrQY^BWcUA61)nAfNNHe7ot^mp8j
z{8ir`-}t_%Hh7DC^VDriH?IA=qAko!=6s#WYCki!;~N8iDEHa^PTTh0_4l&$`&m=<
zZkn&J-Ta~Y`9AY^)wlPVzump&lIicXE$<VS=4IvP+|SNPJ#6>eY<0@y(hbM!UQN;c
zBeptd-Qtw{o8MM1s`cOWe&gF}m*4erC-;T_coU{6TkW@c3fKPUx4zr_o_FYd;oEAP
z-*T<z4|}QKHjZ3c{6l8VmeafcK9T+0_t@@u-@|$LZ(O@H@2b|xJ#yA^c8llEG?YA<
ztn=q)aM6dzHEBEZ`u<j}EJ^uYyShZ?-?~t%zQ26hZ@0y7^xA)>^5@joLf^k}Kfku^
z+v%`+&#fjbW!8UOS3j8<8Tt3&-APw>+T42^@i$T~|9i3X&ZPZ^ZWYgc*ZxZ4%htY-
zH`S|Z{WrhY{ARnVwm<Fu;v41bYq#Gl?`Dm;>0YFAa;?uhiJ3Di%PY&byB1EbtS)yA
z6e%ySov`uHnV3eMyMm3!=P@?zxY0b}t>dnSbq)(ATuQEY@tvc&N98z=NoLA{M%IW~
z&PV)v)_eCTzB7-`TXgD+$0OyLUj!0t8Rg&acyj-gvb$`a#?OOp4Y9%E{jY1B9Xwrj
zADezcm0MJF=}OQ3GfrEwpG<SL)$r@-s0auQRH}HCl9G}#<*2f`LX_#G;~O;mCb7R<
za`e>G$2(Mx@;f`F)W?3Deyt&)y-&u(foCndAaAFfi;%-M<DKW0yzsde_~!8Pb^n)f
z+DV6g5qF)~E&YDOLUYM!+m7^o7rrTx)N=5sSk#+~lU?O(A}-8)tg>9Q&s=k9pZQJ$
znGIK-?LFd_mwB?+Jd?ro(KBDA#@{QIT}4Wso#<p{?=sJum+`no$Wx)dutm^Sz|ciX
zvB`n?$V|Dq3XR6Dk81VTmLx7xY4~ZR{(Pyo%$?cM(zh?H>B;fYaD!&;9}5BxFF81S
zN#;G3(-TyBzS|sZk$i4@@J!|8B^5^^PtNoyQWHPH$uG3w(%mYf3dI{a4PR!=_?&U`
zkk{i%rgwb#mO@(_4{LYp)iVgWr<>&*(=JIr;upkppkLmy+&t%Ff$p`agNOMf-~FwN
zPlyg-ov_DEX70&C*Yt^3JLb5h$h&M~WaZn;!qXNl*Ci8Uw>zO@wfi0G-Ni?8mDfoX
zUha6^v?Il=XGi`czN5T(^9?3uZ*<Y#?X%^|5lOwNcM1<qnYcowTtMftnr6LbP_38L
ziv@4{G`bv*%wmnWs>0s%amAu4$)pn#I!<(SsCZ7|Gveh)4zXNaDWt$uIYCXSSwNA=
ziNn!Fq49)=LL-Zbl8fdN=G~W^9&DY$GP}Dw?!<*?T?2hFanaeoS^G*H#15)uWXUY|
zTyW^>8GWsoibozS&z8IXefYfHdNo)5?EgtW1>}FP(lh5zpT5feQrh*CjBk#JMTIO%
z2zRh;{n!4q=yY-@ciNI)L50>6=P>0N?++?GsCj(J+LS4YQn!^holHLE9dx@R+W)dZ
z$Lf<Svty?)rdS823vJe!zv9@LfA34D`6avWT6pR0?K%HWyx+a(k4S*Ez;e5dYrTH0
z^I@!a3!ZrB=G5OSuO1Ca&Dd9ddeRKe)w?V7)a556yD6Sa37%R1I=8<_>)@nnt?bq1
za(2htu1t~hd=ngfQE+?lQ@tpesefwU%VsQ{J43u~Yfx^iQ}C;K(w!j-txnFAp3awO
z>NC&7@6z?Ax`4#;60cKk9Tygg=TuE<y;Crq)ko>CQvJ7u&mB~jG_6>^d`9{6r(axV
z@h<liyqnV-VSQwkd01-Do}*jMS)(Q<EzA17@>z-Tl8wnKTisNT{M{$|EdETs`dy!|
zUyik{P3hrvN!zkfCMv_rNMv2Yt6eIeg(90GPI8r2?yuKVxlr%kv_5aOgmKfQEcrX9
zU5-p?akagsF3Fov&$d`l{>#4Nd)4>rpU+Wd><G&~?zilbe@fe&+|3c4D|CYVZLin<
zpZj`W^}XNs|3BaHcwX^4!41s2s;@WPn5*=D>zOUjq|$F>zuny{sJv>yBB9ltVsBXX
zEj6FU^49ax1Lu8q!bh3^`@UH2|F@=JDf)kcrS^%6l?Pm<mg@G;)sJ6Y&+L6ML*&l;
zvt^|^MQ?AYNe1m)H#aj?&EmHD+$Sbp8*NquJM3@YH^2Dgw(MysQ}?V|>sjxZDey%?
zGv|=>P2b}^ax;!y?qe0|XM4n7ubZ>lQGG7!NzXGfMw1<W3s2Oo<>9SbZSi<cZpt?U
zm6%zbEN9ZB7_;t3v|KnguRW1(!NdCKsbz2e=zBRysvdc>Vvp3udw-1$SamgPsy9nT
zMXqBGyZBv_OM`3IYwoyvCC?o8`TS;DW^3%Ud_H59_#KHOW`|U-FZ^BLcPrX3)UT_2
zpLa*x!9v3@m9)|Yv$*;!Uf8v+>NdLY;Szi0TRQ_itFv>SNLy_GZFj3(#c#2~l<jlM
z>*szGJknYEJlWLbG2`k@?k($ovDR(rv)xj0t+$~~n(HPj^PHVbaY>h5!%qBMx^U41
zUM=BSiW3%b99T26Xv*);e0H@@cNHIBweM-UaaU^EK1)OIy@s*|)xTc+`u|nc;lUJp
zxyTRK?+EVblYTI9{uR^r>Zc|r9?7{F>}VF}vnaW!-t7Gf-jxC=+wyBtt<G-gkd}E_
z>b+n3j%=0E`WJE2cV8~sxMbsx@4LDrpSp5*N-w_RcV3D^;HQx2`W*)>=Rc^?eB76F
zPH?IIrg>}TpQyU@+CN(25YPWbO7io4w>S$jS<G3KP^`K*x5L~imEp|Hlh^pqPqzDQ
zJY&<*tqYnf7-Sb1HG8mM=l!d-J2PdU8_ydd0n1lHj~&a{1O;?qeK*L}0&_#Z*NciV
zFbIf&x^8BvDQWQI#u){~-oFGb<~25lEapwNNHzyA<~2>T0Qc>VA1__cV730kWQ9DD
z`n;O@2RGg4c$Du7jqh5tV)DD_b#~W7MR<ct6!@69nBFl;3wuT`TCC~)D{+$Cy(8ae
zAO3m&;g+=1i`r&itI$(2_nEm$i6f~)OQ&=5r8#R$J*S>x4CkA2LNWR1>5EM)53>a|
z;#xl{yNX&qW#yb@)F-CkW!0d4X2VBA5zYmTQiAmX5g#@Fd}DikTmK%*Guv$|N=|XC
z?T(tD@OqKbBv#I>1O3w`ssyfJIVrl(A;6hwp3t&6YrB(TL$=vo7wfOOUp(h%we?}W
zy8q|I-$zc>iM;s5df(r>@kgEsbRTah67>x{bbC&x{!XQzxwp@Wy)W&nzxOjWdB5&#
zzxX|zJlhLxC)dB-U-5o#Q=apO^X7MoO|Bc|A4<M=XeraN>I_Ma<6Ea5*1Qss&$BJ@
z<~5VF-<dD}___0zGTAs~Z}WN2`(Jw7UWW2p+6Ruw%diKQ?|q#5?0cu4k%&N_?`avC
zjA_j)cF+CEcU|^NZ()Q|`oV3@%^pv5W|VwT2~b)8S(eZ0#Xq-(`p;9E@4xMTXvAK9
zIF(O?|MJfNcOM<}I5kPV@$cS95d#snTgR-$IGfEA10^CVKFM8bn9G(?^VRm;x;=i!
zj4I|<*FT=y@#yb9b7{R~jU~!fD_Vj!R&e<pR;Xxxlxxuc&?fy_`t#WzFaAiX332*q
zA^j%&TigGm)vUGu&(|J#BwA8GxBqWm;DH+!H{3qQ9653=M>@%y=f{J|>x=h%mVR_-
z>)wj>2lDyYKMPvD>U((i+P&87YC)+iwlm*?+;<(gw&S~W(`D&vFK;aS=rchpagn%!
zSCiDlDF#ouQi|2~ZL^el_npJ<a-DmD(4xXS`F(wJolT;b)wf@s?U(N%#CmDLn%DIg
zxdn9^Gkn`3x9rvD<#;GG<KAr6*V2de=bqknz1FGufzi$9f^)CsL_Yt2$T)WXg&orl
z?Bd<+@aNxr<pq{^f2NiHY5%j&T=uZJ&wIYp1z+A-P1t*I*T35GzReGfSfv7-eHXXg
za(cn0I&;&tKvze9rGOR-&81r!Ca&~8zNo%^b4-2FAKAW3l1)+?hL1EA58h*U>M=Z|
zp<Zjbk7x0mEk<vu>!Qu7H}A;Nzn@y2TYgS)Lj?~jBeRObf(ogB>xyTxJ$P>?zbR~q
zd(zY6j=_4XtJZY&Twq*%Vp9=glu&5c(o3S<jwzvmho@{62`y38_Xu!4CbTr+fJ^G@
zl|F*0PFJJqHJ29qX{PoVMu~^2zF=6wA94N2PQ_&2wcV2@o(grjcp<P-M8hcRlIcYY
zBL)9Wt_wwU=E#2dQnF6b#OL(hTp5;%)IA@|En3{?76o1Vn$W2l+pltHN7aWf5u#ji
zmo6{hw+U+5`lRd0tHv#+ffBRiw4M2%HX2OtYkhrVXWHp|i|gZ7cSvoTs=jlP%M|8m
zbqkcH_qoQKL?26t*|tAtf3EfJ9QlowN6uM&+x_nQU+d|*R@Z0gUf!nmc-#KnZ?&gh
zJN2A7>{QhAB~vb0W>39ztRhzYn87j5=Z}**lnzLXt8iE?V)Q+hJF}7ZwZuKi8wE3+
z7k%#aw(-il5GESB?2i<Ce*LNQEmgcP8rCz;XXLVKTDH7P<y6xIJ7y6R=Mc|0=gp}>
zbt{y#XW3kJz9{&`W7UaSo7x1<Wjx($7*@G<>ZDsy&*w}H@=~3<`I<(0OW?5zNu@QB
z_bL{){W>HdvT(}vFssy_kGu4IJ|C5+p8I=y!iswDDM9zD*KfUcElPXolyjEL>!)mb
zy-r`|ap+a)*F8bU%Wu}@&pA8oMxj?ltmRAQ@A)$?-dpi>s(G@)<Gt5TMJX>WI;WAk
zN5Jd6;koxYzv6e~8AVQCvOt$Jw9>_htzR;E?Z#v3lWfj^cJWu4=%F&HhjD9+dIQIf
zYXYzC7k$m|<hXq7_|Y8uN;Tb1t+^Alx#F_x7q1Ns^HQHX*-vwI%7t&s-k!C~%@4di
zdz*P~e%JRcdkqahAY+AL+5?sg3@!^Q__MdYiCW<#GHXJM63fDD?YTx_fv>CAuPr%M
zf#j_z0q#B*H#B{S%g$a};-{H>rO58x+@hYKbDo)NuN~tkZR%#&yf-btW<~wit25s2
zZTq!7V;fI)b+Aotem{GwkduwirRGOJ?<(&&nyeuf;P9BeME3N(9X>5=jLnZ&S$mk=
zrpUKzm}l??PVQTB!q}C=%4nyWZQ#xzSKe8Z)Ph77cy-=1;#2l{kS281`DBE+L+F&&
zM}PCu4PqX~uGpR{U1gnYd#=j*_3iidr`K^wNcNQ2Jqx`kB66@gf6KK~QLjPiDSc|t
zEs11C=6ltPw}xGdQU^uX>M56wooZYptKsRr&f{Qd>_#Ww1#BxH?9%HoJSK7KdsMXc
z(qb=3<*&Q{?bh3=bj5Xp(WlpiftJ}W#}p?9R8)y<X+G4JYBRa=O#V8Cn^M~^_G;Cy
zikY>P(UjZGxpVqk^R>5s9V-!@dgghKPGjz$XIue`^7-e^w(8rw+IP9R%96`D6MdH_
z^X)ij!}d60a$)q5V)@TA{#IX`a;M?LJ&CnbH(j&TTv~E2YU!5myYzU@az3rycJ0K;
zEu5jA%X|XMj{iUU`o=wrb54P3k>N&LHhNj9Pc^MS=Hax1ga5~lk5QSg*G#z-xqOS!
zB#{e0cpaP`&tm0_ny}#YE`wts=T?0^SG|VaKFs8z#i~Wj{a>Umq`1oTe@%Fk9JO8D
z%IEprWWHO^#Q*)iW~7?W`+0`k!H2IFX;m%SG<CU7=Z0k|GPX}nwuK96Xod$erzCIS
zTb3-;e&gyl8J2okL8hD1IlFS!f4_Ed2QSMb%eC*>_^u1_o;YtM^;}bCovKKN#)S-*
zshUljmWVI#U@S8E!rb!xSO#;O-U{dArY|Inl#P^?lszZ$DT_HY7??;1tt?dN`NSxE
zfZ>SK!VDdiZkI&~1_CUtj0Y7snl;!G1v(x|@F-bsDp{BJAb&=^O6RE~`({s-yW)KN
z^xIE2m>UG0=WEQpKYyczrOhpuh<a@Y=Q7Tqf)8;vf7V{^KD3badG+*J+eKHOVLC0@
z^jI}hBYC;YY|+D4H0$4=6WDrNDD+EDXr;)#4{J|G_WuwGwTbxMVz+76zF-;QYjz9!
z*Q|Rla=c}${|n{pxh`>kD*Ni0>pv}+F=;_p7uR(j$-_k@DnDfJ9FD&y@p$*ixa~h*
zy)E`%W-HKl>WxjbvsS+$ujfO#o9K*x+^kn83ka61e0F88d!N^Q{|0#n=YtCCo^bih
zonu`r_iK5Czz0Er^92u<P5pi2TBpJSUKz2sRf%oI+aK01G<!PPvER$F-?3FdrpJjz
z_SMzk2hY>~H$AN5I3ZX3OZwaW^(!8&-Lslc^8Re@5LKn3)!uRUt<3a}`n^2jUwXv9
z^q7Ci<K-ofmRof(op0)46uq1rwk+9gdzae0#%CXO&Aa}3o451pF4+97^RKz=GEeFA
z3cpMz@mY98KW3SHz22>a!yx^Y#8YRFMAzbWgN%BeU31?*6?k~9YLD0R0tVBB6D)$F
z&4EvS^<=yCW}Dr2ox5kVcGE;B>8q;sTqUhFDi{1VteD2kRCI6trM9VZ-g?_5SVXU`
znYW24^+xfo>UEMKK_zpmlB><;ZntssIMBoSBh+F(kJSv5B9>^!2{rY1lo)la8dSU*
zjy|%9oO{6K5X%*&GY&r6nJp(PUr<?Yp`keS<N~?LS*I9cgk}kS>&&^#{#b2gr=o<X
zLh{-a-fPD$tzPl6xpTkSgx$8w<v9B17u*ut;P-*W)#ksk^lOdi=p%j7*N@wKHHxY_
z-=E(qa(P7;gL^Y)Wd9x2iFHTg>Sr<LY&^lTX19w+pBTfI@3LpE8MM8+{r^RMY2T5X
zlkyHe4O_vbwe3`|URZAaBA*+t;soU1>$-hB9sBo*w1}e1;<fMZ9R7Y^ddHRB*X6^M
zT7%_sX1M%Ze?8yID(mp?EruIbK2B#!-qkH<_Uv4?`*zK?1*a#6h^w&sUQGX{d|F|D
z{hKYT1D;Or{E+Z(wL)^hCzVC%YrdY=e3zD8A$HGbSEh?zw)=&3IydZ$6eQlwk(A)s
z_MC_70B<@Q+ks~j85s+fD_66pxi+gWUAsc?$D*#Vh#L!-`uq=gE#1DTy{mT0CadYL
z(uwU4cY6I4T#|3LLszoGM$?l2@X=!F+?Kr(V$bW{*?xz$*=Za+dqwPG$%#8(eme(!
zY?o$KQ+5%tY`S!oB}_@a>8{*qwcn2~x+q2`6?(aB-p$~Czv=T^x&GcDo0Y#eZu-Tx
zB=lu*btr?J(;n6K9O>WEY<E`oDhWJqoA~_EQ|<pp(u*^;JioC;x1%!jjb?sgLsHt>
zTYWs{tyxz(>NnW1dLB-YDZHq_y)8X8(P7(Ok-s0+LmK#Hd81AkJYCpUJz)W}<W(7q
z7sZkDGAF+hon-xfO8mn3>&xpSk1=dJ?2<IgRiX8SQH<|5r^V}qgH{NphJJk*wWKMN
z*W9#VuBKaX_v{kGtg|ougvE`MGc)#f@&!M2H4c9@J+d&kh`-*z(oaK0(*J5o+W!}t
z@63+qhkG6VAas^hZMLQnkJ#CzNsrkd+_-MEYs1SgDhy?7UHrcPR!DSw%CjbWk(~8h
zp%2_`B}bSJ%okjdddNTPXD1i?6Gx7vcV-@W954Ikaft4wr$tO%Zj43GI88Tpe0q1e
z-J*WgsYL?QUl^n;HEB^!tM^RaeYiC&GIw9v;#V%Ijc*r;oDCM;RFEsc<)iAYeW2Ac
zOK)vx!HTc;>T`uy8uPUx4`$kUXxu2ZH8y59+OMhkcdAUi2kWLYw_AVei)odl2u*r*
zM<>%gXwsFtI-bWTsa&}q5_DWh<?7x*lj(mOcP_AFvO1Gk_t1^==GTIAK^yC{r9?bh
zr%IjKHOucs+B8dt*fqPintG@vD0zDuJKXKQ5xD$ys<Qr+S99dkTx*lAtWZDd@n#}(
z@r?7w6z^?R*>=oaI_*E(gp7AAzdD!KH*Cti|M_=p)5Z0sFB!t#Gg(jDksNEX>&V4P
zY40L+`&Hy5T_(!hU00|ZnzHD2wAukhnR@S;qDOBuWpzk7>`V?RoVdYMJ;I>nMOt{3
z@kM9rd`pS6#dX>%^@YQnPe;rR_UD^>`<>wFHD+7ys@*erU*nd4{Na{P^Rw3TFWxS+
z$bIDd`CR&!w#m26mkIuodVD=^+p`aT?VGPUF=p;`-^lf#zSQC8va|i0UbJk9ZPVF!
zNd3#+`s8IZE}cDny?3L<_FV@Yj2V-yrI&AxIVXMUB1406)r_**6YOQpR~#7U-jn+q
z82{*`{U<xk66yAIhuQChf>vlhY}<X2)zzSPQ=F{Fi2!$nS*;&WI7-|7JKQt%V(_P8
z_5Lzn$@i)a%v>k>`KM2hl+{x^7U?6(KK~}a;>+e5YtH&je{(&qb@%%(676?%SJvNk
zx@NLu*rL+lb<Z6?FG<OXU7P=G`I`s2rze|*9-rZCzwedMoXDH}$1iQ;o^K~LuXjeW
zFy|tPbJomJ9xESy`s%T6MP8cyg4d$+Z{HHWKDU)m<(y#8>bZ|yCF>u@sBGE)mQ7I1
zb${Qp-jq3e;`XS%DXh2RT_k4iyn!*BRkl%eLTiEC#+lyrf@kAC^xrtMbU(+onW`s~
zUOcd$<9*+2dZO`}w3#-yQy%!Heyhy%?B8Ql8PL4fsNmWDur06R?iNbFsoPRkaQFP9
zuWbKWotXX?g-qWde{yriORHBrye)BWWj>kMG3>Zo=zol<@#fKpjs6Q7>VF-%%R8lc
zV=Kpw_c2vd*Cj4%mS9&{C6RbZpIK*RkGUWBFTYh!`IfcwFInj~Yqk7jpGyJ;T7~&s
zIdY+&6P~OzV>jzxRcY`kWHz_U@?|Gfnjf=t@Xa=2ES`PH?1TD)11`y0jSGTid^4}`
zxp6V2_OI8!8N$4)QfGwj-*3DB+OmoDv)+o%x4q-PY_^kLY2fC&6&W8+h2*N*hn%zx
zmonF0uRYb{_%`1w$MWJ?;yvWJE__!I-g@mEWBsPrm8v&WCU&Puo$2VaU-J2|-JH4G
zGAA1DIcjjAMN^`Y)qeAWdkb_b@*To{TUi&V)IQ<;uyt{jYD37L^f#qNa+fCUa9@6z
zVM6^%n;ZS_7;T<dT|Be!v-p8VHdg;nOfzzpuD<l`kIC1qOV&5e3*_<rZ9ikV@hY2L
zq7xNb|9IZ)YjETg*fK#gbelkB$(!8;5yg@>J(pPB{&}rcDXJps^yZ#Qv+kQrdalc7
zO%#9XT%QtpT*auu!Lmm)?aPBRk{wG+Y63%)>Q}q$s_&TeTzgaZKc$Qp>XR-rwO?*|
zGb`x4U@P-uq4Rt9^)c->>eA?$#kBoNfPs<716`$ADPC=NmhAl?vHG*s&M$vI9XJrH
zT`W|2Uij0$AKM}-{%{;?>R--Vv#&jsOKSQv6XV%ax7i!5N@Kcke3ieWh^G>hMnmtu
z)&1{Qzk6+S?0?9z`u~=KLfc%*ZYHlR;L$iSN%CZ(PlWxRc^~XuuCI)FYq5TZ&aCG<
z9+$mx*WSpmwL$C}C)bC96!k;CdABz{oBL|+V(V2(9*sgui;Xp$Gt!=HikzU~7r2U1
zF7&GF@8xTHdCR!tYA1X-vu2J!<js4vJ$;V_u60a`pDVWS{g=M^_wR_-D=MXXy=ik0
zKe7B{{F&tqXBPW?*3kd@?b@8nx12u4OrOmEy)n}~=C0xK<kMVV-E}q{T^zZo@)^_S
zn^wtneY+pBNLz>~x0YN`kmNk#{7>RqM!V$OL^CTcvnd}QKPg=CfxCNl`O!MwK8G!;
zdWoIOeI{p4Jaa5Gvs~!j5ra<_^}O|0{+hb^zqnCWyM2!FXNl*3gcrPc+kBq$^24M&
zhuhu@;*SfLO*1|CQ8-QWM9AO8xe{exyX{WBycQI?NQuw-Z~3It8x7po=E&yQ-@I%y
z`QJ>7vlCA-@d`GwcLpY1)x15$@6VE+m*p>Zo-3XfGh1b^-NNwK#!h>SX5CV9bwB7E
zCSA{#<auZ2Ozp@;71}Jh+%0Dh&5vt}eDL`Je}=!GcT4DM#-Hg)Q<t7>z18)!$aTv9
zxxYnEHGFp{<WwkGwR2iWTZM<(#6;7-Z1eJ0>Bd^L<!&*q-OFNG{5VQ*Pm0+G#p^x2
zwJUd4Z`;G+!FE=6r*Mu7TlG~5<^>#1+uvO=b5_4!-+4%8^(&vOK9y6iyjL^n2K7(N
z?G3DZp5s+xX&Tgjd6&YzGY5SGUtT<NIQ8|+6UKVZzo(u$q?h>4OJ>j4>lK1opKGPB
znonNblJa&_bxXh0yARJTn!l8P-g(qpDf#v;_nGCab*(20KhK@K^}gSe@(q@=AMiO;
z&sjP<lW|q6i6M9Wo&&j?u5IkQ`8(8i=7GsOSBXcyKN6GMsv{?NPiTup(D%%@bzL{V
z_{g3<>J|Si{)Lx{s{N{PX0si8Ki@SzxFbl@vT))=293KBN>+u6QaaJ|zqBmke|Gm%
z_5BW~gYHT<MEmwi9tk~P8&@e=W|B7PN}$6GW0nOc-b(GvNfVsCW^cXt^J4R7x^9_`
z$vqcK=h>BCnA{U^fA_0%;r~uf(aieL>K(o-TFZ5%$U*f?mqQ(#9=Dj}m=7t2b#XN5
zsx6)OdcR+gi0`pq2Y-d8XuS<rTsr9jhvdIMZ&p9}5}wsEcl#3+QPtY+m4DZto+R89
z8+$9FyR}zo*CwqU4=Sym3n)y~6nI=N2pd`kFAJJ%(xSus^quMC<Q5n31)-~;{F^N@
z{GhYL^~#NQ&CX_In4Qfy-RClsM12x`=ovJ+yr3eDnPG}L$2;HPW7awfD$&Q(b7EiY
z+419oM*ZrGL5G6Y1+80ky!G1Q^9=UPe|SC^Gw}bA<QB4ZYD%~LYPZ;?e@2bV5_b<f
zrB8wr`YUR@J_}Be`}jhz&p*}f&_!h_+op@kQt}&L2=?>`e7W*0c*?x`Lp3go+;!}Z
z{^U67u26Mkp}Rzt%R+a7sv`^BIjUS1xU1MPT~HQ~|M*<6z5l~!j>GOEc8ks{bI2cj
zE?6zM@VQ{6oZ$}+n|^_sBXiwvRJuG+W|05*onycI7u%-yg4O*Os$K3We~>Hu&avD5
zifz+7!Q%c2)h>6GAIN?D#<AUfOTBH=Tfyx9fNGap$`|Aczj17KpJMyy7sn>|9$Tk3
zf{FbM)km%??~%Lsm1CWIj;+&c!N~ptRY$HWuaOJf+HuWwgI>~QB@<nx%Sr~ik1i>N
zi6>?XP8D0YtK*960=-8ig3G!!Vp(1)xrr<8>bT(Ap!cX)a9;O|7?$Ts8oEivg0t$o
zPsFf1Q_>SR%oLo~y&|S%iEDsfQjy?9F~yx7CtMx$9u*2s5c`-R*w<aKrNgZ|VN1s`
zSDh%9$4Vl)N*9&H#24=1aOt+#!r|Plv4z8_TVe}`V>ic^jw7xNQ7n&?{^>j_5UdkR
z+|hB!^-CnnL!}=&N#~Wm=qR06ViRvn7i_BU-m#g(x|<`0<$=;av4`6`_PKu0P0AOn
z>OK+8a!=`<SmO4MU9J~&lkx<Mx;vs-?kL?8d$_G*o9hPMq+G$QZjWe|TT17|61R11
za*Yvp+}5$twL@1aM=+uLM^wvorCnkNw|1;`&CpfK7L4fL5!G^4X%*kaDvoQ;IaV#p
zoKvjoS(Z7+SRHw#s3W`Rl41z|#43&}&U54reGypNu3&rQg`x|;U=_y&=Nh>~p9SW&
zKd@n0>YO9z^jTnL`vIFHPZiZ<4}B7t+CHI#<CL?HoYEyl6@I}=juXx{a!i*L<zx?i
z6zJo-_(GtE?_x!VYkPuC%OYnLt0RvTMfe+E2(<Gh*1r&F<#T)?(9Ea!LZFdvVg-jo
zyMxV<`OY$Oht4bV@CR0O*tILzu*`RUW7#s#`HAI`2Z|qLoIVJY^CdnPXl!S&IdWg|
z58uUdj(yH=WS!m%RJI?mK5|#_4PRh6$1dkHvQF;=3fmj3kK9(g!*{WaW1I6DS*N!G
zne7hNM{X*f;j0fU>v*krg3qvwW21A6EYll-`1TK0F4q-z@NF#RSnHf3%k)|xynTa}
z%T<La_JdX(zRed*I((WBm~?nIN65A;bqtYed8H7<-e}cv*>MKnq7s26%@VRLFBF{E
z8Lc|bJ67;5Di)a2yuhU6tYZeBQnA2{<{dIemN-W6Eh-Y2#8&TU*>Td*MXKeAf)YEU
zWyf(x2dN{A9WD3{T~v_bZMvu+#lG<c-z)_Q_JuF_<|_#CCKU>FH9MGeI5!)ZbT~CD
zm~=Qc3z&2`G<)1}RzB{?AjR_NiNZf0ra}u2`|=HY&h+#=Y0O#sRyR+etyx3HWxk^T
zU(<PoZ#+)t6+ZDKeW({<ndiuHPf@VLx_Lt}ySs<nKMAKT7VJs|0u2iP*dA_gcMzy;
zejt71p28QliRK)89PjWR$`dGUULbu$X1-lp`=aIv(k^!t9<Y53v*Ot9xaI9Zk*`9@
z${gDq*YG;!3S>4rNFTYWaE2|A?a?lFU#|tt3erbzC>&uEG~?LdSi@U?C|e*_+f#v~
zDO(`A`GS<oHH8gqg{B;<9Ybud{t|f|JbT`yFCoj88`ze<TzPf5j&0~m&8y3U<~!BC
z`l5Mxxz~K9+N>{{7neKDXR3YmS@ZmItND*=x-JFJ_RqAN`cvdt@N|FQs#Bj<o?5PA
z>-zJ`li-Q-Ce>(t(mb(TZhq63D~s!w%gitO60&%?gzeQIR~9Xom>={dWYKc5`9U>Y
z7lYg98GQ*^xSYe*^o6FQKWmlNM@<KRMZ2%hR~}ye$0qeh$iv{;c}g{1=Yy-~UHTj{
zZ#moiL!YNS2ri$u>2t_~;0FJ{woku@+z+nte`~w+d&s@u3je#dsoz8H2ABAswN?Ed
za;HAHz<;mp({CZSgLC}X+AjSTaw|B)f39unw~(8`*O#A|CspnBX61(E2j=~%I`w+x
zy5&3O-Kz3>y>iX+4fA$YoqDx$mHn1~jbHg^|6A~qf9Ai2m;BTJZTQ9RUBBWB`&IiT
z{}{jWFRwTF>-<vQ<G;Z#_KWr{{}{jU&#(XR$N9Ou#eawTm;AHqFZ^+SCU5Y+;AgvM
z{f0lzPvuqqHh$utT;K79{iMCiKgLh|{q+rB+TH65{xmPPH~Gc9*xuw{;zfCh{|jF5
zcmCV(gZ-$z!mq;%?InIWFSHl<b$Ee2$1mpv_A37vFUSl0|L~l@z5c^z_QUof{}!H?
z=lFl%Ie+!P1<&~_{~6T(V7I9k_;Yx!{f(c_59AsCfB4S6-~P+r#`pZy^%s6S-;@9F
zui!iTZu={L8{hF4*H8HEd`JGlzYpKox7%;|+xV70yFTEz^DX%c{|dgbZ?>QE_u()0
zP4+#19pCUL)-(J*d|iIezYAa4*V*U%b$rbqS%2Wy;j8j%{snApzh=APUE<|>Ig_`F
zm*ouJKD;Cs_B|n!f9kgdyV|eVE_nB_gnwDJ#$D!@a&F%hcC}xyZFu*vn15dNi#yEE
z<uu+V7W2=lK5>WnnVjBtgG~Nu)hq5aFR=}HmsrF<@teZV_7k=a?;aNNPx$sBgTJr3
zU`xAOb;6eRW41cCm><iDyj8p?C-!~84)%JNYKtxG&ea-Q*qy2+wy--^b8KlpV#{!g
z`H|eeHxCQ=>%JxIXg_58<tFn(xgT#5&&z#zqj+A9?R!Hye^d32&Ft3I9Cw%>$o>2F
zV0-&M+YfIO^ZBc)Puym{C-?4K!uIxEwin(e=J6L*cid*aBX{rHgKh2GY&X13%;nFj
z_PEV_t6uKhw}fr&n`~pgJ8Wy;Xxs5tF^4~)`p2#2>vFrk9oX8w);8m<Vm5z7^^RN3
zSLIf{yHLe`%{pgS^D^s{UChg@V|E>WC989H;U(FS_Y<nvuUOByckm0}(sG5phhNCL
zyceiqzhGT+@8D;?x#bV`FfX;vx##$qZ)W*{J%^vls@*;Ksh)3Y`GgYoQ`SEB6feoD
zyceisKVfZikMWYM+}(p8`TE{nc){26?m|VoYk9(+=0(;jyAD5+6?xzAg0KBu!VA9E
zcMdPqTw6i}Cp~z1GRQ-e*+0OiO`@>MsA0+B1=bw9oEKQD++(~TEAalqbH4WS55??<
ztwruFJTJ@f{=jp->URt3pYv6|GpJy<DHqstc&_!0oz4$r8Qy;=XWwuA<!<A9zUuM|
zyPfaJet1_<&c56F%H76ye8uGxc01pZeemu>8T)qYEq5E=@@1C?>~_8-d*NL{8T)4I
zDR&=Mv2U{Ox$F3bFR`3q_u=cZd){3rWnX8VbJy`TUu5}#U5BsAu6Y+=+J3Fxa>KdA
z%Q7Zs6)(#eoPBsnChU1aCg0R&3#{6&SS~pCu!L_}vBq5Hmoje86|CAXST>w{Sj;!C
z_{ALN=Q0{+6N~v~6`z>H{7gpgxj`o1wBi+WnwMAxoJ%a?oA^w@vi*dm!?}lrd=s91
z$l&WME--0#D^4(JKW3>ji}|sP$XUgUGWBB57g(^n6kC|EI~Qx1usan?n6Nt*bC|Rr
zv1FLV{7B~CnTG{@b<Ywk+7DTNnaTW6=Es@D^D<w~D4v&Ld)|=F*Hpa2nBBUVV-E8J
znSak7n78k<{BSlgpRcO;#BAn!GVh)xn78k;yl^%#kFTh>V>a_0nS0M3n6+=S+;BEA
zmoKZn*kd;HEtzxA63p5+S;jnfFl*mv*>P4ehcBV{$E@b-GP|A~Fl}FJnQ>Mzn=hhx
z$E@b7GOO-g_{Db3Jg2I8nR!YT^D^_8s>83Ob@nd2Bpq^p!Y{Tf=5zKP{KC65U!nH!
z3u%}80>9WUnAhw(_?dTZ{(~CkrRF*N96$5U%s)_b_-Vbg+TMeoc&Fx1_`-I|+-INS
zC25uW0zcVKnA_}Qyd*8R_uxm~zIzv5@b=uh@T1K&KcS|1k-19M;YZRU_ZwdDw%<#5
z!P|Pz;RSE=J%ty%jrS(}U~|ZKs5v~}TxQ?F^U^%`1AesG<tx-M&o_Tl**wquN#)@O
z(jWFXe&8*?m++jou|A)n=J0*#Kld(tXWM7~X0PLW-pc#~)rap&zquFioo$!-nZ1th
zcnk9zst@0mzH{%wH@0o&YxX+6<;~1@s6KpC`pmt6Z*8xoPuw&3#<tPCWiR6!-uV0v
zRnFI?cih|Xm2Itg%3j9Tyy5v9s+_M%MO{CztIaq2!j?9l>;qfcyt5->>zkLFhD0^L
zk_x)su&eE|>5O#?OL&)LOT;$6kaD`tu&eF7X~nvQ#k_N}7i?)eYnri6v6y#8_Kujt
zOH3oyEiB@lbj@LB+euTGsOBe9O4k{7wjDQhh&sI3)MDMii&9c+8!t*pUEh$w+mjuz
zrOhQfBBuF~l)&1D7o>RBF1#Scu{N>(f)vYI#S2nG*BLT+JF<UlZaZxHBeMCS6xa0&
z>AWr34>q^iW?$IcW|J)u!#vNFW8K4ZQg7BM7VtJ?|A=nBFZJu%f$eR3O<$~4%;&Ah
z-Vxn=SL)R@hwW`UO;4;<%;PP{u83~FEp_YKfo*MDO;@Z{%;n9<wuo-NDRt^vK`ir4
zsrqBr6t=Z(Fl|`-Fq=0n`$ZJ<b*XLF7Hn->W16t`VHR&#_6jTK*OC$EH@xJTb#B5-
zo*Cx~Uh=F?-%!%#l^$U0{7N$5d_fi4W#cLH8o%%?P8YCsej({_{zE0(dE=6Kjh}g@
zoZC>zcGfs$9^+@8>FF~{*iIXV%yYaXsd3(*vdtsCeu9nj6G?^hA1c^9(kn{XjvHH8
zIWIOguyTGZDK@w9BTv`42`_lM(*tan7a7Y~H7_(4v0`3m%wyHOz?j9#`H>{UT*i+)
z_2&vI*zD5{Y@8oT{+iSHfv4u&hUYw0=O#SoX-+>-%y!WDj%D*)W0rZ0A9(81KUh28
zm;7;VLpj@C<N7Ca8Q=4ir*E)!zAO3SoIyF;PU9nU8Q<~brx#c|-<G^_ZbKQ{R^uge
z8Q=1xryE#1-;`XJu3&xmhUAfR0%dF)jBDl|e9aS^{=n++HOVdKCX}+RF^-vg@D)#J
za)vDPYYDSn$IB8%y^NP7^m-FZd8Qo;$mCg->><niO2Y4Wf>m4nCBq4Qi6uOXk~v~M
zrYhOgeOR$5#iMWea^?)@32y?L7)yBOCx4J}elB5g+#!=^cJc)o=VuZI#|t_apXgt%
zF6=c!Wu?&9CrKBU7)JChEaI7T%)zqlq@jyYiqVk{E}lur9Wu;MB;<}WWb*VMdtkxl
zo}6LA=9Zixb9j-VL7(HrdI_Q4#6q5~WCxQr=VSwu$%<~PSZ+2hydc5Rn|MKjrC0HS
zgwSz@44#hUAI5Em4Sz^BKa}7)ej%NwCHaAIn{Dz1<2IXQ2^r>jh8%ql&q=)LX=3M7
zb>^{A-Fe|ht84s=KTXfYb@m%rv>h;H=zEyYQ<wZgn)$xOw_^*;+xF-?)Ym;M<f(|C
z@rUWD#Jgh&=54zSFZ3qn@f0O@NHgD&xOeP<S=%<l4ZVrEJXy&e&0kvGC+{n;WHWI~
zwvcYVDRJspfh_Y)iDUa7{Al%X+^hfOW9`EC+;wRg@jres&5*b$zAiL=!=X#R4uxG8
z-?PmiY8Pv;mGz!;x)pg3gG;zuwguE@aJPN?^(80qyx5N~H=Q1e@$hf|kj~xF8O^bU
z)p23Rwgo#{U0tIS?i^Bbi_Q=+4^NzYSvaJm=ZZ+^*Vmwah43t?+0t`l=E@#CxO!%i
zoX1H|&uP=6W8)K(7cCKe_3qO*jTwtpMZNkGb40<cZG&M$@55}Kxa1d7%-1Eh9a~^p
z-?qjuq4!}HPgwGbUrw*ZBld52$vtb|gqPei_7%M3ULC*TORHCWz+b0V;sN^$ez9KG
zpHkQOg?q8M%YK1htQYia>JEP9o*Vz*57ScpoI1zP+%w}3{5kYgT&?!tC+?~76TYyX
z()X!Tyd<u&U*IR}34NP7#!KRIwFf_P_wBpzg1e`FA2^y4{xmJpSNV15k+{hIh8NuJ
z`x0Jox9)Rz!QH%1;RSc&z6n2A9pWAS9Gb5$Q+M#ZIM4ooAFX!r3V)d9>%aNgG*AD@
z&qEKyKh!vW;4a^n@SM9bp5f1-`{IB0UHHzrPybD=<9qJP_yfNW-4%bcFW@`tF8wpL
zj_<e&;~Re0AG$4mXWxZytlRY0)H=T9&Wv~Xedwn6nSBA@T3?Hw*k|yKb)$YuE#n*R
z`1lXMoUV)S*tg*;>stMkTE^Gh;qe=OIb9Wt+J0bHt8es$Ev-J$2e!0&M@QUkTB;jz
ztLc?k(DsI1t(SFY<Si`WUJ@;Fx9NqL({_ekt><+s@)j0z&xx*Iu%-2^ZbqJBG53t<
z9d`~b(T&JkSj0VPo5Rl5le#Xqnx2R$ZD-iodR*7x)}h6^7I_CRib>@*UKEqsz9ECV
zCputDt4nmmou)@(0=W+_i1FkuydcJrn|MKtC0Fr+n9z2H4DOETADdeb>;Aae^iYgz
z`-ODwmgonYTWzB+Y;LuQu9vvOG*6c!@8LPIH#v$0+zrt`Za3W*`?c-B_SU_+FLD+0
zxhtY~+-|xn_G+8M_ST)cCvp|@xC^2yZa3W)yS44Ww$`n>D{>Wcxig|IZa3W&JGHIg
zF4Il1W7`zAwQkUD$bFd29T)xL7SnaHZQB-XZC#_Akoz!;J1ly|E~nR`5$iY9zvP;=
zZo*5h8S4sOa;*;EP}1rZ9<bNxm1w~Ff-2U_+Ed~hzi=%M7uf6cLeydXhf3D-+9h#~
zpSh;2+fd1RRy!q*@iW)-@EIknr?o@k950D#tT(7^^$4G^$LWcv!uk&ttRCSNC9KD_
zEp|CA);8GX^jK6Zw(%oZ*SZNWxVpmw_S7>i(w5oPv`|}Q7t=y*o?T4~v{`mJJrZSz
zW&FrhzpkKy)jr%{kJCfZUonjzxN6pIc+OR|Zo+e}=I{f>tOvF4>};B=%@W7>fvZ0J
z!)~YhqCeJcC}-WP{Unz0Jy&`7hTTqgMPIBlC}-WNeI%Cg9anyM!EUG9qBquUC}Z8K
zy(E^g{w-H}xWR6xo1*K&6?PxGA$nw;KpE=>?V8wwueoBwAM84GO?1n;38k!Sv}0lq
zzTyfE&X~*eTExuP@v?}KFXLqqJ>SGqu4&5xGPza-d(35eCE~X{!K(F=<^;dQ60SwT
z9CMjoh}bQEVA*<3v%s(Ml8D*z1(vO6G!y(D7I95pmQZimdP>t{7SmG^wdDetT$6%3
z<}f`Gkz3A?$<@E?fd#93aE1x1TX4plLyI&G{2VWe2>B)!a&-ken6x?v8<?~@1uK}e
zItB}vv^oTP%wbxfso?kUya?a&2kBgG!5VX%=4%T0HJ%sw=Hqx?<daWg0awK`1&dbe
z;0?yD2Q(S{9@gh`)%kWQeEjILGWj^49P7M!Dm{9M*_<(P)!q*lUodCgr}@U$F`uh4
z_`vK#cSYVT3ovKhrFq8JF^{V-xMB98+ah<CT`*(arn$z~F_$Yd*kSgen<8hH1(>zI
z7CEuZz>IaHW{WRl4p;nQcLh^hTc%fnL7M#vFFB^VZ?HMEL^-COk8!fvHI7jC4Tmm0
z`otuxtZnUWy<`T5M$=uvcYO`zEqjz-$R@t$sBwQ`-E?2@Umrt7%K_yZmWSplbI38C
ze<1kD<w9|bt^0#wmP5*4ES(e;<s59w-+Vavn2lXAZ{0h+eEy!M>ms|B9WZTOtC``e
zn9UUtykl0=RgqQmF8pG-R<E8@)wE1KrHW}1=k$3SezAD_ulT}pRegya<5$k*{sy&9
zFNHnk8~kFqsNQ17_=R)6|A!i<=fW2A9bR(I_P<c$^i0@be!<TcPyY=yPEUnZY#Tpu
zPWJEk!g5mG#g6e4XTN{Lmlk*bf|{nq>Lyi8i`7l+5-$o%%wO<=vvb~tA1w7p)fK7^
zEmW7Na$2Y^P<3d5I!Be$0(BKT#tXs%^FKW2Z1?~0ndPv$h~2{T!W{DtJm;*Qx8ONv
z<vfEQEH?fEHHYS^->7tYAj~lT!*`bb>R)Ud-*Z;`U#NDvC;VYv!FQJ3>Q`(V-*FcE
zPpEdfBm7|Ahi@#~)wkF-zU9pJ52$v!RWE#DUcon(&FWKZAO2$5q~2re_=YplpP~BD
zb>ThpE_`KKr=DZ$_?k1)|3KBDtHNvM1#E4(rn*5d@v@MKuHt1OgR{w;eX<@*F{&|9
zhh7Qk=q|h@6f%9nE|x2*bMy|Da4z*$h&}W|$Yr{~E|v?bHF^h&Ip=ymh+$f)nxp4f
z%sI2(`#{X0r$TDF2a7nTdQaHGa!S=lPw|qF%5;I9EGJZL^cXJ*$>|;}<m{VvA%nAL
z+Jzl0uHFeTO^Z}jq7FS05}DqR!P!17A%nAZnnMO>^E8DF&c<mIcCa{jJH#BCuPUQ=
z@VpSu^ne{LcHRmxO!HOWL^jP+eG+--fzSsX#{$ms`e_O2oQ>WLF^BF8{h4-QJIg-R
zH@c4boR!`Oq7U5_dNVCxJIgNBGrEp>oQ2*E(T8pe-I;b_8_PD;HM)+uoSEJZ(T8pd
zotYM}t>v}QiD?GgST?G*=rZPT#(RH=a=I?GW7>wTENfL$bQ!Zb!@V~|Ib9Wu>OWA`
z;_H5)q{YYmKuLXzw|j(b(^BOStEN|iLH!L?Eti#N$SwTBvBX`%w&{hSQ$Ish%X#Gr
zxrLuO=D05?X*sK$A*cA6V}|<<PzH*STlk4%QlCR*%SmMytEMM{O8pF#EytA|tPU+!
zwvan`QBX>@@uHwq|ArSFJ?;S|EiUd6HcgKN1!Nyy5af|vSbsr~LpJe(Ad9Tx1wo;H
zh8G+i?mvoK4lDn#Y<eij)qmkRM~nLdP!79L++yP{VZ$^}nM3a3Il(tFiXS){+<$;_
z<*&X2<t=-aU&t!H=csVsVcm3B@Kv8fdCN}a6S9i$I11b=teb8N-s(G0*0NQ3g{<OR
zjtqAT>!zE6r~2v(Y?*Ef9_v#mYuTXOAp7t&N1Xc$E2is$+xixiwyaT3kbU@yBg}n;
zl+$a0i1rPc9JAUcWOB@CE6C(n?YzOH#mhNB*6Ec%Kzo4|%VotWe2pa>i=72zon8ny
zw12Q<Ij>m4*I3LkrEP;H%UQ(~KE`5>>CQ7uSWYX3@Ht)*&}gqWux#;go*?7&L_nea
zg9VF+bA<`ZaYYL$r^Si}QcjNr#CRJEIl9^=WN>sl2goojQk0QuTBs-@#k5e7N2+Oo
zB8!yMBLN0p#zKzzwgL+lduIa~r-uT+cp3{hYT7oWb5ylWNatvFK48ppQ1Omr(_BRs
zKE?u$dgl+)PWJ_VwAF7gXW6UxgqJa&quhCew9{RI7i|XSEISpC@G|Cc<U1EgJKYwz
z(YC>iWvk*6UdCLGbY}x;r<(%noE4-G-4Hm^CSb<0L9vGSU^Yjr^8=|v*95k-O)zCy
zqZq?`FpDG9KI1RrYksr84ww0j{xV$V*ZZ6Bm3>-Wz)SX3_8xy3U-8%b)hGOFz9c{4
zU&0smMfMzj8DH?*xnF8NE+iA$#Bs9l!AS?DN$m6NU;JTw&ad$|;WPUz`xAc{pYiL}
z8@yznX20T3;}ZFRe+i%1C)O$aY(63H@bAG#_6c<#Ua<Gs7kp`UvrqWad`w>F7vp1o
zk-rKT`NirN{9tymxA?;BY_IW!x!%cM;tR8*J;#^kBk~Nt7$5Qf`}5!fdtF_^kLE-2
zUw$$^<p1#};XMDBKMLph+3Fjfvp3oA_{?l=&+&)x0sp_c2j83b$$$8p@SeTO{={#_
zd;IU}623R@lE3gb;T?OCeaCOcJN)<R9(-%wCcoit!dvz%dyn6YxA@Q1C46h%Bp*{>
z@9?d8qkP9-g*WU8_CJ0#UgzIcci?ODTKSB>3a{BC?05WXyvo0-?7}YQYqB}F8kfnY
z++tiN8*}U6D?XjK3oh}6luy{jd_{K7y8|WcORW{|9(=*)QZBHI`GRcCy934SbFClT
zVO%Pk^Uk4|eWvw+I|rZgsl7c=#6Hz}!WQOJvOe$X6)y3qlnd-+J|S!Kj^PrY+}i_%
z?0sbyGT3{{F6?M_wNALxxJXvz*1<=7BIOMk?CoU<8SJfP4jJstWeOSWjb#&dFgsW~
z+&MU3R_5J-^L#wz0Xv%QtQGDs&X;|2vvHp6lbZ)0@O^mWP{3YZmXNL(+V;oskwO7`
zgY}QwjraL})t4RE-n>`##ao4Z_6qAAw;S*By()9q-n>)x#9M_t_5$mQ+l{yRZj~L_
z*1T1A#ao43_6%!_+l@E*PL&nhWxUCEtW05B^9I?5w-2(}<E&rYV!Y0`t!%;8<~6bj
zZy#i_hgq-K<@lO6B7eh6wpn=-Ub4-|D|pGa+I&MvvzK|mUdLDUyaD+IRm_*Ar`&7!
z!nW94V6Wo~UWfb-mCWa*OYSv%W}A|?p_2Kmbjm%3&ur7pXOu9XmJYe+aEVtV-=MPD
z!+gRX$0xiB`5!8nJ<Kagn2$?a>~dTzZLrJnF|XL&hL3Drc@ti+b(;t5VO%6Fv#W8T
zw8$>Th0;8`8W%{j>~eg>ThDNp;UimpUO@%3y}7|2$A`SX?lgR0tI6B&oUJNv!gID}
z^8>}q2c_@qY@932a*yEyTfO;*-H!Kpf8=c_XWlFQ<SxT|wsP|gyB+WHzQ{8uXWl7&
z<SxTIwtVw~-Hx|;Z{%$#W8Nyg<SxTowsdoY-HtbT*O@EqK6r!oNS;6$^9Jeqn!5*H
zv&EV}*mdw4@0Pp?rOa!jW9}Y!#TIIuF_-Z*kJ(v=%TB8}1e=^a_?D|P7V{XLWw^|v
zcQ)awhoGvAM8qt|rIIVoDU`CUFt(W6_>#vf-NCB)qGZQ8g^=U@ibfI*=N=TZ%`<*6
zhw(X&#@U2owpqp}<}g0v(MvbTWSeHZqJB=}63KwNr1lB2ZmVnpKQW)2Bx60vqT<uR
zgd(<yX$qFjCnO!tJt$<GkoF;it<Si?q}k0l!KC?^q|PkH$2=lu6)y6Kr7y5xb}_av
zVRmkf3$S8#GL|r5b~NTNX+9#!FpKdK&%ZMd3fSt>5-gezNq(8h_>kwvnS}E^U(P6;
z=c#8)Z%AirGTvd#Y;DXjhw%Z=zqAMD&HE%joK47Qt1><@oADmcyR-!J=3SB(&L-rs
z6c*(3JaJ2CJEhRKv2cyxl}Ni85|YdoEcHD1^wJ+3duiFcZExBEv*xY6B7sZ~rZnE-
zxs=vm*1TDA#@PiqY}X|xoK47KOETt|&3J=nU)qCu)8=)O1!oho*`kb3%woL8vo0;c
zw0V{ImVFIhS!c&Bc*#05uHhx?^tcVbn7s8@d||pOzGNT6SJvhF2DOeaxjo_yelcAX
zZ`sH2g>}CEhZ@J{+!pZ;FIi{nU#M|>#%&N^@UzKNe?yJqQ*M>L4WC#i>vw!%Iw|h5
zkKq$*zkb7)rh0e%f||y~;wDv$i^Wa$C0yi|h+pu6wKHzR52mBy3RMReic3^EE)*B2
zI=DcbqsnoCxXM0;3)}+nAD*+e>woynbXZ(u--7ep9PtO9vsT9~c+OfGXYhl`Mqi-j
z;9T(=m5vX%8R9>DXWB3RWpBfK)@uC=)sFYLKg1P$XWA`(Wp6|MJJw?T3Du5wxF5uQ
z_{Owde9PX3x2)Ov0o9JTxG%&Nd}G=yK4tHNUrd|Cd-giKVNKL$s6Kd|dr#bjuT1O2
zbM`vCW{uQ8P<8Ms_nNqXtxeZNH>^vz%w@7x;WC%O+6R}o!om|WS*M0A*wu7Jbiuj@
zC9KP|HDVcGa=C>o>}t9o+EBmlK{4w*?H4hO&$%?#CKR*I(moNx_>4<0+#r*6n)ZsA
z#wDTw>k^7sCx$8PY&s$8u<k)2>x8fm8LWNU1zVcjv=g>89TU}wVtmXcvR2_Dmst3M
z9ZW9T7F(E{wKcXdIcZC5VRF>w*wS=Flp%`o5!b&p4+>c8!V-2g9TNQ#$@s9I>&Kde
z^ITunD4geF3vWngZPMPcnaNt4BZlz-*T1j_+ne@@eps83&swE@BAW3Y*SoNU?M=Hx
zFRV?-V=dC|h-SRQbua9}wx(^O8`dV|vSw*}L^IyvIv19(t!a~JOt{0grj4Q<YZY=>
z6SRLsHD2f16?R~2(^}DtwF=p+5!ySV>Km_et@682#dJ+L$EtCeaEcY<GT|7jgReMs
z<}SFz8R9>ois_2*oOuVnuq;(qus!&K)5Tw)is^!I&AbDjS>~!guwh&(oHNhiGs{f%
z12zYra;nWe@QG!r`h*guQ^G#;6fSY9_zP4roe;K}$8d>LZtj7PEPZ|#Ua<7|U8rbs
zRZpn5X<Q_%Vs-Elr-*;U3zl}jgcmHWehx2Kn*9`Bur&Hjs9<tXcd$7)Usz_|f%BX^
z{s9$DcIpZ?jPr%xST@cReqwp>0q2J~4j)*`{SuzDG^#V$9K6r@$L~Tp(>~!ha~<BZ
zRH`4aK6sb&jbA`H(=OpNa~<BX6sk8^AH2<Z$L~T}J<~SfHFF)_vSg||SRcH}dB!iG
ztm!r92|t4}rj5caa~a;S#H)X>a=gyD!*4?=(^}z_xeTva!qqoeIbPjv_d37Icm7Mm
zzf0q5z2jeo+J6oF|HXIz7ti`HuJ&IX|9`gK|Jic>rTl%*((Rtb|68*C&#CEOrr7_S
z823q8{*%1?CHt!S<K<s^=Up`aw<x{Fb^Z(S_>Y2r7n*-*op*u1%2ED>u>1w(fAj5Y
z?DK!L-ha+H|NQ$(+xgGg<<E2fo4fsUBma;3_up&Jf3IHuy|Vv%dH(lO|L?{6-wXM_
z=fD4!d;VMY`fr*2-_rBHrPkMOlCR##U%laf)%y2U>+V;rJzuqEf7R;x^-_+nIU?LQ
zWU|b1n~=#e!>u5bWwr7KlO`|a09nUZ90BeHR!o-#r}Q<Huq;*<kac{);o$zklIgr)
zNnb-T%M`Z_mP}^_Q~DT+S*9z`Fkw0^7}Do(i9^HPz_Q6hd4i1N6AlIU4;D-w$`vL|
z#|15<92W~3NI5>{5bJFyWa+ARn~=fMtsEf3xJXb&s&S#9h!o>OK_02b1%fP6j*mDP
zdKn5?>fH(~nCz7eWE>xI{OV~aV5xE2kj_%&HX)s*S^0o5(?P*Il8ti(S^5|XSn8EO
zNITx=_~Ev}oN2G%lU{~=mU86{(vEjIUbq>UGwl>S(#w#?lCNAK?RcBxhFkpxGp4PA
zOL`e{S<;maq#bW^tW#EyK6r!Uh?{^J(+0ts-UHbzvC0pm4qoHf;x@sQX^mh^?}03q
zQ2C6%46oVEY8@`K8?AkOT!3X3yFu-POYC9x2``za+Aa9ic!hsK-GeX8%j7lwGQ4DW
zvsd`lc!9s6?!jl~dGas*Fg$11s7?4>&pb>1#2<!d?0WVFFPW!lYc?(Bnk4Jh@#aL}
zQucls_IX=a9GjXsuS~wuxP0k60Ts`rC0<u9H!@F^pYVn86u(cM!X<VUdx4*fC-`mZ
z7%s8P)gJiB+-G;;1#^$xg&&Qs@(F($7V)e6I`D{H#J=GLbGu!_3+7fkhZoGvVNOrj
zr`9t!*$KR0?v!Wv!gz%L&(8x7+5glW_`uvM|Kc;_p}86_9ho{OXt_;#QBl<uWTe8u
zr)bG#W2<;`;S#Q<<t(8!ERIcw4+u}WvcSPxRV?b%`JlFGQZc7Co)2oDb|^-xXyx&x
zQo5zPro0SV=-sO~Rikv}$)zUKSH*ahYMx*E>w`{e{q`&Of*QRUqgEBHwD!IkdFoQo
z)M-w-Q)5mQtvtPyV|vjR5!J&>|LK@!Y93jtqU*YI%7UxsmwuWSv_)j$(l65<MZe0|
z47#w?Z2GEbu7Z_kmP$=eih0F%DQJRsXJxJI(#k7O0w;Q(lvT}KxpA>dKi8HiHv?Oz
z1x0JU)o{smx3xM|U$}Dn(g)L=qPyO$IJ?-Qf6<mJHv^}7$4*zW?kdt;xAa+GlMUCU
zz@|Q<k|~b@XS%yab-i72eCdI{qAgRd237?Xy7NY5z148?o+?|Kskwb|P=Ao^s!M^>
z`l8O3Oj)+rO!jD1$m^g`?^xZ|ts&QfqTE%jye=+UEY+V>60&%)PJjKZk}FRGXB3yZ
z-;^ya7r7T$>Hb#sXnDx}z`6$a(mFZTs*o3fi`;vs$)#3Zc^SCE{jR0g2Mrr{Ub(5y
zHEiAAT59Qi)Y!h5qyJU0$b!XU{gbS@K5FbZwD^xqYQ>bfi<#P`WV=dMTwZ7*+gciO
zBVa{fx_hc7Uu#Fv3LlMQ3r*VV9~n=17*OBlWin;aLV<Rs5|QhHvF;mXubPRx4p{3P
zYo(Q|adGjwJ|k(Z%Yk9eYxz=NYHV5P)2}7%^;Kiz;wybo(pse}yqrt<wrX--4w&7h
zB(v&5Kzm!1OxDGKy0%xvQ?3UlJ6rOVT3lJMkb}3?GGy^Wy>_FLE7t=$-5YsD>oZmy
zTPVkSG-HK_vnQV_N70H?3pE@I`9!Tko&>Zy*YZ8JoU(YnQ@z&T&M)eh=ezjVGyUcK
zy4=;?^xu&e%ia3yZGXM|sD5I;QvIYqnwR`1*S0aqXcermc7B_C;Bzr^uXMteMmOh=
zys6J$Uhr>QaIGy!`-50Hqooz|jC65_;NA5HZn56byHLhx?(Sb#XZ7dhdH;@1d)8l`
z7yO&K{<geOKRREm-s{WcMe`->>zhnMZU&?|&*g133%TW=VBh*z#qHbWugf=Wo`0e3
zko2nj75f+dX}ct?^`5C;??FZ5MedF`g)b}LtM8xxua4=D<_C3a`@26q3qCBjv48n9
zlmDTAYrVZBU#PjrT~-eL1L@4odK;cIO;E{AnAo_AH$v24kHbUOUpWgduyOE~noqgA
zh_@nlK{j&?+cv#~s>Vy)6Y?CgnIokS>~fg5@DopKy2inUZ0(nl6+ScdwB5SAqtRWu
z;Ld^2MsM+my$wsbH^eP4y~L`f?@-xzg10Bnp@ey%_=WnCMqlw0C5%38La_=LScOC-
zJ#I5RW)(1RD0{&)LE52&(NVnO&h3UrY+uYayfE1&eADmx+*4&<rA;h5gHu%8di|1w
zSC}w*3r8F^Y4n+`cEGCf+FS$E@>_R0FZs=}E`9feb64<-7k*2ur@l+sb@`>=3hS9~
zOSTE$^2@Yd`F6{;dg0rCxz;=1e%U5`$FI=(<Xe;Nm!J5RSYLgcvi<U1zbV$9w^kOZ
zpPu_cPkq6z%lG_htiQf}vi<UXzXog8cPZ)1t*trVwWKdUIQNr}!`YYF>Ko=BD*Lrr
z_z{azIn$QlM}Cbr)HZAjUOZRk?UNms7tj4vcImd}#LEx;>dK6^1TUP+QE&P3X6AXn
zra5Akytg{fv%H!UQod@-uFFg38oZs7sqSl?o3+>B>Q&YadJ{?+*K+5?Hk^LV6rU(u
zp<>l|k#mCIf*huJ{tI6ke1t#jn&ohVWsO?E*9KqV7gY?eS=Ob`P+MTixQ1~xv!1U)
zE>n!Kg7j<$v6oC<;srYyF0;<jV=%1`om#{=O;4BMGLw<6!(}G3+J^J&-)s&PG0x)u
z!6m(0PvJ6;Z!Y5$D|>MUtz8Ufn5)DJel*(1OZ;J&$Inss;2is#8ifzc4e~#JH{56c
zWq06v<6iz3wF>W<E97_lZn(?-%Ff|?<4*n)wF>W;3*;+)H{52wWq06P<5vC^wF+;U
z>oepnemC4?KV?_&m*FP+F*}8CjT`tIY9G9A5MSi#%Kc(9!!gzkdJDcXhK5J-Ux;FO
z$h=MNz}JR#tRE^Ht}~h0U--(njz6c?;Wcxl{DEHwuClMO3)mX+I%th|Zj{&MpqXM1
z(lvd&V}-UfIJWZ19{9vCnR|nltS!UKmy7}Y6Qb&0GEC#!u&VPb!&>eYQ3tLvZP@XP
z??WZS8RnQ<x)1UgE2_9}d}fYmn9F+S<mRmn>(;Y2=qlvoFh=&XH`q9&EMX1^OOtb$
ze2LM5{{m-KL%>efGrA3Y4Xc)V&otw0C}mj7zCuac+B^C;m$Y+G>Iq&I3l5vY8!B6O
zO<B5BOLyt6`jA&aE4=6GrS1xO8MMT^S5I|U$cvx_-nDv9cZNI<n&TZ9l~t^HcB#?y
zLou(4R(g6z>V@u{vSg{o^jR@lmx3mFyXvv-yz)3`!nCR_QyvHPP0QLMvUsV~^r$T&
zkAu3Vd2PA!D5%R@G3wQYptfmRph}BPS2bg$gSV<4Ylh~LrS<=GN_R||zm#XXR?MpN
zK`q`NBeTv2RZTm!`O1T!ifKYIug(RPP2-B;Dp<LH>7Qw@qPy}}?p^w2+No%+{FS?x
zzL~Zvx+`zx&ZSSLbwzXKt=zu!&a|xPuH2PdmtL9X70s2qa`VzN)3l;r?Gm{el<eK9
zE4oeOMo_$WrS8?OBG-eWz3Ve|r*0Lw78LFssT*1v@;Y#hd#;t&<-nPJhf1z2T^!cG
zsU&3S;*fqXTduDwE-&`!*Rt*Uvf|=mmwql=t}iRjFShA_Wz+Rp!^=HaZfRx6v%ned
zv2vy_HBK+q>Yr31@-%R=yQ`Jgrxhm_EA&@^YSR9`BAZhe1AF_DN<tn7cGveM*?3(H
z?CguO@%pIY;x21->Ox>^pHj({1&di^MPIBqvY0`(wc^VB#eDsril;mbY<2%?+4Vug
z&i$>W*ZIK8J}Dcn^MOt7cP&qy3v6^}l>1sf<$hqT`$yTW<x@bl=|kDl@+o%%OWiNZ
znwC$w6IkeeQ1)xtl-q&1?i*#dmeo(W6`1M1P`0#e%FV!3_t<_V>#R2#8y6qyW3qnr
zT4Vj<U44(NvR-SfUA(F9kkzYK8mkwtYRi)CDqZ30oGGPsIbcTHE|V*-0;aXCGMVx!
zV5RdyzEZ0xF9Vi3PvkSTn(`uGp>reOSIa5S1Lisx^0{WNIJ?lK{gez>@ru(6)p%1a
z>qDLfOln&slT`$+07WfDo&@weJ4(H}7|`czDYfciKu=p1s4nes)|Aq^7|`vk$=8~(
z!r56;YSo2+mNqGqDGL@d@lLf6S+G!`{g?5ThXHkMMl!1kR@gZU@*PcIVe9--QtNy`
zv-3kqFHpUBQ&Ouy<G{jyZJ(r9<!kJ#U-%7FVdZP=S@^DPlk}=Qja>_$wM~-N%G21f
z@LpSy^r~EqZ40lp1xah=YHV3}uFXh#RnCgn0V&SCysBm)Hv$rzYk8lVhFlMban9vk
zY8rAaAi_D8H`O%cs{dO1jsLd1RQI({{l$6Ne|lZi-;<a8r`84i)%>b{Wxij%(chI{
z>eVmJcdHlrtNBI!!hE~>Pk&Z^RzEji<L{QAlb`v|v`_q3^7HbN`8xHh{&-&UpJMO(
zPvoWg$@xn4O@Cf~RQIsA{dMxAy1TvUug;79z4p4lIzOtr+N=J`{HT6(zQEs-7wXRT
zqQ5#X_&3)HeF<JLU#Onxi|`}=`Z}vWC(rx0*^B<Gzw%uD(EJ~Nwmet2wSW3E^MksL
zJ?FoY=jzt>tp8YkT)yvLXaDu@k?)u9`B&LL{oC^W@?HNj`>TIlzF)rMUu1vuFU$AK
zxBc_%xBfly?eZ=EEc>N@TfSYs>7Qml^>4}F&Ns_9&OcJe^_%m}^7Zrg)V=!E`Fi=<
z`CIBv{o;JReD(Y_b@i)mIlN|zFyD~LJj-lCCi4ulf=uSs(i^rkdPxV|b$G=VU|z6`
z@iOm}yoM6y#nJ+I9bT|Gn19&Gc%HW;uc4TEirI#pjAwaM@)(Mlr%TV+!g!iDB+uaz
zn})f;&PEUE33nWxuql{-*um%_U9pAnIIqPmhsC@Gw;Ud`iRCsFGI!ORO~_#GmJYbX
zu!vXYR>MMGky{K4d3kO%EZ}9i<?x7&A(x?$x!$Z`2cx~T!5xQ(Y`=0E3YcrmHl#CG
znN3J%Zk9f<neia+otq7Fd0Fxp3YhDqKiqb>&-TM?!*<5Kyial&@|nw}H{5o(%l5*|
zU_0YZ-XpmTdCd9J1-Bh;v)wSO->{8wEANtAhFs=!X@lDiH`&%nE8ISCgYAf!z&6GW
zyfwK8vYBJ0AKW@{jctqBgsqHgcw=%8WHE<|XY6Ho&1x3waGBL8mf<q1UTnfwrfGTs
zFPT<}d+cR+#p<UIX(PoYd|_H7&as!_1*@I@gUZHp+y!wBmsrj87gRQ$;jT}Jd+>>A
zvR*=E<0)>BT?|iI)$|2kGEEZi*u(IIRZgGbCAcZa=q{d7!ssTRvFE@dZi6_7i>yMi
z2_Kod#2rc+oy84G8lA)yN*W!-1xgwn#69*fEZ|m%dvKnWPyfMlrZ#bnJr47^1>zdc
zvwn+lIM4biCgB59g`Pr1qqTVbhT_Hp+zfFK-ZRySzu3)ipY@yGg7U^a+z(<Oykja8
zU$L9vF6%Qrh4RK7+y`PGyk*K0&)CgyoAsLBg0jXf+zVnKykSZc*VujFD^rTN#O{V0
ztcUa%${N>mSHv!O%@iYkV^_m9)=hd1rH!k(BVre1Ex$H5qWspD$;;*%y=}={?p<%a
z_FYQmaxd$Z@22b$e&x5^dgi;3UBWN@7F&0|6WJyF!f(EH<-038g`fLPDZ6#Yvv~QL
zxd!FC?qpu_n`RyQP9;<Q)Lgalt}T<F_)WBSeD`IC@RGT5Z=dX#ym+qE+anpvkIfZ(
zdt}GtMRSGTZrL&UkzYrd)t1Q%=W@Mu$yn}a&3UU{^MYTeHRCPL!sYhXvhSXxs~?`r
zRsL$T@I$|vvRj)c&zt+{jmZw-d2?CHcWs_LcP>*o)18<3>ig&ZDtmN0Ghco0+)rhP
zZokY^-#zzL*`nK-dFngoJ}PUv{W4d5``lY)Nw+g|)wj;QROWR1Wsdshxu?o@y>r=i
z`G#MTb?aM}ZI`dt`^8z8zCE(_@-@FG>(sX`TQ6Vr3$qUOT~NxjM)-yaqp$D@6Gk85
z9VU%eIU{B{EahC`r%=kYLfB$%!%G$~bq7!@u)|NGglU2Bk2wv`S*+9#STcGE-<Z?z
zjKxU3!Lso*r_Zd0rz~3P3Ykn(glEigSi<Syr%=Q+LAYWL!xGMVn^_HyS!8?{Tx5~(
zT~Nr>t#-hI(N);Pr12=Hh9AR47Czqt7g)G_8!oW0`8r%+Ve(ZdWNJ`ruxPXwwwTi}
zpHsqbK><^(nu7(So$w7~MqA+%#*GI#AIxlc!1B)Lz&REsbq0&Z{hU917vwY52;Z39
zaF6AaT7!AxZq6IN3-XxiON3|4Zn(qpNR7d~aXaS@-vzl$Il>XM8*Z^&Qfn}4+{`({
zcR>!*b<PRC2{}wj!W^?1Zm{fAdtlnQj<diwA)6^m_{1!RYb@*35=<Lcv2U?!_{un2
zZox~&nQ{#;8K=u__{HGOzv2tSRrV!z3||?S^BdGUykz!}H~7VHk-f!^q5ccweEttL
z4$qk_<Q-lz&gQ>R<M52xK)&E-gD3xn8i%LMDz*)u7$@_0d|^1r?qbLAiLsx*;Y))%
ze?d*dVs?`%hQ;hAb_o}mCFB>pVC<CJ@PpwfyF%50h3pbl4hz`@stzn*=csa6z^-D)
zaDiDs{=;*|cK#2a84k0H*ey8E%uz3Y;5lQp+=Azfm2w6@7;N|jY7We0zftM%fSE!5
z!*_=L>|bmf-ZNJ7U#NDt$NWLA;5)-^_A9mx?-+~uCsaFtmi~YE#;~1zi*3VO#%%t8
zYKL3Q7vu`QF>Gd^V*B71!zT6~TZcD{iTn)J2d*>kk-PAfVI6ypt;1`^Nd5y=2d>sL
zuaOJb+Hj3^gI>aACKFwS%S;Bk4=yo<i6>+-P8D0QtKkak0=)+%jLWz+Vi{gCxrr<6
zYPi7Kp!cAdaUS=J7>4Ie8oCL^jI+2;#4tQ#(i1nxWSqvmBBo&pYk*!t5#vNLg`Ev2
zSRM2p6f#Z_`;fud$6c_c!Hqj%OT#f%ohXL-$4nx+3KyBg#24&faN)Mt!r;uUv4z2j
zTVe}?BR9vEh9j&DQ4Eim{^>j@V5}2M*wJu^^-CnfL#7`(3Fn!<=qQ|LViRvjXKdo$
zv6;b|n<Iwd0n<OR2iqI=v3}4^$Y-qLJ`v4ukLjIQ!uEz;tQT|>@)(P_JE9rxFx?Y-
zu&rTRJ?jSDgj~ifZjWe&TTJJ~61FvLVvP}Z*w(O-wL@1ShcSWsM^wXgrd?tOwl=I~
z&CpfIW{lw85!G;&X%*jvDu!#!IaUqJm{Y76mNCaz9eBm4BfH=dV+j9*Duye}bL0+u
zVOYwpV0+*NqYJ-46~hJQ8o2|X8RoJ-uwhuroFnJ(xt?Jr`vIE+PZ`xfeUqu|6G|9P
zG5g3VTw+w=7pP=7!E7VPaEVb)_P|GmKE4Yt7<%|FR5ZA<C)hMBVpg#_@Q6`_zu^T#
zJ72;JhE_g@7YxmO3NIKM`6g5_IIug|9GK56BX{6DBM*N-MS~r?f(^rb<~NoN^O&Dl
z9(cg`LB`=jJwrKP!gGd3b_SaR_Zk22T_|VR$NWat;XOko`vL0%cNyRC1(Y-FVm>45
z@Q$I7y}|mxZN@u%7s?p6F|Uzzc*~H<?qGf3CgT~tfU<_yj3@XE${02>x5zTQVTfn{
zVC8U~aR=XqQiiq6DY6W&8N%5&SUFr}h+;or)!@r~!KA^5x&DAjgEw=8Y{OE<5UGY&
z3_<J-Rt=XKXYeg3VOYW}A=~hR!HJ#0s^L6i1>b^VhB?d&Od8HIX7DK#Gt6M#A#-2}
zV+7xVB8Ew94welk8C|3ro-in}GgvkpXLOJ{u$a+;@4!U{Dc**Q3{vbHG8lT8156rR
zm?LBw9x({;KDfZZQ_s8L0s{wc!UYBvUWE$`LhK9~3?0lrj2jL!{*Y{V$iT&ZA)TRx
z`GIkRE%OEA1{-Dx8HRa`9DEPXF}&eXC}3z{{vq9PpWzqV0rQ5vj4yZ<@);_acStwf
zWq8HrVBWBk@dU2|s991W-Ef=X7TW={hOLY%colLPGMFu-8*VbxpJFSJWw^<3j7`C;
zVFP0W?}KcHIOZ2p4A&X9u`MudSi_jW`yh)UjCn;>bo{mQ%d20W{gPCrzjyWerQ0v9
z{<Uo1iuW(Ge@)xB<oyfnUv2vqynp`sXW70v`b*0{U#&5YKlA<5+%Hl4rmkNy{nOkU
z<-L>gpK$-Q+c)8!`})VPGZb0o)m!A;a?@YD{bTGGqkUcXT;4xg{X?mWe{b78C;f%x
z7qnmSeo@=k5O?JJ`RX6PDtYf8+J4^q$EV8gd#cRNJKZ}deqQ^>y~?+H8ucIi{{Cxk
zt^WPp-(T&m)W28#{np-6{kzuRPwg$#zw`UsuD!YXw|9SAwKr4$R`s`8dsE+kRjocA
z_NJb<`ry6w*I&P_+Ieqn`0KM(8}F^Y{%Y;6b0zaO&w0%A+<f=WvpI2_XI<`jW;}c6
znG`oBg~BsQe8w~Ozj>-xTzNX`oYA!PIZw5MD^CUW8BLl0=82kd<w-9;qe=ZaPn3i!
zPdM=zO{jnKSnhMhajSENefc?$r7l+-GwL(!iGTA*Y;%3ZQ7u2iF8`cILX&5_6704(
zqA>5*VYzn(?dEG9@+AK_B(~0=RbA&Hhx3nve0c`V;%gqT*f;U(lwJw2&wapT?fZa{
z+u{I&SWv2apR~<{Hvvvh+@~s<Pk4~<Vawv*p$ZLCv=V18Vq#%)P*|ACEo~BgDaWx{
zk(bRdDKEjI@eoTr7f;rnlAle_dS@D08uZ+l^o(nocGkKV2O844XPKr>NGNcA);&Yt
zFG*uU*P2tabv7uwA1oF*xcH7`*WAS{a;zUT>fJwDd)*KG(YL8wWbfi9vaIhl%H20w
zd)*Cu(PvaHvUBkfS=M(N`R;|*Ubh2p^ld5=*}8a%EbCj1baz8*ulk#T>)aKsPu&PS
z(kE0VvSD$J?9ta6vF;D8PF)M!(l@D8WX<9j*`u#CLY*^Zxn2jD>82bAZVXT?y|+qR
zrEWonl-II_2~ww)E?mQR^iqHpuWPBsN@qveQ!fL2+Jme_E-mcgb1l(W=*%d4>Un@o
z`z1?}a|;8cPCW}SX`f^%a%N$D3}5P{0G;+AOA$}!g)*m}1Ss*k7HLd$Zj?FoI6#5-
z>BRt<_D2~Sy={jwG<uyQO+=0@)R6L8v`~l7wNRs@ttdmItt}`+qovI#L!+rpC_|&u
znbAb#$ihF8ryd6U;W=8M(dzutSme;c50a<mEqo#AHE$sgAM5!5miD4_jk30SAq$ZM
z3;*yQ&DW@PekgtFUci^ON#-JZ7T)1Knx|3fyioeooq#88Lgpen7VhCanyZoPoG5+j
zR=}0ENoFEj7S7>4nxm1*y>gOD=R{V229<>|?M`M>HZJVoRn5^zaQ-RPbv<BL+ac2_
zYZqqls%C3MIPa9|x*D*m?$R&eYx8rgPS!hhta->QUb*O{`ph(+$5OX{9J4sLv9J8h
zW4Yfyjyv#unlL}%*U6>x*Zezj$zSWQ%UAW4_Ktr~zV!F05Bep1X@1W?moMrI?HT``
zeC}^kf9a?2x%mOVPCoNDsh{*y_{{tmy%`62%M2QrbsjJZ-^f%96|iB<a4vlCQr0<7
z;o(7-SM{8XAu6Xlj=J<T@F>pwB7Ay&$Um1${u=d0KPP+GPyFNg#9yKQ(+^<}`^qoE
z$LCx8@?1RM;FsrPf3d$UAJx0+CcRMawh#QnxoE!3ug-<@MSgKEoX_*CbHRL;U!ITr
z8UC_-RIhhSs(8VEW4U>FxjV~AAyw}>q0dh~sMpmc{g`~He*TxAoDcnf{7E_Q|K*R$
zd4IP0rswKS_B%fdTibK~;e6o#ukO+J$@}Ji_?z-xy~_UNZ_a!E@9L7iPu?~E!rzp4
z>P7aQzd7&t->ZA{ZSuBOfr_Bywrwj-w@khga^<q&MFFM5&MuBEEbM<7g8yiIVs>~U
zylwuPzb<dpGwmIJpS<Z`f2J<z+vL~&C+dv832&U=@|Wd}dc6I|U!K?fchqhAD!g`n
z%3qe(>f!bqe|cW@iz+{~YqGEP#VwP4tPgIP>}?%+w{z*-kXxOv{DR7xc1^xKcgDLV
zCF)D8CGU2=@N+6>+BNz7+=_Qgiq+>>FWfTu?A(lZD#hwEtasiyxnyp{yCp^H^^?k+
zc1}Jy*X35{6F;SLrk#_I&vm$Ua`9Y?cSkPzNxf~k=qFXaDMP)-I&jNm7wgD7osawk
z-afhD$Mbf{1wW3rDHr@$-l|;i6DnuQQ17t*xq0&8xj$}pKJ?=%zm%@tV*POQWLxWt
zn<v{?OWxs}H<#nxlXHG=-l!C)H(39?-Fe@y{#V(d?UVP;eeqT$U%kS5=k3nBey_@$
zwol$U_rzP3ck-@v8y;zVay}@`tnO9iVC%Us;lq;$E>l%KYBU@_Okwbxa`Wn?r9MlS
zubeSu>SQTPJvOZp4#SNX_~mU|X3m~7Z-L)~vQOKDx6j?8yX4em;RZkT9P7y2owxih
zl{Iafym{`7`nOAR)UVH-@HQn!J;|E$Hs=k$ePxffPF^>+;B88_dX)9aTb$SY)|Dk~
zoxE!HmU}H<)n?}{dZ{)uuj!@Q^t??~!rta9ON6h^UUHA+tJ-pN!@Zs_eLeDxs)R4j
zZn?+uMQy(M$333UeJ%2xUaHMDzqrTqnXf^9QRQS$^No8vpZcoQ-);G%Hrc$hMEK-v
z7f{!v-@LJ8vb%ZVp3cRyO?GiEo^5h3<)W`d{-PIZoq3xogpbZv*mZK@Y>8c-3ug=L
zI=Nsr$1cwWvsLb~T<{gh|MXm~-TY&*@Zs4a_m-UZ<;XwuT&+59(Q~!RJfjL>8*{-u
zC+E(-vD5Q`FGK#Pa^d~6ztrDtd9PM&esQ<wJ+C%~1uf1VeN6uTz8~_6%7u5&zH+za
zom#Q^#M|wS>O8)W@|emeZ=b#6?vl4^Ip&eOJ8$`3%4;f{ym|JFyG!1vU7tPSZps_A
zBy&zs-)djpqteOiW*6K|d94;@esUM*HQ#l4Nu`rl&D?UXrBrQp+M-OgnQ2Y+nQGJ1
zHdzUK8?Q7GzB+TsIhInj<;I3{Jzx5Gq#IcYU!2);j-^CxzVXL7p3i+O(w#EZW*c9e
z<N3_TAic<PvZwLJIi62_RL-^(sZBQSG!Z^I)8!mXky^iTqse4<<H9+ei)Wh5;#@q_
z<Xp-{ABpru8ETzrn=FKn&QzFna^XygS@oU^X9~<ZxnL&8EYAfqRnD<o@DWJ=l&;op
z{LxtW@Jx|&OV0anq#sIGt4>>#u2z|5WFc&0EI8-n+?h9KdOq-BNdIImynp7Gvn}~*
z)y5ZRd*1W;kXB?aynE)Avn_dQ#l{n7d*1PRkoL(;c>ByPXIpaBvW)|0d*1T7kXB?S
zym{u7`m;~0gg4FXu}oAlo4jH2m9s24YVpP&XL(-t*^#!%RCw*ol(Q_^YT?EktvK&{
z{_^`|K3U5~U9!gWwReR7rk5&f)H7>OE}NRMHYHm%M*C$|=d!64b5%a6Oq!v7(x&s7
zr`hzBUmY*J4Qg3JJ}P(1dmi~A=q_K_QZmU)f9IA-J9pJjy|MO5o@$Nu%jiz|2VPA6
zMWvHodv5bPR4TM#a!c>rmNzO3)dg)&zVP($4NsbpuG*^obF<KqsSHZdop-#$;*(yg
zPK{giYtohJ3-&$vqPk39vzGIvw_Ci@uSplCH|%@zS#_TN%Now--Wq#TKC8~sKUu^1
z%v&$s=%wm3{gpMHOX{Zw>`VEiIx$Y^=cE(U9riu>s5&9;(+kx;{lYJk-1HN_Ogc7Q
zr;78jx5!?Vi{4`Ki+%{X=v#gfa@N=UBIKkm`9;W4pYzM4BhwkGI3Icc+w<guYF%8?
zk4cB7f2rhr=>20)%6acEdsNPQv&A<(S8dYY`B}(XpR<PZf%m_-N8cyytDpX1Z_0bs
zD*cnyocFxn#U*{8v}^i>y(#Zhi}X9IIq!Jii+l8K(zfXv_NKg5&C>U*=Dg*7E-vZY
zq)pRf;+?)t+Bm&qugV+M1pS{?o!7m0#U1)OY3=lky(+I&BlLGxbzb#e6?SQt(6y;K
zQJu@CrbKZrn;H{!@|Bm)+9j8~Lc%BQsu#L4b<VmYC8|rc6=P4n@Nx+k+9h;hYR$SM
z#R^?sR#(}CJUE{_u-v>eB_QhLGcS|yNjrtkOpRHWa>+|4JZPtor}n~_lTW;q*18m_
zPSkFcN$L1_TvfGSyU}FAuBkRbk9G*Tdr7TrnJBz`{jsS!L5D0Rcqo)l(eRp>q1x>w
zGF73TiK)}+<ie>EK|vmzihG5<*w(sS@M2o4QmEPx*0f`iy|!gc=lrP>>y{L#)`mIl
z5VF(0xmn0o`{d?H2d6%W?0n$$Zq1Q%UQFRkJ0|U)`eW^qeAOE5o6(*3ygr3BZJ)Gz
z>W#Ha@>EN-XGVA4@p=@-w0+X{sXNv#$yLqKj*RZS<yC(vtZCb%%~NNrU6P}Eed>g@
zDLJZ1+MLmxH@x<RJ=!{H-P8h5(vH$T8O3?cYh75<)=8@-Z<*KfRb{r{qL(T&{hD5?
zO!wPVCFHHXvP9_W<R$Z1zN#!&H?;M9>FMEbR3&tAa?3oHFDmoZKiYUc_q6bLdZ{v7
z{i2QMGfxBmqRL72p6VNIJfC{1%x(FkGFiQ|MCjyXmw7CoRQlB$OD4Ii7us|#o@`>p
zxp=b4yp)Tc68?)`sC4>mst`IlS;6Y$!pRa=o(m@nSe;xjnZwF+!DN+rEEhZl{69Tc
zX;=SPEOdCX$h;-zJvsajJy)srTl8F|($A<u$VOez=H%STH!M9Lc-Axce<~N+Kl#ht
zmiH>v>KCm&?|FXkD=HV-J^9Mq7Eo<H(c1Hl=L5e_WkTC0Z<*WjRwY|K(Ax8s=LNr_
zGNH|rr_6m)CA4XB&s>)`Dv9ch)+euf?(w@+Dzt8L&Rmz*Dv|03txjI`T;msHI_cWP
z4Sgw>JxqF4E_)dCKDp!(=AKlasWR1Vk=3Lt6BqP7DN$LbtSQU+(!<SN$!gMti4A>E
zidE(*zm(y8?xE3}Qmisd`J@czGY>s?qfC`)$}43$mrM-kODR&B=%!>j>BK~bz9)q$
z6Wl&!sPripnoM$2PBfWxY@&`7=VK3%UX_a;V(yD9gj|#@O@y44HBE$^lqF5-g&dVR
zO(q?g$RNe}$m3tnlLD1Gw<L>6hbDfJ<b3GyqbKFO$Cn<J^B!#OP3bC4$~%pPtd%)s
zI3IZYb9-byY2U;Ty(#%BRmvx&Iq!MAb4xOxv}@vp-jqC*BIQnL&O09W+#Z=t+BR`R
zZ%VF8ma?Zb=Pi$OZb@d7HcgCicQTu_v3_DluS$+eg7QzP&g&k#+zy#eT01eLS0!5|
zLV2fD=T(nYc9(t$UhB{K)v>HU<rl}Y{+M4UUb*YkF1h3$Vn6AZ;FbP4bw|D^FO^sP
zd*X$=i@ne<!3+I0bw@rc&y|1phhu4fPMynV<(cva|D1U0u2y^Glk!ygiC+Xy_50MR
zTyj^bw-@>;c%t8?j^&cOT<wvM%6)d1UMTn2UHUQ6RX*`g$D)3fUnd^9i`X~4P;R$N
zdZFBE=k!9k*-q(&a--d(AA%0@j(<+f@0Y1Na^9WCKIq3pJ9))F9P|6%{Op+5|K#V1
z2kswgTs|n5+a*0$Zj@*IbK<`HAG=H61^4y8sdag;Tv;!F@b`(k?r-dZz6<W^KU3@S
zPPtIN@%M?_?sx1ieG}Z)zoypZt#YQk<L?tU-OtzseVh2&{e+#-H^GhlEwwCfl;h<;
z{_?o)zQb<QSHZRYDYY!GmBZyX{_?o$7G-{D*F<0Gi(4l8NFUrX(OWw5ZpYHzkXs$E
z+=9%Tc1^t8J0ovNNxkwCY00}CFWj8WnRZP)-&>Klq*!^5^ujF@&-P~IsT3>EkluOc
z#FE~Kyd_1-lgylUPCVJ`a;xKso02)x&WXo+9d4ai+-s3{<f5BYZp%eCDf3Mk%01G7
zTPC_lN8agp<R*~&<boSd?ve{`9JwhM+*oo|F1QJqGi4}uNdMeC@o;bbkDDD2-MGvz
zr7O2cKioXgR{G-Ri8j)bcR1$ta^yWZ=k_K?r9ins`seMA`)<F?4sD;fxA#S^O1^T1
z^v>HIcimo@Ic=Y~v-d=<N}h6obmi@i+itha4sDybwRc6XO0IH-wB_xNn{KDfitcjU
zbUS9I1WJkxxlgi{<D_5S;<)Zszs+pX)`@F+6LOzqDThg~+~x7wH9~*WOQl(QlU^##
z&?|bWv|4;)$wV*lz`Y)?Tm$rrsst~0Pl;>!qO@3CaIeP;R|ox1m4fHHOX6BSD^1bc
zR4I72J0*_gv(j|&nI(d!yF=n!F1c#x8&yv95TCfm<B6++{-+8-5An(p!Q<T)yXrj_
zcN^^Tc<d?`+wxJVOK;K(rEc-SJsgX=Wp;He>=xO@v9OzGSI2^GmR%l?Tp40nJ}TAg
z6;%k@iyQ9oc<A~orsacDjozl`N>zH3o+~wrA1oF;*nMYb$J}m~IF=7e_2M6Qd)#;Z
zp|`1AaBugMSeExn<>DK6d)#$>p=VSsxU;+dNG!`crF`+i-5$4HZ|H3*6WrRpB$nl^
zQo6X|ZjYO;>%<jzpSa<AL{F$pa6@-Z?2*?>vEmPRow(+@MQ>86;F|83*dwo$LWMKu
za=dmi^L4rGV&uzm*+tJcrBrE}T2Q9aDq+vL9Issb)RU|xUh16Smr|m%NSJdj#|sxb
z^+%Qy>(6x-__bVeF;ib;Iq^(qg5Q%OrO9eZmJ?5Pdd%W@>Y}DDl&LgHxN{E26Bjvk
zrc9-NwMQ0$?!uWSf^Nc@b51PkH1Kn|=py8sQmE7=>}WF4S=i8IqLZ+q$wWtCL6eCN
z!k%+D7IZ53Jvr~fr~W8isZCgOj>r5?0l${>F5i4y>d(7;@<}OBs!&t1m}o7$(Rkv4
zP6odx`AT)dFK2VycloBa$b90S&Ii6v@|4PiSI*|R>+(!Z$$a9D&I7(ra+UIgGiP($
zcDbgu$ZX=4&IP_ta+K19HD{kFRZ0<-oZWH5<&YYa*~Imo6~0Tdm12Z%&g!`4vPrGU
zbmHpH2;U{I6xY`CC)S==)}B%2v8+9z>crCaHFifXIcwRvd{tb@?^t`{rL&KG&@X{Y
z?LBrbUlbSeGuEDX?rbA}>8HTC_JFDr&zw!<C;b#S(;j1&a>-doKIo@_C;!5l6HlC#
zY+XJnPULT_Iq}$8!S=~TXBqiNFBE&_4!uz9<&XR#aI9UU%41P`y^fvBN5u}gq8Ey7
zazQT?TjY#hC^pFny-@7rXZ#{?r2S9jiHFXAY>s?TY~_FXS>RCnhsqQ4+Fw+9%xmYd
zV>$24B46}eu}n_rhrogMKek8SE7tNqtUhti`HS47?*e<;@7NxBr&!9ru=>Ou=O=PP
z-vxHG@3B4dRxy`9vHHX<=PUJclfDUTX`f?z<c(q~|4zG>H;T#pg4G^3oDax-`YN!#
zy~MWVwPG~?#VU_$&Ku;4z6z{v57Avxs<?*x<`w~8?vq;te7JXRnQ*l=BFbZF>k2)U
zQpFYAma!c#oxH@Ic1^h0+M%aXqPT$jXH3U)CoAzoI|aPBZ^m>yb21Wd+BxBLeXCDY
z$5SUQaivVfDcmz-JeIV2=&2MbPT;PL;aJjY6V>t9Nk(_cMJEZ}C54LJVuyAJxN>`L
znQ*jKLyzU66QAyp3r<|REf<{FbX_huG3lxlDmI8U?U-QCZ5h)szg0qSNr7Umn9~jc
zJMNpC1#G!bZk}+k^+9CE1E+U7N6tAh)r&Ljn6SU~hwhSm#TxFL(H-}kK8ZDLpRl|2
zhVGI)#S-qB(H(c39*Hq+pRm1khwhSG#T@R)=#E=Xm&BU3P1xKzLw8Az;`P=Ex+yt|
zN!*;#95<Zyi9OmnVO?v1Zc4Ud6!*y}j%!Zq#FDm7Sk=5muH~!3Y`#S=6=w1^y;PXa
zx2dXLz?*$#iNMw7C2}lZ6_&Fb+IqZn^x!wD61dphBFFMYVLtmu8;|FX7W_^x6=t(v
zwDEZ6Xuw}oIl+^Cqm9Q?M-|zYPYRRSJ4*ykHoM5Nd{XFVZ!DSM&R%HKvAEg9iequJ
ziCoG>M+yE#FBCfYHdP25ZC0>4v9MXf%41=(fYpim1<f2*9t)aP<XA2^3h;k=uF%f@
zu~^`6vxwZ1^Nt++hn_1`^DTO=P|0UhAz;HUXmet2^9@Un2aXK<pUMUHH-C|Bd9P5-
ze$m?Fp5q6;qH=-V%~xbw-YFEbPqg;9<M@E@Q<=c_<}I=<ZxyoH1Fb!7IbPr^Dihe;
zJVo|NmB6Ov`W{)AHwuaDjMgWvJMQ7TR4TBpIY-vzwL&EOL8}v29oO&$nNGOYxPdR_
zvV#e)%4G)w-Y1tF!q}5C6{fN+vYK$EaRJ|x5`|^Vnz9@(9o*QJtR`G&Y~XuRtT2!H
zr3}Y&2MykoVue}ECuKOEIq0z)WhzW#UMbVDq%nXmrAT2STfLIygcFSpd`}7$Ca`_V
zQ0QYWG@0PWoM<xPSfh><$72T(UX_auV(g171YDRcO$3~oHBAJZm?cdF9GN*yCLC#G
zkm7jc@Q>$7fkGWylEs8Wjb9`=9y<KsNjdNEg-7MQ0~>o&x<V84PGbRUW=<K72M+((
z9+^+r*Z6@qC10V6x&EXy$32I4Y)R%5b~Rq$P03RzV(ygYxZ`k-?UC7pZH*gvQ*sru
zm_4OAZaJJ|OER0VsWFD#$!x;L#tvSU9EAktpHdyy9d@xDGM%utF@sknTOopZr&PyP
zhgJVB{^Gw@pYyAIS$)bc_GR@kzmC7M*ZI5nl6}bkiNE--)X({M_=|k~(*Fv7kH4^Y
z`7ij2<#5!*tDoN~&N=Mz;meOd3~xF3{xSSL{@mW?|HYsD=jsD~9e-wT@_*t_{xkJ4
z|B^4+>--P=$?y4p!Jp$#?3MmHf0Cd0zv0jE$My<;A78YW`Ty{ReDA-5FXVgwM||Nw
zR<H5PeNny6Kj)9~9sden$hZ9qd?DXb|IhG+eA7R{7xJC|8NTozssHoy_(S_Ye-3|;
zZ~gz`GykFb4?mC3tAFv+eO^7!Kj!oHEdL9i%a{EV{K0>q{?FgT@8xU%Klpw8p8c19
z6TkECslW60@H_d^{|kN}zhnR8pWt`?9rb(u9)2sI`#<6L@muy+{!RSGzomZ8-@|X@
zQ~&Sy*IfTbKKZ}EZ}%Ja2mXEh%D=w8<Ztt9`RM-_ez{+>-|(;SEC1^HkhhCV<=6ba
zv4!9F_lYh1KEHQt>AzYVam#&a?TUBGrSdC&Tik7bY3KFbaaaGv+KzY1CGrb?|G3ls
z+|KIz!JYhGzi-@We`aU&y>Vy%=~|y#?N9BrzAI+RPsxfio?U<Y%`@}EmgkD*8P64e
zeO9S>=GmGwljW8NCo_23Jo#p5$-k%4;2d+FT=wS;oBKU}Pq^crvY_1OTymlOgwGjw
zjz6}MdCPpsPVVjDLixUL7c=C0zFpkWccxb77W-p6k+sStvWuGEpZLsY+j5WbTc3e^
z=e@?|-~09!&za}^Rc7kB#QIG6?$;i%?W>lSu36VyD&O^fMb+`E)*F=8CKt(0c|BnZ
z-?qvhXCLRwHGJl{!@j6;&)dfrY#x0}%#d&W=9nQj>9>SQ|H;aLbICb!*UKCBDSwff
zer@7bzBRQRQSDdln0|W19G_n*^N#s~oxt~x>9QHGD{dcuXvMU4@dYcsw};Q$)$@E0
z+|h6MTj37-{Mt7++vn9jxq1A7-G?{M1@h(J64T`ye>2=Ue&6oTw~O2P_tn06>zprN
z`TM}_<9F@ed<)#pzpM7lTjxCa!ru+IkKeYt^X=j`{%y5u-a6;XXa07$ef*}~nQwvH
z`d{0f_-43`e`9USTjm`3_}?FHxnH;2@onQ){`$4GDQ}sx<->n(xaEG;HtPMss(#<^
z7fSklz8@&*_x>KSw|!}K$gcKRwn6V3tNJfj&$zevi`<g$5_{WU*gCyutm;2sU2$*m
zXSq4w7nJm$t<Jco{8?_s_Z@qVFR6~WxA>FXq<4;${U@tkcC|mTReH}@*?+v+Vb}4+
z)fV>-U$m9FTi<-qR_gu67jiw{14{Z`zDMk7e`G6g_wfZ=p1X@L*mB%WzF^C8SNVdi
z(0j%gavk4)6!#yl{;{+Dp)J?@i_hg+zCS4LxBY&hxZmcx#2)r})g1R8pR;{)NBM(X
z!}lM%+wa@{dUvqAe{c1RyUOq7D!%X7-G0~h)jP-X{+-n)?kc~Nt1tLovAg}Y?X7nQ
z%lfxguehuHRxaba#qRc-wx`||?q$Ded#tMCuJRkXgzrCgwO=>g@$JitUF_lgYcmDz
zIj?pP<6l!9bNBEoxlo&^=Lb(EU$!wht9;qU;OdH5j-H1_N{^|^O@Fr0ir@S53KRaT
zl}pYsm&z^wY%tgTrH#jP!&A;B^>Pbs94c$h9WGXKZR64W^d*F0L$TZ(8x7yXeaF+d
z<Ys+7@uczOW1*vp{hpsUJPADMe2G(T%I6t#+?P~(oKr56oA9|}4*QZyn_2CTZDh_a
zzGx$Hc5$Ix_p^f*{H~upO!|*jYMf)fXv25*@C6&Lv&|Q5*v>j%uwgo@TqxJ@tkI&r
z-~O}3oc8&Z66Y2d$kje`wBWb<e8ZUE_VWqj{)3edX0|`Dd3WaUIUA<uj28X-D}S6_
zoG(}N`Nr(_dp4h*HJbPDuDo$}ah_bs=NYrx@7O$g#%SKZy>iFd#kq1hpCe|s-?F*%
ztkJB0bLEV)i*w|zS57#aoFkX?nPWEl4V!(>9-7woud6ILo185d_4&js_G>olo+X<0
zuPWcNulcL&?0XAe%Fevk_)>QIy^X*4yx*_*!gsZN$v)<<vdiBa)Vja4_PB5Oi|=B2
z%Rc5Wvh&}6sBwR8ZE@f6rR?nY7i!#}SsUCh{MqOEenXA>Q)`vI&7Wi^zwh|Mce31N
zAM+>K{`U=E`rPZ^7u2*bE;p%SUtDgoFZrUi#QlXYWIOL|{K0p$T%qdt!g7f!_l4yG
zRmT^Ub5yx6C|B9Xe8F1a{>SIC?e9N)<~v+2vTyNuYmWN|pUYO?TlieI@}A)jKAZOf
zHOJ?c->7tdV9jv<<9ELO<zMzTzn86kf1%p_p7n=&h2QyhmtWc2{H|WM`2B=x_dC`P
z?tT2mx4nGJ-sZQm+3y3Y-EUc6xL5d%Z*%#Sy^nwKZ7T2C>-<JG@jXNJ@$1%m?p^%K
zx2`;Auk&l!$oB_8CDxjIfm{2om2Oy<eA&umt@33ngSC$@S%qCs%#@vaZQ-uIE2Rt8
zJuZ=5_F5yB{iT)Lb;VtM7fKt})juwlo%i}h4Eu8{jkU?eva?>Fh+%(brFY#hQ+C?x
z6*28gN(0s<7s*b%rns~3M5)8N$Az*Ju6@jq?R#CYrO)kk!j`^cr8-gUkF7-3Dqpk`
zyS{J-pUZ2DEqu<eHMa0My_VR*=lGgqOW%=FhA8$&R{z#KE|9IembjzuQ0bRQ_J>yW
zKh`9lxB9Y1`Med|^~QABrq??*^I5;<h+%(V_3zrl?S1=7Kdep8m#unzBAWf4)w^qn
z+xvEvURax)CtLKoBbxn=)xB#ExAko+-LN(}S2pXlM>P8_t8>>9xAko*jk)f)t#4y#
z$6Dna*@V|WqS~)p?YeewYv0<^jJ3+yvJtO$M77snwOV!VVin)D;vB2?WyL90?8}N{
ztd763)S0{Zl4Z#GiB)`8is#Hb{6%Kza|PSuFDzZo3s&)6D6W}z__NI1=MQYymlo&D
zbN(zd^Z5ar<4-Ns<{theGxhm|624Q#KJ%0>S*n~DtmHdUY%`DflBL|-!yje(&Ru*V
z({t`(MW5^Q1e^NyMa3#s#~)dWoNs&~(|#`Tg-q)?#}_iq=M-PaG@hGS!RPSY!RGk<
zVwrh|&s*}G53K03d#+%^KEL>lW&6D1Czi(_Sbmt}{6VJtT;g+?#^($+$M0MIId`#~
zZ(s47xz6upDxV*)K7QBo&AGsGzFoy<<~qNVDSX~wef+lNopTq<`0BS6ubJ!oRwnbg
zgZ1&7mS@fdmi4{1JaNvjjBjIc%UtF+GV#wpSh-)f+;MJWDc{=Sl)22WWx}6tuyVg@
z5q12aRiE$U3nqO&j}Msic|VSjZC_d#BGvxNBItOdRo~^p8GVaOWR^UZkZpfq;dGqQ
zs_%SZMc?9LnK_RanDm`3%;;0DFP53{c!$jKC4~`vi;H9?9doqoJ6Y%=)&9gn={Tch
z-|<2RspE?aE&2{$w2<m;zGxwJd}D@8&*K1-K9|Q4GVPBn1bQD|u;A%ke8GaFH~E4E
zORw?;3!&qT88RJ@e;D^2F8m?c{?LN!_{DUYmd6i_`)nUyFz&N?EFr@_uaKkfas4@q
zH$BP)G7XP^NVng&_;u`{dEef`7rn~)G8K<^NVng$cy-Ltyl-dWiC*PAnS#d^((Sh`
zZXG*l*0;5AMXz$MOvYmi>Gqozr;ZiMvfs2gc1+Q%Z$n{2@8fKlxW_M~*soh`JGRiY
zZ%tuB@8c|)u*WNYxxF@z*uU|m^sIdoUrNuY-&gojdiDJcUwXan2mEz=Wgf7<@E7mp
z{3&(KU!)h`7x?S;!rWp1$Dh3C^GoWQKTA*9xA7<M+5D6`=Fig8@6Y(cdpbX)&iRtL
z#(u+}y&m@`{Be6?uCV{(4_=S^6<>Id=Ue=8Tbyt3%k8nbSZ(u1>8^bfUr2Y~5BS5j
zC|~AR+rs>MkzZ^J^Lc)?Ey!p2<@U&&p_cihbp5`<AH4SW4gR=2H2+o8{6V^A-^S<C
zRr@ABmu|j);4|;R{5wC}=H|21F@KP*zyIO4+kNvN`!;^(-JAcUmifJO`TY&Q-R_#d
z*k|~icW3^QTIP4s`S%NcyWKXwv2Wuy-mUpdYMI|kr{6dD?RK-?eBFJ8-^Xs4AK54P
zjdw$SP3_^=(y{j+{5p2ce9OLxUwPN$$J8EvB^`P@<1X84GqYUh%VtKo%$Lpda+6D?
zr)>+&lwNh)<1X7PGr#SLyLvC>PRL6xkzRD01GL`SZu`TXz2|ZZ@|rK1nQdRVv-eDH
zLf+#d>B-v?clMsj^|;0MwBAf@yI`jDq}v^L*q)flZD-7s?%(!s2e13>j4ixww=?b>
zTa;^%=X}vjC^xxKy6d*XmR{%E23vZaZYyl*b-XRGrPtxM#~ro>xe9rY&ztdWf0!=a
zc3b0)+x%RCyyo*}-*TMKn|;bjE|9L+rnsZm`u2v+y$5m`@*d|)*WG?`o9%wR*|%*A
zxA*SJeUSS&PrB^(irZ{=&7N&j+}^t*_dxFBT<N^q8MoPPn_b(sa9i(|+y%LhbEMO5
zYur9oDxGp$;&$5&vqRe$xAm^it;k)REgf_F#;vw%W}CJ(ZtY#28<D&CmDJkn344z%
z%g)&4wk$hg*RiG9YvK-HGS!N8{wlTdy2IZ3V=qm8)(2McUdrx?bN(W=@H)fZW6w=(
z)?cjTJ(nG@>)10>ll2oTdCz3W#3f%c)ma}{$?JK2!JcDJOqF7tKS@oz-mvG`V^f9L
z#}`dy)<1k9)w}NC3#s1g5hc9GvNd+OEy~u3bN(pRv99oiRNK117g8<j3|~k!trL79
z)p?zvgtz`k_Me@{9-97%Is8GY_4<oq-b2|Rb{?CT{bHxvylkF0=JTd3>kFStm8}!3
z;60H2C-(4rsoLugb|1TE`eoh3a^5}JcVZ8}lPbNwVE3^*rcc%hmh<k&-V=NHtyJ#y
zgx$w(nO<2pv5a?1_MF(mZ=_PM?}%%DBb9tzV7J>1(*x^1mh#rG&n}5=ek~P!{lYG{
zYo;646_)a@&JOWiTq?EZ@(mMS-^(XVczrJKFzLOT88ORkY32$)<x;5?mo4VDy)^M!
z?r7C}F|)%@xkPHg<sWm}o|{-LKWNG8b@|4ewr3_r%Ns3wPiOkfYI|y;wOlb%YRcsq
zbKI6>diW_9Nlmz1F^6qQX1&d<w#OzizKbuKNcb);l<Hn~(1O<$G)Z$bQ^Sw>q6wex
z;R_~Qpi}?Ze4Q_tF!?GMN;NENwCJ_JY%!;8ex`)q;sUAKWsVlSc9(A$^V(iMVcdH#
z^TEuv2PW@)4xck&TFz+EyFc@X@8W!^n#(t4x7{=Ow5-v*cX#Fu-^F=S^(B{Q%x=45
z@@N^OdGGej9lncmrE)Gu%x=47a%owkS?}h|8NQ2iq^@U9@J-H<O1jK3o9%|lzGV+h
zd)H+a_$FsdMO{8Ii|v}px@C!`y{po<*foEZoIP*hOUaq@8ed9IpSSTBkN5c%UwE#j
zFR^3(D!Kf;L9N?MV~_cUzj!XDx7ab)f03Mj{zHx1b7PD7jxQx=pTAJ!_RQE|e&Np^
z&+{8<+@2b%*fxKXoIHDlQVP4El6lRO6-h3h{41ApW*RHmItzJ<DtngjR2<r1uKY=I
z!ug6Cwk7E{Rc()rWo#E;G?uVk{86%d-oYO{uAph8qv;xU%omOMY!6>B=CW<RV9aJ)
z?|i|S$yWKJWW&71A3gTxEo$24r%Tu^{vcU9&+!M3-T51zd2G+0_}p_a{Xu2h1LJo#
zhtC-^&1d}4vp@ZZ?c(>6HRo?sx7{=TG_Ub{&+haawu|3MmYkna-FC<L(LBcQJ=@cF
z*e-r6nR7m(y6u+nrFo6tdN!xeuwDE{@_PCN+vGR(l1b+|s@ZNB@0<7VYtOp$0^8)*
zl2PYRRIyz%UN<lCYtO3GEqcwRlC!5R%#@rttua$_`m~L^c)U-q*urx)b%`ExspRt0
z2C;50jXb6s?&7(a+M>r?B02x`hZwi#Mi$c@GbLxAz7XT~%*bGR;m#h<(;H&ko*Jp>
zHWx`wKHaf}=VX1Viym{4WdG@gEj{k13u4+9r<z2uElxGjOTK6%F@0f%WaqSvJ9v(!
zDnuPym?{zFwlGy7>ezx*jwrVUsVaKR7mNg^e@vHbKmB1d&*4-Ny~XE^IHn&=m#m()
zFkP~8n&A!}o6`a@$L6Nqh;(~k#4!EicAovIUv!)EC96+gh<3YIZ}eeW;dY+gsaJHH
z^CXK;Pl$HAWAtF!$89{@Q@7|g=SpUu4v2QUWprU$;WnPlsZ(?x@8a2%+N0~7Bbj)b
zA^O;Lqdn6uZsl2*nxpHSEg5<GK-96TMr)=8miAmr-XNEJ+0aB*`Ldyb?Bh#@Vf~3O
zC8qW*tm?Uvyg=^p7l~!ZHEiqIUK+afD^~SfNN$jO{8?h&@fS91&kZ$XlRrz$I)1{2
z?U|uozu`-XX~$RCv@J;vkW2m~F|kjvvgbsygWThf5)=A9zL4lUUQp8Gc08e^=UB3i
z729J&5n1JnhGP8-D|lRvTa@rPAJ-`1aXKzh!sB?Hqon6ZGJ_S{Bg20(k3UG%*Yzb<
z^c+h5V#)T<@P|zDdBZO<%I6K)`Wv51G#%ej%wv6=!-nmF;lI9z<vsh7KgcG(m#8{^
z!kX=#;k&-X@}6DE7i5#)NfaILux7hsc(3naS<klQ4YJ8^C9;ltShL+SJlB_4*0U)&
zrr)uwXJc}QtnwR)gyTP~+O8Y!>N{B4Q@=JjLst2<M8xqOR&7@eSG8TV;<=WXBh|Jn
zF-3}PSz?UTu~!B<yo)axgtSkz;<=JIhwpHS#L~kGvd3N+xU>se@mxr(;X7O`G57ET
z8MdW~IegB=5;G4UkU93$K#lisk;K%)6HItcCHn9wUouc>7qsL#k!Zume91tL_i&*^
zUt9gf42hn$ixxeuhZAJl7A2}k9eZRT(%zUM(cYGrA<^3Am?6>JrkEko*f!CE$KkMp
z%(3~2GJJ>68}PITTJ+c*R*+$vpZG?yZC>IN$zu-;KJYjfNR+oFrb{#)W{^2{-{4Q%
zMRT5giEntF^Cc<|ACNwF*WgWCpgGU3#526kc@p)7ha04i-8Q(>cF~MyTjCmC=Uj=*
z!w%BNZW^3v3pDF_ZE&K^(2QqeVhb;Gjzs+74^nQ|4R*9`H04>Fn8M4PEfIcrgOuA<
z{iynbzq)<*U-;7Pv;V-CZtwjOe_NNvhx}@Nr5{w^_^bPJ{EUB#zKAc`FY&kag}zfg
z<FD@X@fH6T)qfVBvwy*t?z8b3|CBz9&)C1?&(S6E5&sr_5}#D(__O<DyvwiFC;CeD
zj6b`N$2<Hwx;WnA-=T~8Qh%E+>Pyvcd?DVmKj2HZ%l?Qzt&j8t{yw^(&+~WD1$~ac
zNf-24{wiJ27piA`A>Oh7$LH?D@jrgHKGf%`zxZ6dW&eZE-M0HL)PL@_*)Q>jbzVHj
zzenfv-~3VfAl|V5$M4qr`oHQ9e(&BJ|KhLGd-00>JASv`)qhpz_`Q2){E5Fx@5BrC
zSNv|ht$(ZT;J5Cr@hko+y%o>cZ}GeJrv9nA!oRFH^^esle(T;4-|+X*Yw@`KFMhFJ
z*WXsR@N4&)_=LZYUWte8UvbOzb-i9h`NmA~S!EM5#b=ZiW{R)gy<tnY*Y1G3uCMe0
z$_saKUyhyfuBk+P@ooXoq@hFk$DQ2gV@uvO6^l<P+qjeaY;4Lqreg8wyJu|SJ{=qK
z&gqh#M!Dh6Zjap)?zleDQz-wqgWF?w#TM@4u@<*n7sndha(%2P_O_`|ysK<thIoDV
z?tnY2i(+MNwJwYmxy8CLmgiRMf>@SYu8;H>-ZB-6*OwLU;I`jwaL4tb-mf=J1>!Yj
z8`H(B$|k0ZH}5{MnfqYuotv$5V_DuY6^PgG{&3s%zTS_rjoZ2R#y)w=lrLVsd&6zl
zyLvCm47YRdj6DL{zm~td;I`{+y&Gj4w{dT+k6rSXDOWswx4~`Kn|kYZE8ISML+?nL
z;5P0Ju{CcGWsAq|esJsPHN7om6Ss1&iH&)CC`&wad&XYY*ScnRoi6Jd-DSG0t9Li)
ztJt)>z?WjHwtMVleWmM{pIFs>DSE=aq%UHNwsY)deW7cY|FE+ATy(*`rc1hJ`3oz%
z&qOEOd-SPZY;s;=W%sFQk6o-!b=C3(Uy4oI-m!=EiLP8e<4dvryoVLs?%Oj;xZSpA
z>^Zt9+TfnkMO~r0Ngu_!wmXz`J8w5A>2}(#P}1$VU7)1fVY|m3)&<cD_a2?s<;#Eg
zT&!)o#va%C(E|6H&g*`=<8)s4)19ObVikFc72Vd`HxzdtsE=m2_vpP?-S!u|S?}w9
z%Uf99y(jv?-AC`l%C@iA&3ae&S)O8f_m1cTcOShK%iEr@oAtKtwY-I8-CLp;+<o*$
zEN#2S?xSDDQnpL%ZoQ#<D37tMdwq1p-9@j(Vz%Gd)p|{LQ(j|f_v+|~yNj~K)^1Li
zdvsZ3#w^!mkqNVoE{$AsuKv&^9j&uYrD7{LJIp=$QpYDf(2Dy~WY0OL60wDw8Ri~+
zu49va(USXIWWcPW&vZ=ECt7l!iHtdybV)}iJ<yWdbMt~ZN1x~@opmY_o4C1Q&e6v@
z3TGc()R9Slm?74ib}&P%cXNaZ_pwNgS+0vBb<Q~ziglzFW{9<=1!jn~q#4#{h&81N
zW{7oeW-#GC68UH5(T6&J&KxQbYu)_9nEO!VhnYv`MZTEnIxmvv9MgFnmh{4Ov9dHl
z3+@Avf6gAt7pvX;VD`~_I$zQznse`oymR(Yo>=MT1+$Od(Rq?4XwJPOa?jaAxnjAS
z6J{U1rE?{1q8ay=$T?>Z<%p$j-f^xeN31@1v%qZE8#)KlKALi`k1RRclr0v$`NAyM
zYdRa!3Qf6JM~3WO^i_1t`Ws)keAl1&!sWAm$Cs|F;Sp7?OT$;}Q~D~pV!cIe>q~8~
zc*kE|7sEUDDSZ)Lu>MC)>vL_Z_=7*Wyw=~SX?><`6yNx>>vXtJRqIo2t$4+kqEpt-
zsBv8qUhlC_>67S$^%XU&OTulcS|4l6>|JzGTVn5`kD}di2Y+z6uJ`!Tbu?UKAJau`
zzP*PoXmjmtx}eRr*Xe>b(_W>Iq78A4Kf3JKThz4950}`t=!0l&oZ}BJyY)9dbJ?yx
z@ww|@_=C#U2iouU96G1X6wmmhYk&BUy^G$9)~vr#-Fi>E{!?7z_paUHH})=iCt9+8
zMs@2Q?MHEp-@CSl@7TNOt!U2ri0al`+Lz)QzjbX6pRsq*8`10G6ZR&(5lvdpQO$Zo
zdtcncuU+fH3-%_x7L8heqKfsJ_PV&luU)G`x2$U_6`dWnFjI79SYxK>^stS)xV%@d
z*ur%+bjdoVQqkqB4PssEUut=T8}8z|7}~OqsYG=C>JKrl&$TSV9WzB|uf7oD`b^6p
zyl`ii=jshHu1~d8);1N1PF~%yh3jOf%Q~ha(f-v9Te{p=7sRwK4mF8lT^wq%F6p9{
zMEJrC(ax}qJGhR9DnuP!7%CCvx-e8A>ga+{jwsg!p(^W`E@%mae@qu`uV4LPGuPoz
zk#&pCYjK1hOc$*VTbM3d8D_YH%VxDe%+a}_HzHjhXfcF;+|IQ>^vl|&e9`LF7ouJ7
zX?+MQ+|IQ-^vc?%JkjFS6QW)3Xgvt~xQ%Oj=$5rjxuV&t1EO7TX<Y~_+{U#zbjsRC
zySO%m_N;Zv5lvjp5PkHz)}FA7Te;TNhvuwx$`*}WeIV-SRjoB)fu&v7f;Y@dx~yq3
zSLw2*!Q4leG{gK8Uy4liTUga~C3wNSM_)viE!VJReW~f@uUOS}A-G}Qqt7DqmcOuJ
zeXgl7H|ev;tmP+cSf6R?`5V3znYMg|P3w~2fO$!uL?-$vR(72Tc9{3*qsRomk1s^}
zmKW5Qbh#~0DCs&DtYgLcSW{%K(nU=%|AiG?F3T-SxSW@3lyEsMmnh+KT+UI_btIU<
ziuIA^zd4USh}8KdR&*T-{$k1cQ1i!}r1P3z<|v)lWb<!)F4DAoM=_W6at<5T2b%x<
z9+r3Q3;r-S>AgtR@)Opq_cY)6C6;&X3cfHm>77VX{qhcL);pT_{2rEdZ42HoH|ecN
z)^ZPP)?1qA{1VH$HU-D{JC=2A4DOh#^hP9M`46ks>zcd#4wiPU4bGUW^jaih`3|er
ztD39aE?RM23(S#fT^5)k#kwpors{xjo2^Ja4}T!TtgJbYSa@1~8&8}XrX<`dYb{b)
z>lM@Gyl}e}SH1V*6)B4ZSm$w?319b_72$69t<$YXDlnw?5Lfr*pvjZeHBz4{tBFLa
ze-jax)M9CSaYRvgv6`Ox#23m3+nq{9RxWmsJ^E6^$34)B>r!A(pHqp*!o>`-N1toh
z1eWwQ6^l&qF~~A$Ji)S<{j8IR&yL(jyq_+*Jm~NUDehk(lUQ%5BviC&@~Pac3zJr@
zJ@QgDV7Y^LPLbbF%_YB#E*}5zf&UT5v6W{ueT18uCvdD}n|Z<c=y8_$_dDFJh0B!<
zmOB|Yx~HZ&on^UotK;m-vw}Sj+%mk30?m@Pv@Fv+d0cRwYM6I|)IrZuQ?si}ma1G`
z>{}3+8`M}g>xa>7uen|U^_*u<YI=9ns5*Fu)V1|xm^4k|G!nipG}$Y`PcleX@a08i
zrK49jITfoOQ*-^3+S<Cq#3@^FzVa#?ediTzD@?q0ad_1#dKo&W=rQ@Uyh!Qr>7S`&
z=Dgt5)=gaudwHH_JvnhcdFfSufi<y;ToVhHtYK+f`T1h9!ZBx&*%~IE3iVb}ElR~A
zGc@$v4LMt%YG^sG35{}{<RH+xBru>asVG|@X1amx)XdAlYy4H&v`m(^pAT*+o42d^
zx#p(jH<;!xs?O4X|3-7m@=Mp|X>G24^-j}f-|~Bp=1r=8^@{yR$aV2o@<IO>L`8MJ
z=3h|HA^Vqk<@9IrW|sdSNd9a;XJ50fUNh^-k9PO^29}$tZE7b!@lQ4|=H|Wo;E6$I
z($|2}%&pBTJ|6R%sx_2)or**zE^d%H`dCAu_t8ZS8TW@7BE4=0Gemk9N0@LO3)Hy9
zEZOC<I6|iNk%qus#Zr;>0Eu1AN=oOvL|WY(Genx*6gfD%92R@HFlLB!EdF8KbvW=x
zy=3b{4KDYK?5qzpek{0Q%w@aygmKrwzz2?s7G2h}Pe`@S4P>#~+Qn2LQos0vw5vSV
zzQ8xVPW-O-G(MOWx)y%#@E0l5c;)73-nCP3jm8tXgPlrztTJ8O19$W;$`#3398ujR
z(0WU$%S0q&v4wM@S=Xk(7<Wgru8n~my-GPE^$ClANVQ(q*yVQ6v}<i(Mz2z~NW|hD
zQmt1tR@q(r#d*y?=U2-z|CC=W%lu=09eJg$Q@iMrdWikRUz}I`=hPkgBD{3I!rvn=
z)LrZae{o*$uc<rqS$OXJ2Y*<W`sdU+eHNZM|G=LkPu10G4}B7zI)B0!&QtzAbxN1i
zRqO?Sa-Q(7x2a>gq%K!`=%a9--NhHeJ$4s=bh^$@_|vk;U**@4N9rQ>jW2}T?Gj%I
zx7s<r5N@_pd?DOuH}MCj!+eK7N9Oy>)Ezpn&SM|=qtkA_!XK9T{%?M^%=3Tp^T-4B
z4>e97gv;#`p9?q6XZUmEzWN`#i{Cl-`M;@kdM{i#|G@7fch&3P*ad#)+~t3!*6E#a
z;rxc*M{cX%vAg(<bDRH~TBo<dne!cfAGxW1#xC$%=WF#7c81?LH~P2KGQAOwpa0>P
z%XRe~b{oHPuJuo;WqK_fK7Ye6m#b<~<_C9m`p&+vrPF8jfi0chvm@@dEcFe!)$&R$
z$h>h^=Vjj+d5cPfmy{cXn$#Qa;tUjCIGf?_k>_eQ<`;Kzp7Ra3b>x|viTT8xoIb+S
z)zoHgkU6s8m712hVy5tv*)#6AEb;ZoQz{akFuURo%MxFkTP=^(WO5e?&S19@?s3>K
zGvm&YMGk5b<_k}_C<%AZ4lrpvab%&d#HKBsPTGbBmREOjI?a|a5xo}@F<W3ueWyck
zs_)XRokx5bZm~QHiJtu<`B8yzomt|J&O^RmDp{U{<jq#7ad{F_&_7|0*E_+9TIL7S
zg{$QfEwA1QDGk2qTWT&ke<cTJuG4w7Pd=s@tB$xkR2^CD%ag}+J|uCrKn>UBBXfOk
zRI)r)R`GqBA>25d!Q`X4=#!ACA&tQ|XV$+u7t+)``@?OQ`)WVTHkx0Z=ldd8slRBI
ziEu^mT;JAhox6Q+<Sxn+E(yt<J>z!Eod?QlGdpgx+))<FeUvMl*PrlZirRCvTXGwB
za&Gfolk1c#oH^TJwpWpGdT^wltL4=vYG>pwT7`-xKMo0-t#SKEsc_0{iR!M4YDdfj
zw{dQ$_pR|gDrqJ9LfJuH&`NZvdxziFpB)!{D{>dT7F;s>LDQEGuh}<lwOk8X;+vAo
zlr0?I|Km=}Rb?OZz|ziZ?o;ekD><)u=j>`(wsM_}t=7bsLNoLVUka`6e^Jx&Ja~d$
z;!B~adJBJDNq(ZN5v%l7XvK7k+9OGiLmKoFt2%=mr`Idgx-9nYiF5iQv~W7Z-XqUd
zZI=Jis^Kcp+OYgj%%aaibEYpS={&pKX}Q$wuAEh`Lt5*WS<UIXsA>`{-?;KpaGT!5
zO3pLhF?LRumCbBhGd`|#=}*{uWQlh~+@hjYM^_5@F3q^8s^WXpJoJ7@y}ztdT+<}2
z&q9-@ca(6R^md7>XZj@6KfR%((|vluo|eVlCc9V`dz-{1T~w9OU-&|(Q*UDh=TUEk
zT}KvrOIWom6Rb+<-?7e?$^TC5JkUkFP0=oQqtu^v*tGQDxP1JBU|d4CM97N?NlI?}
z+`s%~wL8@JK$%TH@P$x|p5Y6jCOyFyLY>nYN;r>r|Jixuq3WNQ`a>UtTBpA#<~-#6
zVds%~-Y<5#%=6}nV>++OqF?x2s7z0=g7bj)pV&k1g=(ii*nQ-l>KDC<<(zxG@5CN@
zCsaCp!R{k>RG;VxmUHg#-V=N1tx)dtgxyDOsb0~WSjM@<drs`3ob@g@Ro6{d&^8Qn
zdLxuL@tBvR{Ke9aE3PeiPG1D;W4eDx2X5uqC9tA9!?q=zBh-6#`-MFwokGu*ti&Hm
zG8KOkn7pK0Blbu#=LYYZ*h8;{VrR8W92NP@?Wk$YlHPGvVEVFDg{Z?U;lZ|!&JC7D
zEn7;Lvs_o*rnj)PbB%XG?4wsgVbfR4a(S&1;h8h5WtnHnES6=SF|&@mQql2URDVe&
zM17(a=M~R6euqkgmQGffd*p?Ri@Kl{=LOFixkrYR3WVlOeldsTxr&BwQnAo16(jXV
zOO7k6R_h2&pFG2a^R#D(pVK834Ru4yPLG)$9)3ziLK9Tv)EP5{`qds<aJo;<FyVBY
zoH6IfB2NQ9r;92=zDb2bU6UP5I-MsQnACSVO;#}Jbet?;(&;eSV-Cv#PX)h6=T-RB
zAEpbnP1cy>GT+x<sAZAL51%A{PTR>Rj5`lr^?abHxP#rpbK2w`o7+$GO_kNyZ?$03
z2II~Ho(z7E@`dUqznIM;-?`WGh3=#iyP1_aZG_5I-lzqdbMEpy<Li_sR5-a|_L18v
zcLap%J-7Ha<qBm_4w&t7OXY%Ep&939&ndo-tT;D$_V_yG2qjKtn0@5B${w|grkv|M
zb9|k$g(4>(n04f;${Mvm(@<^E>}BdJ*e|U#^If`f?WFcyyH^_dw!Z9tCB$KDV#;66
zcF=9B)zqacwS1Rag}w?|5j@u~)hhI5$dce*DZ@=q8tWCNz6e<u-01h!a_aMtxxs~g
zu9>UOE)QFNX^vL0)|r)h%V(KPeHt<~*mG7_(W;Xxm3&n#L!X392)6ZO&0OUkZ0Ywj
zW0hO5;jC4Kt6YN({g!5|atYS;TWTS?XeFO-YQ`$3V8K~g7ebnrahZfZ3Tas8H0Ra%
zkoMr8Gfx$)I<)dvy^pJf==_!6e3quKvI*w(YfaZWxbmG(YWk`JD;ehh`h4YKNPX~6
z->c@L_d}|KU;0ip7rhr!9(>a`)Lis#NOAB<Ur}?>J0bbOJ8eUMPI(%V8@$nXtJ&0B
zA(_DoeM`-z-V8|%j$N)aJ1a+P<H|$xJ{gPN2yXKiwY!?WYW>PR%U;#b>Uynd=l{0S
z>wHLbaHj86Q_*X|eg2lSUcJ(E3y!pfUCL_`dQ~|}{@^e8-Mq?XwoaFojciL_Xgc>F
z_!9C;S<g1<tKc-bz?Xun`aNn{UMc&@C;sZVv|L5r@mI%1_YOOyFM<pDf7HNl|K&LE
zUQ%z@^m(Ow|AH?eFO<#X7ycA^p=>1I__O1*yH8ciQ)MlA#g~Fp7}ty6dR_XhYUbX!
z)b-cDepRa8dn`_W<8|#fdb9g0rO(_?V0dez#`pd!Q}y0`ar*18uYUdYQPtjUar$eo
zYrp<_sA})JIDKtHOM@xR6H_c_c(#AEJ`?{S@ePBOPyM0=hu7?l3(XIIef#LIvfQfO
zCVOLN-qZ8RzrJ*P_Sd(YzLbSl?GCxW?#g!VuX>f`H=QFm%C<>tKH8#tj4Q{ms{5q$
z<*=<YF78ZtG|AKFVG@t{<KvSH4ZDw+=(Sx;k~-UZDfyb*VKWJr=0KS<4-!7zc)97N
z-m<yvA8pP&PjuiHuCEf;-fNJb*}c<>XVKv;de1H;h3($APbDemkN4eJxjS)>{O;>r
z-oE<FTcz6FZuj*rZrA?umZ^5P-F?0D+gE>n`>1BO#@^W3`O}}>p8B&aabN7@dwQq0
zum1FQ(wDNpeX*1C!<TIL{`9u1X1Buqb;q}JU%LJE#oMBq-7<S)d++JFRo8Rg?!FOs
zY+d!i+urx$Bs|&^OJ*E9tYg))=x~ai+q<_}HM_<3#`fe-U$kBO;_a<J$~5=IcHCR%
zoIicx_SF||yS{iU^`(rnYB$r~*v@?M1>3nlzWw#NOm<&v-95d-+gE>h`|5L<;J(<J
zd+TiTr_bB2eg3xTkFtlAyIJn9v(BGBcYD3|hqp{MyZ_zO+rNGF`?rs(cYnL5w|Bet
z`?rUxcfY%*w|o2QcW)O}?|ybqZ|8RHcW;}jci+3Gw|)ESw{MfGcVD}ww{^Sr+qX{D
zyU*Rz+q`}Co4336#irz6zj0gjTUqPg*o6G+*Ka@lT2{I@HYWeN*mUQvP7Uq%4`$xh
zTf1HR_1i;L^}E*@Z9o0$?W(GsQSo}$re|M{4l>;vGc!)lNB{cL>DgbOZu(LbTD3DI
ze%+Pn++Uws)$a6(Uw3JG_m`(qwL4wn*Ik&-{pIPenw>WB>&{K@{`_>&m!jN#F|+i;
zpPg>~Srof3W^$a~>FKLKJ)QKWC~#lQWc~0Z)4e}E?W)<S5WnvD&iW?@Fa0`wE&j^C
zP1nAOZ@;j&W70p?fCY~AiaK9D7dck#lylvY@_;kz>5F^2cH0!{Pk(&+>5HdXUy2N?
zc1p#sbJZ7re0u4Nr(R!*boa${>5DI#{`$h{)E7^+z7z>o?PS{<(-gPPK|lP_Y1S7{
zooaUe+Y{5SKYjl6(;uE{)$IJWC#JqdUwr=b?DMCWK7V@Za}n>pn2I>PgVSH1JDvLc
zDOb%-hWK^+r=NcR^i}oFA93sUPWOKQ^i=iE4{_^uPe1+c>8k3TFXGniobLVZX;<~m
z2XX7RPe1+kX;$^l8*%HlPWOKM)T?^ug}8N_r=NcFRI7UDI(_k*r%k^Vb?%Kx)EB>T
z`s>%C`pUgAvHIfIPjCHNl({!1QeXVq>C&%7k$Yo8--o^4ee_peZq;^^z0ou8>H56C
zwzNF^>)lOX@<OY&humL#rJVcgU8~ydKKIvND)0VsSE_cq%l)+%%DKPX{Z+Hw=Kk7q
z<=vm}F8Y#}yDxgy`><!bTYu)o?u(v$Pxo~B>Q8qkeaQ>lS06q3eb|z6?@xETYPKuf
zUwgcq`_k^OFYXrAY?s*^-Fr{h?S0te-CJMWO{&>0vNyW(-rA$(-XHHeeaVxp+Rn2#
zy7iu}6VE)hDcf!w)o&~8N!3YF5|`YRB=-K=f^zQ*yG38z^{Uy<us6En{k8e!uRq-V
z^f^y*Uv%sHu=(ZPAMQT-T%Y&0a{HG((Utes9xUfRzgzW3-rdUWZ}vntz88D2`|J0-
zpL?Tg-;3Sfz4d$E%e~Q+@5S!zF8!W&b8mF%d$GH_O~2=z+#6l^UhK~9uix@^?v2iU
zFLrzP)^B+$_eN*F7rV8)^jqG{z0s-fuYJ9%RK5M!J>8Av+HdYMRd3&SPj`L!>euym
zA60GNc29S0x%TV3hpM)(yQjOleD$lVS+!du;@A4FpSCPq`||46msfXv$y&QFYFgY{
zul3Vjt)BWTYvI1A<?E-tTpju=YvR7B#p|cNSS|W1t8rh{{PokGufF;-t8ib`l(@BL
z!@WOWJyo;SAb#!X@a#*gQ-5ZK?u(ifr+X?q`_t8~`Y&0Y`=TbU7kje$>yIqQs;zQ+
zqx#lQTO7Xn;_9O>u4dJ2726xtvwqs5aP5n$xBke|+!xgmx7K<6w1wfTFRXTbaaHO|
z7H8E~roB;}>%|s?bAP=0>vNXuzNor5-NWIlKU{tFIZJR~R88Dk+x64t$=*p|)8BD`
ztxoFIiluf2P4)-5>gx<zlzAR7a912)DU0%$Dr=ed!C{j#=S2C?DFQzOQ;+w{xJlUX
zMr?_yj9Ys!ocsK0)gM`RE4RMc6V<q0?7`}<-?M)1jjCNQc7OHO?^!SRMpdpCySKXZ
zd)CdpQKjp}?yffdo^^6>RN;EDJFCBb%i6g&DtEou?bTbqWv$#BmAPK5{?_W!Z&@?<
zMy0O5_Vub#_10r?x*Nl_-&|#?-nuVNcYXNk*H<4^ZQT~9yEa_=_0>aFTi3<ut`1-Q
z>TFi+=7{@ieDkL*GuOU6yY=PSU0>4H?u(puZ;e;}v{$pI{z_Z8FLHVQw3o9(f2B>_
z7r8ip+KbtuztS4_Mb6Kk_I&o$pJ|2rBI~EzTXWXj`}5gTHJc6YuQ_d=eQ9>;&$Q5e
zk(2J}oHEb;bhhhDn&-aAiTPqrW`F&W=2*2^Zf|5?{<OvBt1r$z`r>R>&1SK^kv;j-
z7MW{boW1o&n&!U9j(cmI^QSE|UwvV=>x;8eU(z_MHZ$#w?93NiV9x#V?61#hvil<I
z?&%yhUtRy<?5oddg8L$C?ya%SpEl22`}}OvA88LOH?!PdW1T;3uDSMyvrILc|J~Es
zZ@&8d*+<ozzunW>Yp(tN?4jz-@9ydBHedbj?4s(;&+h5$G}nH2wyApay?Z*_%~!uY
zn^e8|+C81E=Gt%1I#q8zcTZ=t`RX@kckPQz$-j1Ew(7UE*1eJS3HjHq&wlzft#of>
zO#ZcNvzLBNOWhk8k$>&#?9{Jmp?f3N>WjVZ-um+Br7uaTRT~ZVMof=eb5;EGrS8y|
zM}ulN2F2@K5r6&Vs8Q`kuXvqH;@Mx03e|3Oir2Xy{`&LLPc<8@;&sl6cVFt>`ZFnW
zU&Ks(v1i?-Ka+f`HtNK$IVE22eW}~^XOi!}h>3AJC&jft9c`-FC>O8ep?__$`00;F
zi@qe8R&A8n8_}zOZIO8Q$D=`Cl2ofUipA?373aR#UHamv(U&CAs*N0bBbwuM9QDH%
zh--g5%Jd~kabHAz+?vDUr_Xn%{zwwt7f~Chb4a}VeD~JpM=yO&dRn=WEnde)zkb?-
z?$YN+H+@cG-51fIf9-zv)9*=N_eRv{U%S`6^n23Ny%81q*Y0+weowl(H=;!U+MRCI
z?@34ZMil5@yWRctThi9O5jpzTZgnsHmb7$lM27ygo8773lBVvBxGvuP=BQNl#v^fS
zHi&b-Ir^(=<DR%R>%_ZXAH7wzaZB8qHR9Z_kJj(1+PEfe&8qLKzV1z`U2nEGeAd0y
zKJP`BeSdYSdh4&a$g1^Pd&5_~4}DeL`YUekzVK!5Ltj?A{)+3}7ry9y=!<IBUvahj
z!sopYeO`U^XIx;_db9i5XTNKG-g~HKz25!Rp6{nVtq%Pe=UKI0<NoTC-?c7PtNx60
z-4{OL{nf|StS{^LR(*-H+!x+=Z?)Td(Z%0aecT)MCC+ePc-OtvF7K~Cs$TjdPO)k|
z-`?=Hd#jz^i!S`0b)j1I#a^y2ajN^m8}4Zz`TpvBb?J||pOx!*?yo-debxDD*B@~o
zE7yP96JB+1waxph52{<A?-i<9|87rs*}c^VzH@!p`>T5WpL?tKeeZf-zxP)4`Y-oZ
z@A=O4e($d8^>6O2-u1of-QHQ%>z~|Pz2iIAyS-J_>+jrKz3qF~+r3fM>#y8fz2!UC
z+r3uR>(AU<z3Ka_UvU%nh9|$DdZSwOTU_Jb@c8#ruUB9F8dtbCJo^3AYt>V~#wG3z
z4}U-PYIW$>sIB|L)~vs}?7G+G?5Que9{N%r6<f77EMD7tedyBbUSGF*)vgVQUw!%d
zsV`f#YS((iufBNQ>&sTI+O-bxtIuCQ^?B>7nza`3+Ft9gKFePEGb(jo*o^g8pJtoB
z+`6e|tya9Y=X%kn*``0ET&vcq#IHVao$J%qs+zS5d&BzUw2xmubus(ui>*mtqD=RN
zb;oHRyY5wgF?;HZtx+{=MdGzx)?ZzC{nUl*&=*^kzC`g>t!3F8))=??$aSs@*{wgK
z{#LH#i`TYaKlNdD=#Qwcm1{ZTwe8klop;^qeD>7mTcv8&e%KS%wEpVc>!;3Ti~fjW
z+!t2Ae(L?~tKXwO?hUJ6KlNVr)bCLb_lA|PpL#bt^n29By<x@m>!;qy7X2P|aBo=t
z`l+|GuYQZ#xHl|&{nT68Q@=$m+#8m@e(KHa&~H((@!FfNXT8}fRK504oc4z6uU>Ec
zRJC?joc6lwS+BQVs#?1#PJ7MuSFg5is#?41-l}WcyS}cy^(8E`YPHec&>8nudFNk!
z6`lHW?W!+fEBA%2%olwXUHU6*X8pd<rTL;SqfLK>b?ysYm@oPw`s>fI%6*}8^F^OW
zyS`j|t7f&y{Z(hSbA4XBt7f&@-q30Jp--b#U#?wLvs&%`Dv$iBPohPChB@vF?avoo
zvi;S?=%+uzEUQ*a?G5d@x9ZsTtc%e{e}rjPtrprF+MPf3QFQBzwN_ukB&$|)?G0_Y
zSHH?Jf9itmS{I_H{s?2NS}kyYm3{uzhtW@;uQjS!&2@j3UB2kU=%dfq-ufK&vU2sO
zJ)zC{qVu+UeOSBebJ)$w)lB!b4s3t*e(k5~)xYj(?c1L9e(j~|)t~NZ?b-h7-P%pn
zt6$yI+O<9F-P%djs~_Fd+OhrB+qFg2t8d-Y+O|FG?b@LF>eZL-X>Hm5>djiC>eZ+2
zt$H2p`Yo(?Z)j3}=#6OBZ(+52L*w#8uSXyK8kV~^G%7#zT6F8zu-Lt!Vfmp~OQY5w
zuA1w6{bI>npX&!p=6YX`+}pSGb;z#1SEWJgo2%wtemx^@`4_7t*CqG%y(o2B&s;V4
z{OgLi<)5wQTwho+_w4KXj5zhrRx_^e+;eWp>xj7JpR6XWbFQ3w^0mvZz9*$h>zOO(
z9)Iny>)hhk7IDWfmP*C8Uo4eczxjn#&-K8Pxh~fu_w+p~6^MO)p_C_f`Gr!B*z^mf
zEV1erN`=-lzp(1K{<C=Q;nzQQ_B|}+T7UVuRm=5<#dB@1Uo4($b6s)|-@MoL9C6Rj
zmA;8l|6tW{{paq!`=!6u9WI}{_w|cd_4ig4*LUvjyIcBdopbrzov%;Cs=u=;xL&!t
z?{?{}b%)F5ZhgHXR{gD2#&yfxeK$)_tt;NkceC`^I_0vt8(ufWK7VZ$cm3rqzU!si
z)-5ibyXJL5?DJPvVb@pA@_Stpv3zr;)vRTc>ocupEGy2mT77w=$y~3?fph&{l>{s=
zwvxU4a*AJjiPhrEf^+>|lsGK^Y$<#GWr<&VvDK7in=NI}zD)6BFSeR~d8Uc%>6amX
z?w3k5mK$5n^|(B7j^C3Kh2@_uWIZldn#dl1X)()h@k@hQeveDUeA^4Hx|U7Ou<E`X
zIEQc1OPN{qeG6ZT%;H=4l4n-mf|o3_{2rAs__7yT)h{cykhQ;TILGf{$uFPw0;`&3
zo71hTmQ7B#YQB8XSoYw{J2U&{zGU%ZFR-e={BgG5{gNNcHk-@tefh+fJ>RPQ^2XVI
zcS~L@Gd7pq`SOS_d!AMP<-*y1w@Yp;+iWJg_2m*@_FSv<%ZBx{{ce`5yR10-+>MeW
z%Y@BjH@vLzJ)Uh9d->t4bJt3?ESqd9yXIw#@9`|F(DRwKe6NemY~3#x8`-j7F4nV6
z|7tmHUhqrHRp&ix`Cb+K%}@R{=hE{DcIjU%7oF#<<$F<VH~;a^Ip>}i*tK6OHk-fr
z=bSUo6YQRUvYb3G`RANd&pqm^_?{N4%@=-YIq7_74d0Vux%td5E&Jy^{vqRjKJ$x=
z+xg6zbBmrE*tuUU7P3wMXxVk%@yi_N^M+sMIGtDgGRN_};FmcL=RIrq7Ccw5dw#x{
zZ~o)wmTl)XYy9Rv7qDwTU;NF+{e1B!oAeKs74wvT%&|Vd@$;Mm&l&8VzqhPA|FXWC
z?|$*Od5gc#+4KB??elk*W#?B`^W80eHc$EcoE^^(*gk)2nRh<3n(ub;wRwxb&DrvN
zf$j4*mTBiTtIvJ4OgS%E-FKt-&^+dEbJjnvuwDMzGUoivs=jN*o8~otowNFRgzfSy
z%eAKyW6v#nni1u<>}f*Oxus9n=pDaQq^0X#YPquhv}5eKmqk9)gLlbXdfKDsUShfM
zG-K?!=S4QtFYlB&_cS2t+_NH+>63TLoOv3fmwu^8XL|5X8PC%TW6nJ(QqpxVvYdFj
zG3MOkA_d*&7mH-3KhCi1opw0GviEf47MWvDHKP0$J=M{3FSP8KR-9qkHZ3^AvSpfa
zhGo+<;S9^p)Afv7WR5)j6M61o(I1`T1(vO+Uv8E;^z=jIxp_}tMEcEp%A?1AzKCUd
zak^#MG~pdG2cG`XJ)Un_d-`GYxqC%lrcK^1v*+m@-Q#(drKcB0pSx4^WSa1HnH^8}
z=pN6t%srhLeePD#m1&c=$!vK#NB4M+W$NjjdhI!u$)^RQ{caQ;m{$LJtIYbRCA#g|
zmeHp#M)_SU+AytntIX=BA+pQATC6#KvqZ-C_{kC(pW{1A=3IRoVdb~<@d`QhuNEtg
zTiW)$EcEJku9|c4afh7x7mEeQf7<jtFSP1ETq)yq{H9IcvqGc(=E^yzANyGKJuTGg
zSAJ<R<@ih+za@`7<kUY|OgLU?Q_r{Lv5i&V<3btP<rfPjWS4)m=<YjQA>(@7vt-WE
z#~O0%7Yq4hk6$R{l5M|G$R_K4p^!;d{i8)gUvtGA`{R~2ee)kn$Swb1QQPNSA!B#^
zX0eRz@sq`K4nBTh+4rFEoy_rbg-rd-6?67K{vo^ky+zIOo7R2z3P1HVm(SV#_=ar#
z@^=;`$7fpi-6?$3$6P*V`{Nz5%imh$9FMf_yH$9pueof_=EpN+m%p*N{&<3H`WuU+
z<DAxfHwyRlJuaQI?s0)^`fH1*<0q~7t`)B9OD>(W>fsi?_EL-4ZHqH4X0|nFT1;=-
zY$fA;c%_NV)rU*?*h?*zA2yWrds*PoZfqrU@nL-nAA5<#{KFq*{GJzBv^!^7%szZk
z#_w5yL3^>~9M8iWW&EBNsPMKISxi3MX(Ds-p$i{-kwyREMw2=2hYMx;7C$tR;#>UC
zgfIPKfkgY_42#aT%@#68A1X+lTli2y%5ULA0jYBf9&$+eEqJKH$9|zep#5{YMf>58
z#xjQ=itsJ3KVQJnemLEtx@~c~MP-|@g^bN%L78)NAKsAkdr-j8{@Gk+|HCi5?fDkf
zhc8O|-7EOeR%|Y_`{5Pd_B@N?!xN?b?i4&|`)nq&{oxkg_FRkX!-3L%w+b$_6`RRy
zemI5qxs}YOhdsRRITneB8Kuu%FWA#|*;HoT!yI1sY>UXl2kWKIT`gGC7W{Sgwfh_X
zrCrW9`Kxw0-{9}FOZj2-$uG^P)-C=u`^x<V|DJs@U$$TKFYn8Iw|eDYvoG9l`1kCy
z`Mmuv|L{J~*Z7<E*?iXilYe-h<?GcOzcin=f90RvCHDjVrF}A=Sf~7R_KEur|DJs`
zpHTPtg?Zop!Y{Mk_9uRsee8a{&M)4_`67SSF6N8XFa9CzvfuKHwDW$=FVar?CBH~J
z?&th6`^bHUU%Zd<|NVLP!Mv_6`N!--_rLt)eVG5_PultXFMrg|=d;x}KR0jMzw@)S
z^?uGjybtpK)jj?`d*A&Jf79NZSM5LfoA+M+ySn7>vv=LU@Hg$9dC~sP-@JG7@6|o7
z|2BKu{SAN9-kN9a_x#O!EB{<w^0(QW?#I+Sf1ADWe#c+6H|7cZfBx#dp1-T^@YmUE
z?`Ql~1MOhi`K$M8{;IOeyQHt(&AHXP>~6{}-eq@VZk>IVr}K8%rM!^x$-AVl+@168
zSc&=4-HLb5zQ}Va7v3d(;cm^lW5wokcR#$tyYy~O{X4f}^O?I3-Z}d;PwnloBJ-)c
zCvK5Gb=T*e+NC^|a^aoQC+^z3W4n|m_x4z!d0*M(4D+6{%R6Sf?oPbZyXdaUt+S8v
zM9P~p%-hS7Gt67doHNXu%ak+B8_Oo|kapPZc<1c=yE5;NozLSb58g4`Znxqc-uZXm
z-0Yoq_sPw(5Ar_Lzi}%tFE2|@H*eg{c<1c>ygy}^w@dH4`{u1%zIo;DgSXG#&3jW8
zyj^<N-7|09^2`f&H{L#bJMT`}<!#d2?yh<3mTR85+wu0<n|Wu-g160nop++lc$@Ub
zyDe|oa?Im*f4t>;J#R<Z=B?6e@20$E%Qg?+z44as)!eB3!&S3=w_hx&pY5~#V99Ln
z?U8$Xm);K9)%z+pD8IRC_T}3%?k)Rbwq(2H-rg6vPWjALv(MkIxVP-H*_`bQOJ<+F
zopDd?v)PR8JNKMjay#PQvQK7{@|-JYpS<m|tM^H+Qa*F#?Bll`cAZ^(+v47_i@8#F
z+b-rx<!^pr*0VjZWVXxp$UVJ}as}=_tG|%Tb9dQ=T#mbG7jjwds$Iwx%4dFI*0KF(
z@$AF5f9&jin9G%a`MFuk_J_r@ZMR=6o^7*Tau4sk+Z^|voy&c5N9}`I!}g!Md++D|
z$~#;>d++TRch%mTRczn6yZ3JHt32oO**kBaxU2TgtYCZP?%vzExAG2`&E9%@#a*?x
zW*OTpch~pc%srJ?yqEW8?y)@Ove_GMH{5;p+AMDS%U!(JbGPL!E}gyRcEa6fugt=>
zubk!kIwvB1bEes>w8@!fGt!DP%~o&TXfoStbKqRxS2+Rc#a7anZ%#SaR${hzv*29c
z7dZ~;pDm@&-z+)TR%|vUZL_8H*_$cn*ow`jZ=Pu)efnm|xq7!tIU4E4ma{!JPn_fX
zBu63rvxT(B=1LRk<2Nm4`7XX`Fw6IGj@a3@LbI;4$r)zdn*-<YF1jf*t9Ri|ky*S8
zZ}QCQU2v0SmhYn+hO=yiX7y>s7Si^c4d?hi%=vYut-!1%ZF9O=Rodiqv*yhQjinFX
zyfd?R?oF0+Yz1cZn?KI3_r0I<BW<&}^xm6K&a&m3m2ciS+xKqHi!@_%>76%^oMp>1
z%iml$+xK?PjkL{X(pzsXIm?!7mcH3=w(rfHb(<AupS_WDBu&^%dc)0{v&XW{VmCjW
zb@p1$mbA&H(ra$UoIRFh7P>yOmiKkG*<QEH*+zTWE@$iQP5Wv(EiU+_>8kbhp0&KM
zvi;(df6cmdeZs!9FQ$vubJp^{$hM1r{Bzd1>jnGTE@hj=Fa9~}%=LtQ&pw$>j!XVI
z>(q6RD&D8rYVpD^O((7Itl@o<Ef>%H(zHMB@ee8Y^_gF!+}3B-oLzL?V4vH?Y@xkr
zA5FW~JARqvyx#E3ET{E~UuHS37yL5IVZCR44ex^M3j3a&&*qDN{M@u{y=IN?{Obby
z+RkTx+v9dV`_rDZ52h7y%0FgVuiyB2)`9B``<}fwty}-Hn)iP8x46aMXYINEVDGbc
zre*6_R`cG?eio<veb$ca2lhUDYnrz{vzqsI_O-ah-)3#OzF_aOH>PRpHLK5lHBDJB
zS>1ah`%oNn{kK``uUG6{_S!UN{mrW0YuTIPn!nCkeLZ6DvMkfJs}p0-F1wl$<-6=^
zLe$x%SJ$jNb}371ty`(-%GHjsXJ2Odga_}Ex^%T?om+|N!qtqiXP;-;gkRn%b?$0F
z)Y)fQCgGEJN}ahHvo7sYmQHx^PASjT3uDec$x>SDR%AMHbz{ug`o~!cYoA@rk_msD
zVcHvZIK#Aeb>tSQV^=kzd>38SS?5-0+7VWqVcHfJoMGA$W}IQ#6egTu+PRu>i`0>;
ze<II5%=)wDSb=Hl>X)0P4qg2ad3N5_7m>d6uJWv7JD<f8UYu@P7ACwy>cG`MYmeod
z)~<dSefD0~m$1p(rS@FCv-Vhho@wdoh0$m4WIYKJ-Y&J{>Yla7a!qqrCq|#Wm31X-
z@;0e0SLdufmSdW_dgr>f9Mk00g3-P=vJQlO-YT{JYRTHRY}4q~7o&WyWo-y6-YT{F
zYRKGWUrp95zgZ&XyZmH{l+W^=C9|$xj<E7wdU?e>wXY^CmRs8PzRdLUcdnXs@p4D~
zJhd+-3zq-1>3yDQ<$t(R%4_*eo8D)cM*hu}vrb?3vFd%AspYTy(qzi=nKr&lE_=*V
z`(!d<d8G~SlFK$$y^k|x<}SOKDKU51N0V;9!xd7l%RNhG9lfkEkL_Y6-`rytGP&lq
zUC3mc>vkcNX|CEwlLo)$idpu{Ep2+|UzV7+>_fdtt)Fv+l-=^1#ZtD*PZrNQc=>^4
z?}N;DbB>+MWb$XOn6>}%kGad<o7617Y2AA-^OIk5`K;ZSZ_Hix&ZK1dOzYk|nUDOK
z%V%xBykqXNw<bBuBdvRHWnS`YE}OOa@{GC5-k4m!JYjCy8<V8voYuTIGWYpCE}gaR
za>3lR*CtWRPg>RUUdvqPms~n))x|A+ZKWo&-4<t>%yetcG@0(U*-FZL@k$e^s~4B_
zv6Y%EUu-Dr`!d7B-PlU%;>DIewi1*1i$BWvKF_dlcg{4Kz4)Sx@3Ra8_hQRgo{Kli
z_&&{0>1``AnY_5uMC#;4mp-;4lm5kxCbQfZ7s~W5zGx!FyZE9>eP7ze3<>we877@>
zn=Pb{UR01eyYQlfl<&fe0#aueT;!1QU2svQkL^N+fcxikllH|QjinA>6zN-bK7+&k
zaJosg+v0SSN;hK*DVxQDGH2&rydmlPAcMjEv$@p%i(h)%@=dB2UzGN}m+`@^*j#G&
z#VfsSc_zh+CrbO?$#~%Q*{oh_`^7E2ZMi1divy*7Z)IF?D>jqbd~r(eGb^c07khf$
za!e8zGfJPmp0UU6vZ>U%i#fe+*(Q;T4@#ZAnz6<$`0LDT=Qq@)T~0TtRlA&SQ2Xps
zdYFCkOXI0_i+|0$a(+SGvoFTW=4<}td718Jul#G~h4T${&psQ^oB#3;&+~MR+WNH5
z#<S+1{KNAsUC-Y5rSY`+EC2K?IUi7$_Q`mno$}9_C(b+6J^N@p!S3@5<G%TYUuL?^
zPy90T*m<2_Jde{wYSk{Li`g&!A?Y&T@{6SNe9bSCPV*(dNIK5v{4(>%d4^v+kJA6u
zJo{i=XP5kA=ArXne)2p_|51~6KK)CL+WB-g`}*eR#!d5gewMVJ&-sVvLHa+t$KPk}
zJO80J?Y(i;{FA?V?xnx8Oa4A{*ZB*zY441S=6C+)xs!g+?(w&o+s<#OO?zvcHQ)0$
z&#m-xcFEsnZaN=h@BD4%#`7JuYHy4a=KuWFb3J{R-Qlk@*PhR)ReNn5F@NW;o~!Ar
z%r5VeymmI{R!{x1vnjWDmYt2cb>>x?PVTZxX(8s5cS&A3J16g0iSg3eig(YvNOLh4
z-X(eAY)#&=V&l29AKu|vdNwD|t=M?x?1OjCJWW%}Jyv8qb@s$9lBdr4<f&asQ!y9b
zDS6_oO&;5&G`ZYkg~okmmotoe%r5Vk={h^{PS2vVD!0x&N)s_}&ZswTH%rbiZZ&hx
zFm5(e&M<B?o4iBPVYcI)GxN{N<Q+So#$z75W2W6~#XCIn&%U|YGw<w^n`a)ReaLYu
zFfKPsPB(6x&3Na`{j@)3m$ysqJNqWrE#J6u_QBg{?xwvl3*Iid>+G3aw>;y**^Rf)
z+)le=c6poRwzF$;-ExgHXFJ|LQ-3q<j9KuunXl7Mm>F-A+<3Mnmo3LQe)h*(KG)NB
zm~GxFx%O;IE?c&7`0S0he6FTO=^w6|={x;m$xNT=2TNvpPmkQ&v-EVxuAWz^LHf;A
zGcTW>5x4A%(UR$sdwX7_I_Wc4%{+g)B5v7dqdC(TmdreRIwMZ)v(b#{JNKMfaylYz
zS^X!YNqWweGf$p&+12wTRY{+@a^~^V4!h1QK5Y?q>|&}^Y}>_DDgDhajC!UAmdtdS
z9=WIIQK~@fvkR#_vCA%`a>S-xNM(styO1iR&-}uuWBSkHnTJpR*xB<il}rEfbEB5&
z4~u8oPQO?@(`LHl9-eupIpUt3OMMfg_Q9xO`p?}x_4iYM=^ZYgx%c#oShe>?71MX_
z?zx-#O3%4`=FZb6V%6Rm6-=+(-E%wjmfqpAnOje<h*f)Qlri0MchAk#Q+maFd2Xg2
z(^D>+x#4s}?6cQKanoP!;<=u>O>c4O%r&PIVxPS-3Y)%ime1>y2=&dGMzho=XBy2=
zE6y}pJ$a+aOs~m-^>ck*r39!KTS;C%ImNH7#Axwk!MQ#!QXJGjTS}fkS>o4LY&1n}
zv!&$OlPP{|#YWR7&oq%deKN$)?NW+{y0PU<kI56~_&iBbQ2%Tp=`p#|MDqAai&;L4
zPa4efd7L8V+g51Qr8YUksC#nY9G*odWoGp(JSj4ZXW>bnSv?C*vdpUYd6dH7%T{Pq
zuU2d!X+PO;j?crCUp{RGMm1`i(~YXsCZ`)UPd;cYdGO?&nLTq)viPwT7}ZbyINRrb
z$`7^8=8}6)KJjJCH!7dJakkIhlox8o=8`*49`R+%Gs>S_INRrT$_=&6W|CV^F7ai{
zHA<gsINRrD%DTylv(MZ}Iie<PRxi2XWR35!Y@^u84`-dZma;`{vZ>^nlQF)>vW!Ce
zGi!NXC!5*2T~0Q#WxJfLXPfrbaGG53OT$(Dp0zx$lKte9f6cgbe1cut7sEyUoV7eJ
zlI`Rl|D18|c!6EprDQYt#Xo19Ii6tm?33YSx#XWSP968C;(3~^CNKQba8iF~4bPMM
zWI1`}mxldvkAFzG_h){QaO=;kIkV`vft}mMWFgzMkA_|Sj$dXt_Zxnh;nc7AWrkzF
z;FlQ={hl>E3yv$;Jv*PwC;#}lVOzgujnDk!0(NcZlfT)xolpK`llH-|LQeU|4D0@l
zpJyC6&S3ZKy<uJd%W9tc$=~D_f1k1E_ygN#@9GW9`d3!-+)aKar~G}!j^hVxpS?BA
z>(8v_xt)AXZt=GnTaGWVefGvMtzWbH%vZyde#z>d8_9>{n7_?ff4stW*=xg?{+m@j
z*OE8MHGiG4`gnxxvMj^3-HEYhmL1KA@>zB?A?nQ1qighzT}sl@bt^So+3gs6=4FzP
zc<?TXOGkV3>fK5V7j`qoo_U^RBYt_O#JQsZQD>ednTSu`DRJg#j9%KMBpvbKof4ki
z3uDeaNm9~vD>9te-57J`agu`Wvx`YG;*T>7d&LfC81{BYZjm^4R3pk~(NP^ew?e}X
zvEmHFHnHFg!xk~)48tZd;S9shZpJMVM~?o9Jo7N=kIu0I!}`|lmzyOH9sLk_X5P^k
zkv{W|^60UhPht@-PB$zQ6W$?l;OHOSWBG=)-4CPBfVT5Z-Y&7{=pEf-d4{Fk3!~56
zNqQnCyj^0)(LK7yat(946Qj@EO1dI8d7H$RqjPkR<rt=R@6>C{F--0jjP|*abU^I$
zR*CgTOLW_^4Wqj+M)}lVOWGh-yj5cL(Gb~XUk%o@-z<^vZ9iEe;nTjeWX9FQ5mr7+
z53i6@`)aVF-O{$_Wuh0qbJdKChdbodz8EZM|7p|nJkg5(aHWJ-`%RmkXNgAq&6P7w
zANH~8d77xjul&+rO8ZP3pCyMq<kUVHOlYsP;aPIn#;WIWqKxdai-{7l^~*jQbn_jq
zkZ^7HESYiiu!bDl#Y8^YV;2&+WZNzzvdOw#NMw>#`)JU>*IY5fzTMKMXZ~Rcxn&;=
zYWbWiB<$L67E9Q+pDdnn@bCl6o(GBVWR9InWa4M8n6dxx57}k!4QkqNTKC*b{KVH>
zK4bUc8?wvZ8I-iowC=f+_=u0Wd`A8D!#iY`y*0>bkF@T&m3WD-xopPf!!u-;y)n3c
zc!F%&8-t{FPHUbUiTn5-m(EysxIi}TwLw(-Nzg9ob$rRCGgckk!q--6Fq>_0rol|M
z=1ha>Y@4klyqi~=NL)R*gpaM%V0p8ltk25?4|ZcKiHiqY_}EGe<~M(o@p+zL!R}n2
zX)wF_qKwb81OxVB%Nd@{8)bZ+CaCbX6&Xx!?lh4&dC-NAt;nFixzS{Xdvl>o&*Fn7
zQap<fn((DvOpst-oMF((w%J1B=s^XkGYbz&Nck*0C?Iua!9flwp9Ke1_}DHa2(W)n
zH)wDEXe@E~pa|cx^9da6htmzJ*%qf8RI(Y@TS(Y63(B0Cd+>&&&w~U8_Rr=L`wxEM
zZOb>PZoVk(b1&foTd}#s?t@o&+wu&Gn<q;9+(~%A_SsBg`@t=|ZMg>7&4JQBw-PR}
z6`M(HJ~)N<nU%z*gFU=%IR=T%jM8VWC+uOnY$~ztU=FWawn1d`L8&uW6V|W=f1Q49
z|Av35m+RwA{;FP%H~9PXQheC|q?h_r|1J78{mT9Y|DJx)UskXAm-}VB+kd5B(=Y6A
z`1ka){=E8^f4HB=Yy3_9tUs&%<R9*5@p}J_Ug}S)U-_qd$^L+Ush{*G{!{un{ltEU
ze@{Q^Px$xgg??Xs;g{)d^@(4mAKS0<i~DiB$Y0fq@nZiM{SdErski(h?p&|=Mck=g
z@{71*J?EF{NA@%P;(iqW@6Xc@`gQ-3eoQ~K|I1JAhw(rDq@Iue@<;W2Jlp@K=lV_c
zJ3otC*K_{ieh~lf-=pu-_wE1iH}$=KRsG4|-1p+&{Y&~jeb@dAe^cM-7u9$E=Drhu
z@86?u)3@#4@Hh3XepbEbZ|+<5@#p>}eVe{%f6RZUZ__vK@A#|wMn9qc=dbST@w@&V
z`Z|5>{*1q>uk|D9cmC?W8o%n>rCs9J_U7E`UbZ*o7WcBfF}F^?iqm<!^io{N_es0N
zuk4-k?r4er(rU%Kr(eXmd>7g!eqnFTyQ9VWbE_ZT;a<8o=bdY@{><uwcTPWzQ+s=~
zs9t|+^~5dWr}p~1Q@s?Y@?B`B_=&wX?^rLz$-O;VsNeVPQigudw@W*wyH+RO>0Y!~
z<<{v(aU$QFGW6TOC1vQhesjvuZ~mr~q2Ksz(hhNlYR5aL=kJwycl3N5&-b7m)9tDi
z?{LrG`{ri%yuD9uo_-Mb;f-s7e)+egbp6I^#yh9)*T?<&c4@o#zP)eWy5{RwRv)~5
z`fl8tZ$aC|ckMm%)-_MRu)6W~>DzI4zFpcTzHRTCx30PRnbnTBPv49?^DSuG^w)7G
zz8P&3-?+EsEo+W`eD%j$-q+)HeA~2DeC^(px2)Ov;nf>&d0&l<dVi>Dx^MZ#lIcF>
z2TP`Vmq+gHUb;JESAF-Z*r4}KRnsr;o^fyK7riCrl6$*f#5%oas+xX&cg4M>pY`UH
zFD#jUc6Y`-)z5k}%6INLy<~U9y``V@CcSg2oPKh*%dYMxu}bfmDyJXc?Xc_g;@uYa
zj$VwFy4!j&R_gtx7kWMAfhE&j$|Lu5KZ+H&`}9IA&)uaLVma=nUWjG6t6F~{R_Hy`
z3%!o=pT*M;@BXo~`(Z5C`%BODTFM_5Pq!_<SUlaPTyhWhyxko4o}P<+b4T@qUPJlM
z-QD+Nf4w_YK7H@*7k5?P>s6HR+}(XQ_SHM5^65KwpSY{~POqT6a(DOb*jw)ol}+Ee
zd&OPVw|W`nmb<%e#-4gtw3qv4?6G%BWz*|7>~6UG^tE1G`O970*JHQ6TU0uI&F+M|
zPhaVUm9L!T{W>P%`KC<0S<fbA>dkmol&QD6c%#X5uj0VD-mhW;o)=k(U*0+8Tx*Hm
z;$p$M-Y;Sto`13wKfkl&Tx+r3lxLeP#n0|cImcS8H@$eKiTLTAA?I8##b`V?vYhTw
zJaLZqlbCvi=btRZJ&G$$#E<W^nB~2Ar@<`m$1!4OTMPBNo=wWo>n;wQ!@X#y%&hK(
zJ4I%3FWkv9t9!vtmRa79Vi?Y{7V6bME3y!`FE*Ux{V?X&nbrcmnrEBR^{SptO4n;H
zK4>g{aOa(w-E()coMSD}t1tdI+xvdZk7t|A#rN)fa+Wn;ufDu^<81G{F)yAOnTzk-
zdE_i>o?d=&;cV~QF*lxVG85mrbIDoOT)p&S!`a?9W7ZWb&OUu3=EyT4Gw}^OYtA0c
z){8BEIP3Jam@UsHnToI38FTh%mR@LnW-a&YXtTYpm!pmLvR;nX+nf4TciO$6m%6L+
zJ!`pNMf=@P`Zev+_6hq^>%ZtO%IB=*ei3bV|IyEB=e8H@YrPb0c7M^&X=k=4?0fo2
z*1kwW^TU$XfQ|{OE-W^JH;i8DPRn0e)4gPSz`oQ^x)bjyX(o9;iB`D(>4%s{e&rXj
z<GQ+2X6SCSsPbOC-Jr_*akSXp){nYf_a?p2?amLZ;a;>|rmB14c9AOXh1+@RtGX9#
zXQ}dj6wR=g^`mb6y`mpt_W6c2-VdXH?P>j>TXS#IbKR<Ylb-7~=O6qmc5wTh%I>+_
zSwOd2*5`k$_P!te<KCw4Vtcng*~|J~w>*DiwfEiV7x#?5i|yQgWH0MG-TeH*YVX_8
zH|}lvCbo6^lD({Nb<^_=tG#bVugh1gK3#t!`p7+@Z(<v^*X%v|S~oWTVb$qt(Od3K
zI=Y~U`&#t6dr4oXt&*H_?m!9KjHsyVhjvZ#&Azy0nost@Ez`WSBV)UlZVid*eiapT
zy=m99%UfrxTUw&KBwI4J`$d$~b*5d@&Tp+)x3pMyPWHkr)6Q<qSf^UdHYLj7deP2l
zp4l5?yr0(dcx?5E;(i*Xw$&x7`$?42b*7!uj&F5{I=y(S#k!*xqomfhUW}5uz9~bu
zCp&P<G?(ninC?eW0&AaMh~inh^g<NJ+SChCENfLSL<wDI%Fyk|{<(SD;jKR+yB|hz
zUB8sB+miio^EBJ+i<_s}WJ|_y&)dqe?&-OxH)~W2bQ`jNM%Q=WkNS1((DrG2x4u}b
zny*`ty)(M|Zq%!5PTQyL+<IcIYMyREc4c(;?WkMV4sDyZb?b_?s=2xu*_P4WH=|Bn
zD~jd58FlQM(za<Ewl=JNnynj`{W6OCdepXSi?&W%vo&Gu(=6Sv?3GsDuOlPQZ+fXS
z>)fQ5Iy24{z0_HqzOiJQS9*P*t@o?Qfb&IFVwX2hnb-P7XK}iqt@n#ahx4B*#m;Xo
znb-PRXUe%vm11W%r_5vhtTR1*W{KG8%^~w#FGXscH>#ZGkv`GJ`$?q2`A-#M9_f`O
zV#haISa~nrY+&X6I8tnG>qnigbCX`^bf*W}a4*^{W7WNIvxpV<!p%HZ-3vCe)LVH!
zie#9}`cbF;Tv3IXeY&BI_ru6vb6P*>)STP&T&L>Xq~|)#=?9C&4sO0<**$kN%RJT(
zI`!!vt-bF@{y4X(Tx{>=Cv#cf>y)Q&wD!Im`Qn^Wx!BIlN9MA=)5%XSwD!IodE?xs
zGO?|jm&|2-tCOB?XzhJ7a$UNj_30atN6rb=mx*oITr>CRYn|BihgPSrMQ%AasZ?yu
z=9sxhU+IJ<XUcNFjxg(Wy&PfG%X&FNuQ#<+XWFr#Or2H9p0eDpBK(dgSxvjNaYA2e
ziO!;APFe035q8HPSx!5*v7oQ@QiR#@MV8afY)t5TTBI}iSd!(mQyV>`xSvL-9T&>f
znUvfq!(IO*Lhd+IrcVE{M;2o4$(bf%ZpoQ4rx$HB=ySaoA=H~%sMD3~Xfn+?+0bN~
zQ?jDTG{<B?lW7jgo-*7EHY)TzJs-h${8742Te7B%_xz0leXZvszV*1CkNDJ+TA)*L
zOvz%Jb@E2zX$Lkk^gYejsY`w-&3!-O+p$IF)Anq9(EGIhov`baKMFx99!<;D-4y-(
z17j9O>{_>F*0f1+mPa3b6}`HC!^YJ&!!@*76`gFpv>)|bmz%5eHcRbTYU`)hhL`Rv
zUcK42sJoy!H0bb=H4}P6FCK~Xyr|^XCui&CrseV>WWkrNtQX-9>z8D0+H>a4lRsY1
zmusw4xw3A(Ox;oe{zdf*ZJGpr{ya6`)6=e{ro5r9K7QxtsXNzSxVd&=t?S!2+L`g;
z2i8?Yp4>9ag5C2%@)9NO<>70zVw<eQthQYHmbRixEB)DXfs6Tug7fXV%0CoD?u`0)
zOKP)InP{l*?5MR7iJ^|RTdzF#TJTUYX_10cn}Famhe;{zlhiGy$W&+*)ORiI$<awl
zW|a268g5kEdi7E`%l=F5{fUplrDQeLGt26pe%4;RJl^xK*V6S3eW}?xk>M<fD}Qq>
zT(2Sbt83AEhDUE}pYn0vjd*rU$$Z+5^#^)c^K|l)3#GkpN8C8J$xLkP#wES1xjO05
z6H{jHJXv}4RoCg$UJEW;SLtkgm$|oo($Nza7hLrT+p?;lt2H%gUDu>ptNhqL39o#S
zcg|PWKOz3+<;e_AI%&z8(x*#xQj#U5yKh7sI>ux+ZT-fI-lf?(G08Wjy01lSI@V-5
zZS}^8-lea!*TyIQJ+*9o#xJjB>l1#RTDpEs-O)?oTD7iUwO7VF{yp_F+-HB#FVRcu
zd+O?4zi2OvXZ(BWdAQB~OFu==tq=Hh>RGtS{z*SY&#aHBOT84Xvp?vksAv4bKc}9A
zE7iJw(w-RK_~+E)aE02Z7sF-tKYF3vyYJ8o?cVsvFQUiRYy9$Bv|gvq^`myjzM>b}
zZTo^=Xt(S$dZFF4Pw0hqXFTH<(Ie~s{5<tA{7=o%58Cyu@h?A%9$Nq5=c#$?U;Ol%
zx1Oht^?W$X{-WpFW&4DFh#pw~r}pT3?b`T<zfau@|FUn=chNoT@6;ZBr(GJq@b{@Z
z;ZODneHY!aeoyVux7xY!iN8<X3cs>%(l^m9>*v%SeWRTkzq79OjdpUp;BT)R;Rp78
z`YO79eMxQWYwhUxi@&_;uZ3^eSM*hM_4<(9rKQ?yqHk^y^^HEcMbsyH=a#8g*GAm(
zTDo>co@%M~ifGHbT`$ADwma>bdU0(B=u)c%(Le8WJrA?merTttSM<$0UC+Xdwm0pZ
zdU~zTt*)nGTHBQ}wWmbSyyLZGtw)|}k@ke>$~#<3*4o_adK@N`yYyn1L~i}kLhbHt
zhjxg%Mtg3VdUUNu9_z(0zTBf1!nkr<FNCq>x?Tul%2h4YZrIkeW2$|$<(;niYbElQ
z7HHRQbJ`(l7kzWHsBQGg%~KDqeQ>kuLD;*TqvyhywlnRRx_|AD+@<;2HPJV3cijv7
zw5@6T)ZJ@u<SxzAE{UFbyX#Kaqisyvr`B&@yCZjLu69mz<n69oVVAZwZJWAz?Tp-|
zIoj9PPRLEo(N2oyyv=nZY~Qv=Tc@sDTacTYtsND8@)p;%uyxy#woYBOdP`jESFPFW
z7QNJ(xvuG@*7S9oszklRSC)ugUA-iZ^{dwMaKpV`FGD@n8&!#3T-_4K`bBGg_{Tk7
z&qFQNJJr9`njL;|kJqzMgY`v~Q$52s?(upWsuJ7!No#U=XNl;^)h=<YpS1eJ8%w6T
zhZpYYTD;n17uVv|CUL13LnYQPdZE?1Zc~Nm(bWpOPAyz5vCC`WYJpv+7Odvj<+Wh7
zN*wEjP=WQIo@=#-e=HU~yjmn~>G@EO^@pBoRj*t0T&r@OQGJD|O}OBmQ*&3}*y;5k
zlwtj+a?$;(zr?n_*QyS`xZCSq=!bPh<)XV+Ux{sfr&Sz2aktl<&<E>2m5FX&y(PBw
ztyXq;;BK#5p%>N_m5FX%J%v;9LyE&0Zl7+hWxk>7ynL$#eokD%b!Bp|*7emBVpHE}
zC53bD=DHEOZ{4HPsq0o3)W@d2)`|*0xr^&s=(=@DrBhd}+~U_-sx^DrqD-xs%bGH^
zrZ3xMCF&i#(nR#?$|ZiRrCQ5_4d;5j4DncQWF>krc*%jlC+z}E{#gR6MSd=Pz{zlD
z%H`!feySx}3xa>n>3SYwwfvB0>!o0;<(n);&#p}IV=dO2KEc0p&Z#AC{)I>Ce@t=h
z_77BfaUpTRa)wRK>Ki_2w$;}8Km0sp|F-2<?jE&0`c|_jKPxjWIn|$0`1hw-T~9-_
zmMdjyO$nYk$7{(-551>FS`&jC=bU;RqTu`VVu;N0M;ThZ%MN8|^#(_ph#p(1G0SVw
zN*zDfLamM^8}yzQYfklk7}K?6sSi_Z*VFo?OB15JmM!(rQx%%(9BgPZ)ybRDMARvS
z&DZrp2$QdBp;p7PCX1=|!IpEn=C73STUwx1yUfW#)XqCI#%sY+j;K=$ma6!ro)7ur
zqk2AsZFy6=R+G2lmMKo&KaHnadlzmIIlfdz@9D)Lf#pf*T8+Vsb57k4`SWVoC3Dez
zE8qCmyXI?E1|RHJlF9-de_^yawCif1$zq$J=FM9;y}P}8bWW)yJyLXaJATY9=-Q%+
zU5(S6Ce2w=WG=dU<rUx7Jgws3iL<@#ggjXG$xL+n$}PUFxmwx5fwR4Cg<M!xWG1?K
z<rLqiR-&6$_V~KK(WvI&{u{t)>>Rw9$!zNSl@-2A>$A0Df^W|1x)!o&S(EA1)hi=>
zm%h?m>z_Dx(@V`+^CrF2oH4KHrRHk?jbEmC`3Kf|z4BPL!0zc6&1L?YwOlWQ-R3L(
znsQ-zgWc25n)Cc$)^I%!*04?etU1g7WDVD|V7>W9FEyw6udL}>vOK^p^^@krc}hR0
zoLKH)_w=LYgn6G{)NA(n7k-)I=AZaw%CY4-Ra}pQMQl|s28+#K^h3nO-|~xyv%lsS
z5hs7iFCvcqoL{CKS<X<!^(gqC&C?H>b@P&bOgXgtOC{IC;2$=r=Yzl4sGbjIo8R<Y
zv&nzwXAx_E&Kj-<!T;tx`aWgf@(;GD?=`FZPgZl?3w}2*>HCyj%P-j0r@qrH^6#wX
zx)XeF-lK0*wk_XaoBCEW%ipt_>sIi&c}d@<Y+4>O-|5?wjmtZ1Ro`eP`2Vcxx*oi1
z-l4Bk)-KPmReh});lH!0>uT_-X_t11Tw9tG)wOJCN)*?!r7=;bUIppsF1-{KGJVo6
zkt<8*=p8N5T<WbDd+J4y%XFb#A{Um{*XSKB)|~78FotXC(i}b4V$GS}2V+h>4N}uR
zTBJGEd*T+6Q%imHR4)aoOc&ZIa$>2C9_ytbIo+d$ntjtQWoY(HyR>79t9N2d*P^8=
zQKudSiA-<G&}^TUl%d%=%_&2(d74s&X5+L;J47739b-<-Un-+_^n4J{^q?J6?7S6Y
zxa#LGeG}O=Z|RfBQxAeZ=(rYWmQPDc*KG7=j5&2b=+CrE+eP**eWUA|uUYARF#6Qp
zpf}Tkwu|gqdPdhZPqWawG5XZ)pgYqpZ4=qHbd9cSu4bmUWAv$;L1(4~ZJY8s=)^Rm
zZ6X_&w&=3vXvTYgjPkl3v}4+)ts-lers%R}YleGotdH`#8W`1osA`I@`^AzeKJEug
zrg*zY+IB5n9Aee=Dln+OscOpQ#WUoVe$iOsE@|8KBG9RyscOpk#T9Z(KWof!Usy8b
z?BWbL)z2C;+;`fXTCzAoZs{kDNqtV0Q%){+vFdsfsMOC?Ipz3b2dh(y7hA|3y%;DZ
z+j=ojs(;f9jUM;<z>+C0?vXZKj{*f`pI!*$kzINrkV7{0LLiH*>V-g|ex?^19qvDi
zryO4V!?NpPAXopT=Nc{U4~wVRx?e1wV&g8!lb<lpyx;@xk<V;g`x?vdIauc>JdpnJ
zo$c4&#@c*^`_enUv%T8eSedVIPrBke+pWEgrTGeXr7ga*o!Z-2Sf8(ONBYM%wq1K0
zbMqB$OYiu`wrX!<X1>BL>56Y`v-UQo=0Et#t5VH;{GP)`bAva$EY-~W?>VeD-|(9E
zNfq<<dk$;O4PNsesbXG#&tbLshF7dPwTzMR2YmGxEE6}l%-!*lb<Y>3b^98o#U1d{
zU+{{1#xJHt`x=((FL=ov@r!9v{l139`U_rgOZ;ML+Sf2&f5CI^8$X$f_BBk2J8)J!
z;4|x)8b-tT1E<9cE^%l4WD48YFe%RAlz71>)}Aj+Ui%s*>MK0q{_%s!sftm4Z$qE{
zg2mz+E^?oE!J1RUD89F$M}NU0af6H8JAN=}?Q7_WJK(IpV4?Vi3)~(rSY^I2aaGkb
zGVg8Z)K^#_F7T1{&u1pNeGPSS4u{1zd|-X^nMr70LrvTPTm1#|#0}1KTl`>pRLRI1
zf52LQ!CY~J53DRTjDO<}>=U2xp7l;O<JY(Yd&C9av+k*8d>eOQm-vKttaGXvpT-^7
zAujNawWgZ!Zrp)w;uGGo##A$2jXSVKT;MIMO?@@v*|-Cn#6SFEnzXkeS%1L|Zi#P9
zO?w;S^%q>{zVVf*Xm3Nb{(@`VGrlq<?QICxUvQN>;_JVy`|8)czrXCe|K;D)U;aJ(
z<zH;o|FHY^-tXg=e)s?S*Sq$A!2SJ~zn}l|SG)GV$Nl{mzx#jr%U%25;r{;f-_L*k
z`?}`8#eI9P_xJ0c{a*g_U+TX48Sn2u{cZm8@8+8STKDZe-^)M!ZT|D0Yt?_1`}<FP
z=l}G#y5_&a-unJ~_Q$`Uzxez5i@(WV{+aHp@4jb$?7RQP-_u|Gjjs7Ga^K$N{r!dC
z&tLc*{^GClmw&uf|5^6dH{RQS<U9X`-|avC{jL1Zci-Or{rrc&!+-qyT3`8}<G#J!
z`}_00`=9?k{rO+%n*Sg6)Hl7qKll6jbHBxZ{A1i#U;jS-{_o@8|GnK?U-drz-tYGB
z|L*RsFMA(<_qY4^e`ojB7rl?a^PBzqzrB0w^WMkb{(b!0zqNbov);$w`rZER-`u_R
zY4782{w}Zmf8yT$jo<y>{N=9xf8gH!_219ezyABW>i>>=``3Q=fBpA#)&C9m_OJea
z{?*^rRez)6?XO+Wzx;an%de-u{7S6)tGBmq_4@fsudl!Kdik$kOZU~SSbzWJ>-1m0
zrtYg-vi|;y*XqB1weG81uzvpY*VljkD%@8$C2s%O>;9j=p04?85WoNQ_54e((|`U7
z-B&j$&i>T({7+x&yTAPM+*dboz5J8c-+%matokdrx2|vf{KePTUwnQ1#n<ebzhZmq
zde+ZhbY1`A>+L^&Y3{4*h}-YHe*VJi>o2@^fALlN%P-EVzf6stdsC9b&z9#*{w(|Y
zPt9=$*ZUhUUMfE$@>SM*?U%mDU0>q#?{BnkVB)Ph&LLTKoKJIKa`z0A`n}1sqvAKM
zT0NcTwd(0xRVR1sk+a<w?s4+ucdtmxm%7T@)u+!~xN>jP)@|F*@V?XSo_+dG&H5=;
z_V=|{XuPb}we_uCziQgO*NYZ?KXv2Ai@n?S?oBBuDk;;y8GBD|$&)22r%#_byKnj#
z{tJB%yxY{z%N;s2{mch}i#%^ty`!s7iq!8-?&*Cmq3r&ChVqi~H-?{NC(o%luAzP3
z@bqch_Y(8%+S=#LTd=58KXQR%E1#xXQclhj4$sL!&(mLIyv$q$>d@+Hee$mP%doeu
zWBvX4*WZ8m`uX!O$$fRL>*MEN@Bi@i@#kM}EB}7kQ&$<c|Df0E84}XcdlUQa85}o%
zb5WYD{v~g9&0&T68%~(}eB$l?(&oD_aZ3J-CFW~B@oug;thP6CdcMRn>1jWkT&vbc
zzn}VgZ`t1P_1}MejXQWR`$n~B_4?%ZQ{U{3yPtit+O&Fo`g_s0dzbAE-}-&mx44`4
zvTs+HR<F<3zxl%3?boEK`%;$a-+bxa_G{A8eJQK-BbRRPseh-ty885+dz*G|=XtMt
zy885&d#_(r@BI>Ixc9ox`_RkPcfZ6%-p_s=9rkrv<lfZqe93Fk)4op2+?yJmFL^!s
z+Sh57dsE}{C2vHFeVf*~H#PZv=<B_FRqI>sWiR}m^<uAF)%uQms~=UjR<AF7ANp?Z
zxxL}LzDIqJdwcKo-Rh&&>+37uhrZwYZg2R$@3+3k{k`}4el=^&`o{NH&sFdJ9LIWJ
zd){}eA8j8iPwMPVS*?F_>2#jU-qT*HUj8yEx9Vg>{HAN)qrS$iz4!WR_0g*Jk?&2<
zS6}@c_kB;e-TSE@_I|5e-}?UQhrPcl*SEj_dcNAVW_`oG>_^qSU*cHz)>XvWAG}`w
z{@m;I=U=&N{xZbx-+%r5`>(I7|Ne;EzxTTT`>&^~|9*(uzx(?6cVAam|9ug+f9G}o
zcVD}!|2~M@zy138w_mfX|K5n(zxBHR+ppf$e=o%C-+cZ2o3Gl{f7h*-zxmqy+po^O
zb&2ccZ@m8g^;hNIy4dyd*I#e{`YUs9UF3TCYp=_{{;H4MTNj!i|N8dvU*B@8ew*yA
zoq5mBC;$G^?fGBdZvOHuwCZ=r{e4%q^M8G7UHjYT{=Q4w`@g)EuKn$Df8T}e{9oSw
zuK8_qf8V+7{h!}1{_-t%U+t{?_-D7<e}0SIS3CKh-RbS?KfRs&<y+vs+R6FxOSb!e
zdfQ#|TjBn`<J<W!-TwZf{%vv1Z<)Qdz4z?g^5Y-h-u~ika?Nj%y|tb9_8r~s|M9K!
zmv6FFzj^l7w%)UI%D=y0yZ?pT;xFEM*ZgMKTicO;fByFOAKrfc{7rISZEJq~{O$c8
z-ah{P?QP}nFMDb$@9jIdo&Wr8^&j8vR{nmor?xR){=x0<-@pCbTU(nifB*LO@Acna
z?yarNm%n$r{QI|?duvPc<?r4$|Niad-rB-^`8&72fBUv`Z*6YA{O#M@zkOS|w>C3h
z{?_gCZ{KF_txe6p|MjhM_3vZ%>^5%KfAf~P`uDzjcI&sVfBp7x)$eWh?AC7AfBp7w
z)$eup>{f4I|LSRW?azq#eZKnhmrd8de7gPR)7@X{Kds$YGc9hPm;U@$r>Fn=v~XX|
za{c))Plx~dG;v?eV*U9qPK*Eg)VQx^zW)5@r?3C~RJgBZO5DD))BQg`JzewDAb#KJ
z>G_vVr~mvEy02zZoZYGE`JbM4fBEFOuV$jY{FBq)e|&PR`YE@!rcZzV;_2%zo<9EK
zX?D#|vAs1t`tuh}*RQ{Ldi#%0n)_-x;`TY~&tEuw{e{!+FP=(&`NUcElWA{Fr@s7x
z>HHs`{{H+)c3(|hoZaE+>pwhw{rQvNzM7i2eYX1Z=S|l?f7<-Vr-zk4S>pFu>(8G%
zUH`*V=9-`X;_UWMU;qB;<LaN^;_UWL*MI-?aP`l3adx|>uYdP+arMt<adtcFr|Z9a
z+FbqfUYy<b>FeJ<O|JfVEzWN1bp5waovVMIi?iE2ef^uKyZ6<k=-<C_TK(In*1a_e
z`uDG&e*X1S>E4<c{rlHWFaP=}b#G0C{{5?`)4zTS-CMo(z1-{F+h5+j{N-J0)pvuv
z)zk0oy;^?$((dq=cY|xc2i>>5QvUwSUE|vCUiJ5FFO}zixhq`z-RZvVh4S~G?|!cN
zZgt=GTzUVc-P?b@%iLEz^S#`&-Q_>u`Br_`xxe>Rx&Niz?myr8?yH`7&-P@w{-?Xm
zHQ(j#+j_jex48WL$GgQ}-kDZ?m)Kj~`~Kdd^8Sx^gTK5}t@<u@-}Y!Z|Ha+qFYX$D
zc_&)+onvox^Sydo$M<my%Jo0qW&ZL`abI=)y}gIa&!69&{^OnKzUtb0wuj35&+p#;
z{O;w?@19nEXS;7}^M2lg-Q~~kZvOm^bzgPE`+N6yKmY#j>)z^`_xJAYUjF^v)4kOd
z@9*8+o&NpZ)xFgv@9*8&t^WPp(Y@6L@9*8-{ruazt$V9;-ru{md-=C_OY8SmXS~06
zb9ef;cT@LPUoY=}b62|h`;mKlH<a_gx%<27`<{Dy*Om9bzI(gs`<8oq*Oc?WzPr2X
z`<i=uSB0<tdNsNBtJ&VFS#f)P*2^sme}8H9_Fu0etG;UOty;A{?$zq{U$5rwt6H``
z?&WItU$1)iRV`W{_hL2suUEDEs^+bad%pU3{m)l{RbS2GZO?}5f4+LS=Br-(UeERO
zo~{o6`O35Et493Zli~W8R;&Mf<+`tG!uorUSF^voTK(mf<-V%ExV>)c<rasp|9Ca}
z%PYfuRb6p=UDn@ww0ilESBh0%`Sw<|#qD)kFSjr}|H5kZ7gxEzyi(m))evWUB>esP
z)#X24{jB`TQy;(gQ26@utKEOR`dIn(+n%bbxV<*(?>$)E{`{(N&DVE(s><T_9th|E
zaP@cf*FSN4_l5Vrzk0j+>zBB_d&2qOU)^2(^-bK~UE%%juFkIh`Xp}ej&S~WSF5YP
z-ih10ExiBj)#&Q4SK{_=3Fm)%)w=rYnYg{1!r%XTHF0lM^7?r<R*Tnvd)2tNDt`UE
z>#MJSeO0)(Dti6AYpbV!eU-SkDt!ICtE<DmKHIvla!vldW#;~uXHS25_VAZyu~nbL
z?%R0h$1OGY|9aND_H)4fJ(tbTe>tmN``P3Eo{Q%GU(RyZes;LO=e+s(&u3rPe73l6
z<CTB!+3e*%pQY}roRNR;>1^|tXE)b;uGhM6<C!n_bhi1=XRcMBRqpROVb1^QY<10N
zg}s&i_iT=vpT9W!`iry4U!IxntL(mKbIjcT;_T@!&PLaK7P)WZl7DZZ`S}a8!(W_L
z{_>2s>NCsU%Eo(pj+pabnBD&4+26{~eD`ha^XEOB9sc9l*UHZv_igO*@69v!KR<i=
z^Rv=5pX)#Dscg!>H`o09x!K}Bo-yvLtk0i!fA;n7&pz(0tj?czZ}#->&mQirEYF{J
zcXs&qXBYQY7U$2qGh6)ovx9pp^YiE3o_+n>vyFQzv-9WOnmzs7vxR#r)AQ%uoE`q{
zS?qnAP3HM;&I(t5K6KA!gZcZ{XFpec-gVDroq7K2vzMzrZ@OnwzsCIitFxP{KCg<~
zb4|Se>(Sd^9%WX2G}>D+BW{nk{=HY-=`WA2{_<$$zKWIla<96}e?6MHuVSgb+{<qB
zUynNXRV>t(d(r*<=cCGf6?66Fo_D*yJbJt4qe=XpGvfT8kM6GdsJ6Fantt5VZuOT(
z7uS4Li{Im+KkrGm_|Hd<`zreN>*bb+zrWc1{Kq59s*h58D|+Jg923vK*nRxRBh9Lh
zLVGK^_2)h6Zhvvq`pYB9s*hZID_Y|AIO@+^Ag+I*d-{(@j8z{6;`i9=-+S2o{P|Ji
znvY!Zd+hY(9(Espe)RU|M=vWse%e#ftS>iD-2cPT-Jc)bto+CnZ*xHW{rjVzt3UpV
ztGC%Fp8x*n<?4^0;%xSazkhdhbM?npaW=ce^WPnvT>bG;oXrmL_iv9DSAV<}XR}Q_
z|LxJ>>W`P=Y_^EMe{<Bh`s1m%J+Hgnzdh>RTalz6ccYvA+oRgO6><7;*Sn8@eU!Vm
zB1%8*T6g=`N3nY=!t~>=R$u>hZ{xo5+4rn{-^VWdUe9;Ay8I>Rj31r7<x}rjU;VE4
zb+2>nd%yeEm%p$3vRAqGz1w~3i{JIW>}9TfZ+GAN{P%UA_dc$9ud%m$_WQZds;B?F
zm$<Kd`un>}zMuQFcXiEsmA&Ot-rs#vE&g)v<eK+N_pMKSfA?{3^Ot+JRqti)Tf4uH
zUHrZ8V)gYO_jIe?OVr=Dc6}ea=zHGBy}>o_1@@M=-?MgpAG`2---T-P7kh=j++(eJ
zFLdA9;l1pm>hI6@TGzb)v!}f6eeA>P>(BQp*S!C+r@Z=}we9=ZdEfIs>^=PX9_POD
z@_W|S?_(cSv;VmFcW-&!``G){$G_iuySKdReeAvJ_V4%Z?kz8SAA7gj{d@hrvwO>n
z-pAglX8(R~@80sf_p!IDkAJ(jc5ivs``BC6?ceUr-CLgaKK5pHdF}fX_jYgm?)PRd
zclG-N_ja%Ue(v?&*H!O#+}pkOyWi`*r>ov?xVL-t_j9lIuC96;6>oj*dfw&i<uA9M
z{&Fj^>aE`1veoP7F1^0)Qugv+x0dcJTe1G`%lho}U$>_2D_gSu?u%^oU$<KKl`U9*
z_j&g7pSMc)mCac%yYzbA=dG7(-WtVQpSk|-)7IHvZbj}Zo4S7PlIwk+w$843tF*Ul
z()!pZ+3Y`W+3qWw5VzZX{oKdulT-|q9%OQVy>{+m_VpKAlfT?D-B;EfXMOCt-^J|d
zFSbV4ycLPJc3EG4cj5JO7qY`&Y*qepi?`}6%iglaxZOvt^Igbp|8eVY<y*dZYy0(c
zA7+RDxb?O2El0ey-TJ%puKS(Op8kBRbj{ljd&-*D-<^B?+_`M=AGa9ymDR7Gdq4a7
z_gf$LmQ}BxdoO$X_gfG5mX)ucdpA4$`>l(6%Zk^}y^}5e{no*~W%=vp*5A&){_WPr
zy=B?!=ibVm{_WPny=Cd^=ibZ?|8^@j-g?vZyf<5gtKS}qv)*w1-RrHNtKRO4vtD;S
z@AcNpRc|-NS+BYN?$y@KRc}|_+jVVw-`BObzg)|#dTq3~bjH11-uZW5MW?@9yZXzu
zmHSFp=F7f{F8_6H=DyOU`LZvg&3|3%+*ew^FkkjX^!K0FD)*Jn&6j;1?f!D@?V8sn
z_jjGy&i8rk?wZ$XdrPO~$3Bf#f4O#X&1<#$yFBveK8Y6pdChTOX@9=#lI`y<MnC^?
z&9dsX)ZWsbd%KQp&$}3X{KqxTs@Fn$OS|*uK8kLCvDW&_HOZ>iTzgAf?(K5SpSxhY
z-i7GtKdv#>SG^Xvzso-V?!)Nk&(|8)yym*U%PwE`Vf69mYj1zP_OkNzr#+?3`Lgr2
z`+Zou`}4J%m9LrZTOHW`?)}=&)vtfuv)Z>k@BP}#)vrI@v)Z%$-Mh7$t6#smXSHj4
z-n+Gvt6x95XSHMdySHnLt6$%`XSHp6-rKdo)vqtzv)Z!#-J7+>)vxPM-P`p#+Wp(L
z-o2$s`LQ>m*}q+@-CG)$AA3Fe_}6Q>drPD8W3NTGf4vsFw=^t2_Nw>wUzaxSE14Z<
z<*OgNY&zd%@A8*RqibL4>@ArZXLWVD-q)qhwJ-hRtu9Yr_hqSa?Mt_KtBce1zAR;~
zeQ6hOb$<G~&r2WIywuoRGFyM{Gw=H8KQATjE19l;cggf~pO&t!d8x9uWQzXXC*I;O
zmrkyEsT6N@V*0y}OPjx3vaNb46L00NAG>&Z-$n21KQ8H3y_ATza@CJrG(GR*(%_nx
z0((o^<E)(ZV;4^EyWnm9VyW<#ORQBdh2pIo^kpA;e}BHzy5{AdJtb}Wu@AkkKVPa`
z^RoWOo|5V~D_i~8dDHVgEIs`B66d~>@;EDN{n!WI>_0C3-CI(pAA8^X`1eb1_m))Y
z$KLa9|9<K2-jXu?*t_2D-!GlrTT-MSd&iso`=z~mOY-z%Z+jpAc4_V2k}Un$Ti)&8
zF3sIrlBOSf)4RO(<%zgm8>jodS;}4g@<80K_0!M2URwXU>gA5OU2CWNy<U2{>g9&G
zU8|>`d$n|R)$^$Pme<PjE?X~uIrsFJbBR^Y_4XF8em`ev`MOKi%YU6)y03V}`#UeK
z(|?_ty03W2`#UeJ)qkC9-B-Ne{hjC5&wrjP-B&#4z0A_`yw7tl*E~16Z+WKt-KV*;
zznqKQS3LFooF(ObpXSc4sei7tw|LU~m?zfkKhN3jE1qy~r~CUkkFB4-n4A6OoMF{-
zsrx%!-^)C<UjAaP_m^|J`-;2X%PcB?cfmUS#a!(#=LD;sv+XTzy0_Eeeas_k_7`)V
zYo7nxQ{4W3&iwLoALeS;JpZ+)xaGaf{PMi>*2|yIJ^lF{@4n)SdzJ^w-<`8ge_lVA
zyXHB={hj;E&%K}fy88K#dpq}*`@Nrgy88KtdpmcRpL;iVb@lTX_jc|q_j@<DyZZTq
zdpoz6pL;tuyZZT!dpoz5`@Nm(UH$yRy`7uO&%K$eUHyFBdzqWo=HJeB?k!GyFLT5C
z``2@ody8Y=%UrkK{`Fku-r~sjGS{rjzn+WSTO7K+KIXOd@n5HMtDc(dEt(l;>9hXM
z((t^mQ#XG(6<YN)B!1_WaK5iot!tn9#P7Tm-uGpybnR1@_?;KR`Mym3UGvl?e&@OH
zzRyz^e>s)AuV~i#m}lDUKTpN(E1Dc<c{+UEr>T>_oC@4mG<ki@l5oFIQ@d-PD#Y(R
z9?o}3`}>Qj#Whc5>h~7)##y?pk9n-U{l(Penx`Uri#p?W9u4>VIMw;fDcP#0JbQ~;
z<1C%l-&qjucR^eH#Z>Q_rwn_GI@aHrAO7yc)X$$!N$x9ZT^}<)yzj%*$DdEVt$g}r
zPf=yu&V%86=e5;;oVr{2^v#~4#`Q7}w7-8p^>c4g?RuH}+S|XMdbzi#vVOhHJ?--E
zr*7^oDqSygSKIvisgrw)3fIfr(f<DJ)Xu#{x$9+aYj6K{YUSRd%=I$2w9CJpnz^?q
zb^V>MQ<bZq9*eWw7_RqbDs%PIeQ}oS!`Ho@`nc-pwm8eR;d-y99<F-2F3xgw__|lU
z*|m=&?(gu;pR>$d@3M6J%ii5zj;-BSIIaHP4zK(<ucW8{I<|0M;qv@BFQvnO9h<nX
zaB=>e7t-Rtjy3KpoS#4Ex%Bm)#|rlqPPw<^thwLk-qSUY4eswaZJu{YI{oLd(0zrI
z?pd5N&->Kd{pFbFzQT$5GEbzx|2XDY^;m9iVPF27#pdfSN*{mGn_cr*Y;R#t{+vbT
zdKaa)|2S5!xv#L}-VW#dISb9#U66Kv(JTGs7-!XEroDxo`7#U4`9Ajk{(MY!Ut!%n
zi^JyYKJ>o+d`xg(Va>fAw)u1Bnd_aGHve(#VdZ0%`#Y@j=gc+N`_Ri=^Z4IAi~Z*7
z-uFJPe*Ep8#a?s0_q~U!AHTb2vD<vzyWYjskDuMM*lDi!uD7|q`tiMc7Te9&z3ok|
zethko#a45@x4q8QkI&t+*lfP;P4Dh~g(>-WZb++tJJ!0lFd_fWb?N6{kCpB%jLE-q
zO?vs)W2t)!Bl7QDl}`V9EOc+dT78+<+}mHaUjA|@wd$e4-h%0IJFbeKyTl#-vNgE&
zVNkrq74dgpT8(QTdc|8@63?su(kfj0&?(;Hg7~}7t)FWiTE$zO6Ysmkz5VB*%zXtj
z^<|!Mm;XHETlG*Ue#a?szf0WiKM(otE0`E(aZ+6GQ)_e0L%Dbh5B)of#m{|gE&g)I
zwCbV6-hy8JJB!5oKDGvbIiy<kP%Pf!s5sw6?(!F{#$OJJRz2j{ThJV5;iw<8pk7?>
zV=MEQLyG$f>f?4C7C(2MJN?HY(R~HAaTbTf`_6N3f8Kie^P#7e582`^Z1m?m;4XjO
zy7}`V)_nyH`giVgKmUH{>)wJI{X6%#mw!L>bZ<e0{++wr>E91s-CIzif9DRj`u9Ue
z_ZAfB-?`2G{M(_edkb>(@7&^E{_W7xy#*Qicj|9)r++&%b#K9S@xC{$($x=-#O>H1
z&iAJEch$o^aXZ$D_q}etUG;EF+>SNke6L$~S3O)4w`0}!wO?zKYww%w&7XB|yU%;+
zW#8Xk`n~PfzR0TkT6^<Xy^ntNyY1J$x%={$y^ntR+wIrB-hKIt-bcUq&Gu_w?Y{hZ
z@1vjpKK658VAcJ4v-{>}zw3UkJzR5N@BVhr_p_h=4*R*!v+BOa{p}~e>t6b;_H&=>
zzWfRAZ$JLc_OiD6%Rb9}`F;1cyS<lQ{C(}m+UPI)4EN=C-P`W+{`RBa%YN)rth&#)
zH^1%PcBl8!3%}=H_^tM$mix;-)qVL5_soxce|!FS*^hlcEAR8%-+t)(+WPaq-G1!*
zSb6{3p8TqN+il+8e(<~Pd985G{darv%kFJI@SXQV?eFUQf9`GH_r3Rh?d|IOU+!(+
z^PTs7?e6OPZ|-g1^}Y99?d<CNPws8s@tyZwZFTkiJNLG4``-JuHoE%$m3!N_eCK^z
zYh8W+%)RZKzQ6soZ{ptk<oC00{1*GRuW@gFef;~`*MDF8y036=e)Rj<*M3j?x-W5W
ze)#*@SAU0n-L-XJ-kSBdmtFV0{Ce8U(!*bN#a7)7i#PXPAHDRt@7GfA+PeYq+b>@~
z`=wO7_O3_#_KVkjzm#&<-gSuIe*XH|&!w+x?pnl~d#%6y?DevryHfY%%~*f?>1(r>
zrJHN+YQ>v-uCJGV`r7R0F4wBND)HM-T<85%T3vHjVQ*f4ocZzVXD`0K_M$ZT%P!M>
zdEIg5$FBQcd_C<&X>`q9k$7{L^|u#ZKYQVI*o#u-FS~fF?y~I7YmD1|<T~$#*KI#`
z{jI#q7jJIAe)hxHVLx_#t-Q+-Z*I5#_Pp!9=U-2IUMgL4_rspNruFr==UzX1?zPyD
zU5xwk>etV{|N7eZT_5-6Rj;3Y@Ab6ryB_Y%D_=kR?(4AcyDsj{D_%eQ&TFynyAJNn
z%U?hH_Umikc5U37m%V=Wt=H4O?OM1uFMa*&o3F#Z?TU>z-*i3qO{sA8-9vHa8?L{7
zUHZA|?yflVb=PxWmtL;AyD83m&GomhO6xaQ-CcEW+qLbzUvqDN*_K&#+h}j@jC<R>
z^KZYpo%S+!^_Oic_vNn4mwt7-?ANxL`*N4&OTWBr_G??`zTAcR(l2g*`?;-hU+&y|
z>F2lIUgqAexovWP+nMdWpL2KD+*aG0J1sx@>20-_xr=LVtKHw`kw5#%ZLy!*9QWn+
z=Swfy{`O-1?Pou>SytVa+MC;RZ`-l$xfgFA`>{>4>bB6{-0u9@k8ZcU$hH2mO|t4X
z*WTQgd)plIXD`^Ud*Sx9AKMtKZVTMsW}koi;q7P7bB$|mbKT!&moNSB_Oa)=w?A)t
zS$X@@p4{eq>3Q3IKjiNIyzOS?ZKnHX2e!X`pZmG`_OE+p`?lx4ug|?)ef!frvpw72
zzRTTQef!ltvt8SB-{nrOzWwN)*^cdR-{uxq-@bLvY}@wSx4FU9w=dl@+p_)bn_T1S
z+o$erdwtvO+qT}lxk>raH*T|i+g7_bH!eT=`t4(1x8?55jmnR{cDwECw%EP7VfoQl
zPhb1BY2&_}*>PsR`q9g#^Ikq(_Od=Ty7s2d-khm%W>=@{eob|*z3CTkc6s{RFR9A4
zH{IgRE>73|lFD3r(=OiZ{PeY-Qy<sd)YzLdTYvVm)6;%#O5B$-UH|ry>1RKsuCBSM
zvNvan{_Q8H#a^aPuDPicZ+2q(+mETuUpCoR-IR$pbJvevJiYhg>1#hW=~ms8h&OZ9
zkFH-dJ@;d3aLrADy*cf1X3qN23#a#9IBoVKRrt#$)~cIA@n#PC(vMDmd!A}tbMw!h
zoHqUFho`SSPgSnD`D0H`b)1>4e)PQQxgSywf8NBoFQ+`t%vwMC!D+T1oBr<2snd_X
zfBM+>O>g(+ROv_GJKgqu)7`x}W%|)~PrH5Jbarn}QN4cjozraJH|^b<lcyhj`}DDI
zo7V2l$<mL$b-L}_rn!4_()6Qmo-V7sc_MDx#_7IqQn{;d9*Enve)`$hsjsVU?ugsA
zcDnED)YDZrH^gmQJ^k#f)YVnjqwbqtE6=^Wd)dp_(_hvlR$bTIo4xw|tfl2^FYR9T
zYu(a)*(=`PdbvC8*Se|o`?8n3zx86b+OKu3`?43jzx90gv!Clq_hrv{FSWEh_jBy!
zn(IdQP0y6S{S-U<%eu&Y*;C)oT2kKoDRy?vb)~)8lio)?+0FKIo$bEt3HP?Tzn}GZ
z_p=wV*<aQfR$Z66zt#1<)Z^XDUc`ETS*N=%yX(EwqVl&FcBj3F)&8<hu<AP7-umpO
zds`jeM?Koj_9E7~=K8-q+3oLV%`ZRuAy&KQ`ma6NE$^k~m*<|}z3h4H>CfwU_hnby
zGd)=T_T28Y=ds*1*BS0_-Cut8ee7${fXddr<-YG@Pgh_6aBu7G^0V(^S65$uac}F+
za^H8c-PPA0+}pan{OsG<?CR?`?rq&#?)x^@yT1DRg?n2!m!EwTt6hD4-FvB<yUo6>
z>)e~2_+IM9?r&e$RqoA>eJ^!=_qMO=GWTXjzL&bTyX@<_$i3O2>!V(;KK5%>Zq-$j
zy;(EkOnuhhS{k1FHFWcrRiRZ^L*lny3FrM9YF&HPCw}Xt@ZK+>(zRDz;<sK1=lv4;
zyXLA*{MK{fz4f0%7k^omyDw|j`lx5C+kUQ!-Ip~v&h&Kn+E1aAzpM(}mo<5P)RJ)D
zPodp4R~6#79uMcewEEkN(Bhh_GJCUn<4oPwM?GG>?L}yE%~g@TS)FlPkB0kx40Zmp
zO1A1M&)%%oI8&$fw-$u^URW*mBGkL)D#PBaj`g?Zhrj(0`uX!J$@+a+t?Q%ahxdL6
zef)XV+sdn7_GDGYZ9N#ydw#Xrk5zXoufExn)wo{j!Rl|{SN+_ZRl8p5{_1VtSH0Yu
zRk>d3-s-aNt8VViDqSyiceUB~RVVjm6|R@Mv-;b&RXg`)<*t{yy?WcXRV(*qWv-XH
zwYu!vs+oJUQrF-58me4<^;n$g#&F&GH=)ecSNFx4t`A@PI`nbX)opR6Yr}P4haRrF
zx-QOib@<v>zS*^xBkphU&7ZZ*T=(+qwwJ!Uzbsq3FLT<xEnfMvUd^8NYuUnmnalHM
zy__BPYuUtonTzvhy_hZbYgyyI%=!7Vp3lDab6MfO%qjP_oHh6T?0dTAvcdf=r_FOO
z%})EdtUh#K=A?Tjr_6Id`F4L<=D9C(V!qUq+24LFbF8{7w>Psdf7W92wHId}d*Pd1
zb6IR}W>5aCMdrE}XK(wlOmkmm$Gt7i`Lh<9ue~ta?S-%Omt~w)mznlvcIHbhFz5Z~
z`}^}U*?pOH_e>6(ul?Zr`tvfueVH})w%F#+nrE(iezw_<W%Um$FSFd=Vx2#0uDR|9
zU*?+2|L&RWH(&eS_i^>*Z}&|0n(MyzJzRbH-93}t=4;>iF0Q`(?4HR^bKQ5o&DEFh
z-80#4zV@wea`okF_e{2$>%R4MuD*Qkp2=qOwQqcP@5@Zdzjb4_+P7t`dovUAZ(X1L
z?CY}9y_qrjx30}z_H|k6-pu-l{99LNr+r-(x;JC3zSQgPZ7*Ffe_51Tb<tpN#`L%?
zSH;g>>JEG98eDrZDBk3X_}ee8#<dr{;!Q4z=YDY&uD$3KZ*oEW?Pu4|H5aYoP0oq;
zUh3ZVb5Z8LjG6jU&$`QgF7mCqs1v{Cl(_GuZnvL{eD`Hcj59eYuKUTgx#pr=e7%W>
z{;kF0XFs|Ye_3Q&bx~q(Mz8*@MdH05U4y?YQmwiu7H@J?ocCgP*$Y?WFN;L0E^_S6
zXpS>+)Q?&quKUrI`O6~3eHry}TMmn#J>Q-7W0B~-jM_MpL*l*XySF`ez5IF6)5?o%
z@g_F<vmSJpJ$K#wc@gWrj0XK%_q(5cU-WfveMXJ`t$W?ezAt*ZH={!T*4^&3?~AVP
z%_z~ob*EeH`=X<JGYa%?-R^$&ZPC`f89Dm5ZgnsFwrJ_zj12u-H@nlmEt<MF<GOh7
z8&~P-i$~(NY!K&t<NCYm;-0uI>%@CsyWXz4xFv4O8gbs&uDh!)u8G^S>ie3nw#l{U
z&Gx3xy0_WqeZAze?{6+uZ~HYbvg*9n-t<-PBVSdw{hBv-U;485kuR&=e$DIMm%iwI
z<cn&yU-N4BrO$gG`Mmnr&v}7W=gsaLpZ%`$+4gYFdA<9aJ>SoKS{?Rto@dp0jr*HV
ze%HBFt@d-C>%Q~}?{7Y?W_xK{{biozzVyC(o88_^F8;peqiyt;d4~1-(!1_$c6opE
zQT4JP^AxMj^X*M<ySLftz2w60ITxzcUf6PfnWwrhz2Tnmk?(KLSC{>m_p|am&;8AZ
zzOOl7?e=5d$IA2H_M}(c+idgx=7Z|C=eEK%=ilu~FT1z-z;~Vxw!f>-|GBq$-}j#P
zwzsR#f4R4L&v%~pw!5p(zqz-0*Y}=x^|rIC&p)}hdB=C2ced5l=kMIxyzP6>TifXB
z^H=U|-twL2t*v$S`7`%6Z~Fe`*Sv{))05xNyiqOoZC>Nv^!WEPuUB9DI<IhVdi495
z*Q%#|otL;bJ^cO5tJPs&XKme=wr2g!W!HT!XHR=+dicw%*s8N(@y6ckBbQ$H`D*H2
zdp00`^X2;MXTF$f*Piu=-+b}9&lgkf+OrPvo6lcA^V#%u%~^|hW3Tl$pJgxmIV*Kv
z+Klx#pJtoAG~HZtRx94vbG_u#Y_p%UT&vEi#BV-vo#&Hjb<J6Yy=nb%#>cOpxtM+J
zg=zAaS*H8ay5o$GUH7?|J?({Qbj?|jcw?9KHy2(%b0ItIg=xL=msz}3XIb{9HO6f|
za-HWwcH56xe=E=O#T(nNpZPF5?8mIHm1jBPjqTRooOj*leD<{GrqVTMKkP|sT7Pry
z^)u(P#eU3U+?Q6re&+q`Yu{&m+?!Ute&)UGY2Rl(+?!Uue&*fmu<x@j?oBIRKl4tu
z*!Nin_on5qpLsj`+P7I7_oijnub+7<d)l{I3-_j_ub+7{JM7!6*m&bj*K^*O3Rj;!
z6lc8Q`kU9LpR3O9iZfn!J?FLQ<*Kur;*8f^fAh+8bJf{Z_cmSI-t$%W_LpgyRi};i
zrp~yx$vgk%tLU_sx~soTTe&ZFWxnLA=(1naX6{Q}nlJe>+U(b~&V8v1^Ce$IfBQMD
zvVLFc+<eLB(QYqwZ`YhQxxeYmcAn3=yK7FX?M<DQANe#|?WOMGn$v3cH+kgGd=f48
zbDHD6)c$<QCEMRzjDGfGnq}2#slBN^_ck5do^vt!*pF$NRi}margrDgd=%aGLf87s
zG|8&dTzgYn?rn0+pSfVW&V}e{Kc+EOoff#i$v*$)!}{oF&vlJ!PIKMgWS1}bF#6bY
z-P@n1y{tU_X-{f%zT~{^J|A><f1Y-;@-)+ZqXXODyx09)efrluqkY?R-s@hjKK<#Q
z(Vp#Z-sx_xKK<&R(XQ<|?{p_upMG@DXvg+9Z*_~SPv5#{v~7FNTixL5)0gfUZQ1_j
zjjnO^=~MSMy^ePKHm$dQZ)#G0<c(;yZ_{e`rpDz*UXMQZbz1J;)TsQ(Yte0Ar^W6~
z4a<+bTAf?Fe$D&R%hgxE#2N1m_j&*IQgv+Y`f2yFmwsRMD=zrH_N!{!+V#ucZ~d}&
z(!T2#zia)9bH1<rqWW*m`g!lSe%@QOFWl>W>F2#!`>vn)-t{w1|NiSG-?M(!$7$bR
zz2v*s&p7q_*-O4_{ftw-ul=ODwr2f=d#@LNfAu3ycJFn!_fs!c=hm$6zNh`TI`m7N
z@ZRf3zpwfcC%E^z^ZTn8s&&7_aqhkD_&)RkXlaq~eeDI`yMDy|-*f%&_gByNO6|LT
z==-hbdxiFe+r2LZFHrit=la3#UC;M&?W@0T4O;8OTeH65{nz(<f9<`#@B69mao_K)
zzE^#=dVR(FukZHW+IxN1cdzep&+o0iQ{7v=zTo}Ww|jT(y}s@Hsc&)D@2$R7?OVM*
z<Nen+duQ#vzUg~a?fR7WrEm6j?Y+L?JJ+|k{r6U1uYOy#KIVPt>%Ccfudn&u^)+t&
zz13H%*H)dZkBT?CHa+LE_p+C&r@u@}tU9R&T4*(M>GU<1yqAF&imd>x8A}5%A6o)i
zNv8H|QtQ5y1^PFidq4X*sdQh;9DT{9({nznUamQ56mN89`kPOxv%gG=+?O&{f98_u
zJ)cx(*PK+^n=(m1@`*Rw&q=oXQYOT0a@U{v*!$Uwde!VNlMJg)O2uz-)t7wiz3hdm
z_m@e!`%=2}B^OP9bHO|9g{t<KNrF`;+4iP1#cguXk9_3K_CnRU=H$OUDed|*=TATL
zK~=lv<gYy`E&7u4r{|paUiMt|^yf*u`%)_6j1EqJbIv>Mxhi+fNrw1M`=_6Iull<B
z<d3*bd#C%nS3O-_fAT}zrrp!eyi;9Weey-zrk&G$-l=w1pL`IvY5VjuZ&kCaPu_^z
zv~{}ATUGDslNaJPZJvJSjjDF_$#wdYH@(fiP3qj6lBh3v!~5IUNtJt3V)Z4jdvE(X
zDRXa1q`u@e@3OCxBKM|*zK?iqee73XZq;#<y~#808T!1xv9vtrtL)}4^?jjL$3yOK
zyi(5dRo1%pxX=BKm&$v-$V%59ce%guLOIVD+21wCZSHS8SKjkkcJY_K+<nQj-bXyM
zZu{96yDxe2J;T%GYd*<N{?Zq?FM0C&h$ZDdpJcmhjw{^Xc)XnFlJ&P2vc)yWW%efb
z-ZON2AMx0F+Y8y`n&TpSlRNKiJX-Ek|54WYOP_4jah|=&t@jL_-rrbI?sLIf?1ij%
z&2fgk$sO-+%rAfQLH6_KKFNK_t?wh|m-l>-ef+uaZRPPVdy*^fZ9G`cbKY9*N8jDb
z<8SsPH@=s6VEyfT-_O0tweKbFTW|Z`_i}G?<$H;H)@9%OZthJkeJ^p>+U$GZ$-T*i
z?<MZkTYvl3w{vfD?t6*b*4w`It=yZO`Cj6db=kMRnR}B{-{1Hut6Y8j*geCI<vMR<
znX8ZQyJxt*e9ddw$5qF--7{QUuJc;<aMkg3_Y7B;uX!b!U3)YlexvXD8Oy?TE^D{F
z6y5!$Ywf<IX>l99*3WpQJ?&T5!hK20*UxyV9rmkh;=ZKC_3LN6&=&jE)wnNd{`wiu
zwXgl`D%_VeC2r%{aG%ejr)!QH#BV$uo^we%?Ppi$zNATUhNr@FK8bdJ>GIr{G;zJe
z6YXz5x*V&H%I!_+TR&rQ_?nB_$6knL*Blkwo7A&@#-ebYi`v_MbZPEO>WJIuyne>Q
z@HH2--Cl@Ff9c|^I?A-SKB;rP#DZ|1kD|XncggNcs*5u`9KPm*=<Cm2g8Pzc;x^i@
zpD{07=e)MrkFJN6M_J-GTCblmH(cj~D09uxe{qKU!`HkQeO!I?Tb$wEaGm#}hpUgi
zi!<CEzUH0i;_9Q%;tY3&>%0?fu0DD%&TxD9nzy3K)km+z8Ey^Nc`NE%ee_&?oZ;s1
zHE%?B?@LNqf8&O>+PALOy-5k{Z(P@Y_O+{YZ&J+q8`rd#eeFu!n-sDB##QaKuU(;g
z6W8WTyq4bflK1kLw$!S_2742y-`jB2{LCfku$R2SwTFZ58(cAe^M%*A_ORD|gG=T)
zUwDOU4?EpAxM2R~Gw<h`!&dhV&YAaIlHOMTvn_L9;!MyQ*Rr2&zEy{H?r%6{?sG}n
z?Pr_szQl?53{INseBy1cIV^YIz$5?0V)HW}d5gcanN}T^*qhj!e`Aq(&qv<iFKwz-
zhsEw295v^;C|&k~*Z51DXw_kky@}2D3>@<#7MSaN<YoTSrnoP${@#Ye=4Z}Jr~POX
z-IrKff6w5MdCz(2ZO?fxe{OqPd6@0KfldC52hwHFc{hJ<W8Ih7kbmR8^t116U-u@~
z<lnd_z3hA2)4hom`8V!Lr+sg`x;L>T|Hd6@weM|5_a+wP-?%OP>|5K`y@@&bH*QHU
z`_{H}Z(>INjhoVG-`b|`O}uX2^M+Tt`tXr^8#b8pyy5*_Uv+rTy$$QkdtUS2t~$Ks
z-i9^iJg<3oR~=q+Z^Je5p0BL8zcgi59W>aRFg?z|TR&o{xX)Ks@7jX_@f$9SpZUV7
zU3<_Ye#1p^pD(Q3wFm9u4bF?N`ONyb=Ag#jgxUHto^en6*_601VY>c}CE{m3v97K;
zsIoU<ivEo!++r_TC)XUTSBf_{A^zqgYx9>T+p2>y@dobt5sSrpE^=>s!J1riP-t&L
zxBiSr+-)ydt-mx$RvqNpo6r)s!Ev_7q$3>->Y8n0J{P*T{%T^aIw%xx;Gi$@X!g|a
zX%F{C*2n31>We*{UHYTxXXWN~_jFd9uYSd9Tyu~seuJI9#6#|5&si^jZmNG;d5|q$
z=YlxTd2Y2IO?N8~zS$Gmn1Ag)x7d#+#(m-4_q30F_qxda?R(V5ePOfXw0+lyE)zfV
zeyddNT9^3M7p`+{dC&T|dUM2moz>yl@An$jtpBkmygJTcuec8X`@LB;2j9gR>=s}1
zj&*VM!Dn#>JH>V0u{Kv9yccJ%U3|@3*81e?gV*8=wu<Y#Wp%DTcrMOhv-p}fth@Im
zr0Czc!L9bKsdaBcg8q%`+|Rx?mF`W5(Z6wxd)e2f)V&E2`Zum}r+sY--5bAlz1ZvD
zTVMXY^rbGfYO}(99naI|*_T$E{>bXw8<l+h`|C^HtuOyZ)$Z5X8$b1){?+f=U;jGQ
z?)ST|Uw`@g>MwtlYWKU{Uw`2{_m{uFYWCaQUw`g<_vgQhzSQOJi=XvA{Mql;pLMbO
z;wRtJKmC36r@xcF)CKN~pZq?2$#?Hhf4ge-E8Jgy{5$uh-(O$+Evnfsvp2r?p1#}r
z@W;QmzWAF|vtMLyeCNION56Z2{Ok0kPPS@4&))dfd-_iAuP><o?tS6+)E{+>Rr>|*
zueX1H{o(JY&;J_L?B}|_-tN8l!{0}r|Go9O?q%ivPkZ8<-;2-t?)~BKuFrKhEB7<q
z*FW(6_4~h{s`vl8r@!xe_WQq=s`r1or@!a>>vw-QRquawPk-0<?00`BRquZkx8{ic
zj_<GE{w=EBf9sz9w(r?*{{~g>zf^xuf6MpRZ~hup?>}{K{cEJ#V#II$HvLxDxi>!X
zz4(paU%%E>?v0OqFMj>^)~|J$d*dVDi(mU)`n4_+6#C+?UvGW+_0pHB)T+G(d*i0Z
zt-pHx^rhFKFTV!W?hT69zjFQbm#<p2dp+XUU%c-9<tx`*$Tbtcs_Gl}#m!$o{rT&w
zKdTD&#Z8G@fA+ff=dY(~_8P>mKYcy>((BZpRiXPrC+CMQ+3r<jHEY@COsh4QGxz#k
zEmheYH)Z|xC$B|cew|daS1Dfq#P!!7zcziTvaQ-H6R+>SK78@@?u)Ol{;1Ne+A9&S
z@47yG(d(F4_SaUC(bofapSzWvYFYmy>S^U#w!L93ajTuyPhEKZ)rIJ-Kf+X#YF3Nw
z4K2T?b#Ob^`DoK0VNWYp``y>NvR!NIrD)clVXkrPpgTT<UsyG*D=wGa^ZH4w`+KWu
z(9JTX-$9qmRD$lCIr=rKbZ=N(LT8+|>w3}0*;`+1T~)JjL!8bQaqYK9qiQx@tB=z;
zdY${?>(UorjlNWgR_*238`m7C@3=mE!FBDAUzxsCDejA_k6VBE`swqpQ-4&6?u)C9
z(?4{*`~2&z&%a*!T=leaFI&96&HCvNUY9=qy6JNj>%O>#_1Eurr+!Te-5Xb@A9nxs
z(eG7n_r_JN55M=i^n2CKy>X@M#qYj0{a$r)Z+%?hdht82zkaLQxi>C%z4-0dOTSeu
zW$nmZA@W?pci~}485aYtM1cniO9YCzG-t6fTozzpkl^5AVLN>M@tRI{5eDsZHmf~U
z7TR<y6lpVb6I|Tk$RNSMpk%;c!pw7<;Q@zU9)pMQj+)2ajBmVNm`l&x-+oH};YZWS
zzvXAtuDDoVRb^?b*|M)Tr!GIlF6zMJ_nLJ^2h+LtKJUFfecFxl5w$a){5?CfdR^1D
z$$F{Be^wb!ij$YQ+x+6P!;6YPXIQ@YsJp#meiGPzJaXel<Ay>jt!M3LCNMm+ii*oy
z6T^J!|Dh)V5;NUee3WeRtW)kCJbiJ|)5XOZO%KI+@?0J$_Sf%!`CvB7-rI_AZ1?po
zdTpIEw`I-E$60)#)019VGQTsrRd@W>PsdwJ45Zm_o>ly|i=nK4oqy76iw9{|cb4&H
zmp5Js_c~^6^s)Q$2b0GS_6QYl+$`7q8YlE|-Q<t;T5?WDUQU?FW3c`3Q`?9>qvm}V
zpR0U2Gta8{$WE2qd6S<BrPkLu&-h$2vqdL(9-{y!(}KqTi@)rj@|S<of3ENQ1O9tF
z^Y{OgcrSj^xAhVAy<hG>_~x1Bf9mzlHT#+`pEvwvF#mtjXUkRo^ItN%>~DU~f9cPh
zr}roSap*hm`jNBkzVC<QmwwN?6CeCLBFW$7b!N=|{#W_Ne`md@|MVwf*7=j43{~p)
zANu&bi)Dc%hlUkj17Bjpp#TOGMn<kf3>R3LG(?yh#26fS|FJ);*ZdXF@?Z4Le`l>P
z{K~IcLS&t;WSi7lR;=e#t$9)RESgExzSXs$PV>!iCUdq0Emxiz8{hlaee~ok1)JQt
zykB2bpXoXO{;l<&AO4?PH>bQ<zguZ?!=|IQ^{X@XF=un<s1_ZXrd@C`Huwx*aHroo
zMOW9(FFX}#i)MM2&-kIH(>ndz?k8s1O^05UKCRpm*nWM%hK{(;+uUM|*IU$yKRjT(
zvEyQLwsGaoMSgFS&h0nzUz4b{`s&mJzNcR-k#2RBI`w7l(#3k`*qmm$IP6?wWOLoC
zEUNG8flRadAgR5Z6y-O@znH}OEVuab)mi@@O%TlPpC!-a6Z7d>#Y5|Vn!QH^?f7fY
zviVQF#H8Z$>{Mdht<##({4=jv9Qnk>y|8L_XvH}NIqzj5*{kj(Y>N%C*>O!sWwyG-
zodYdDSYJm^eQ&~*F8FWu$tQD^Hw!<O;D5YKZ%+EVXMNX}iKf&`eV=nuLOpZJp2kfP
zrwrF?M8C@_7jtJ_GiTn)&(ki2>E_10zwse><+;}Ibq#B@_EreFto^d<_th*z!+y;$
zJ?S5l7ixKZT(o6}#Vys7v%HnvqxUuUR&76C+-Q;OD!4$HL+rhn!@Pev)~kdb-+sA+
zefQ;!CsfLJ?Q^$|J+Em!_h`L$?b^%RRCh73&Zt_@bS0>5IZwCp1!2!)XZX$Dd^>Cx
zEiYHay@%^`DFfR_1sB^D7B#%04#w6z5-a*Tzis%CpqG9BM)T_*t7STBoa2gi?25eU
zToCz|k)ty6hhg+qj?@csM!sS!oe6nvZa072YnFR@;PB%|4ma2qbTb%a1-T!q4_VS5
zvVHpe$=0U>ANPLn>nmbbsg!)Mq4ClVMoWqRY@3g3dIm2ymVLZf{(9=kv>91P947vn
z*~s}M;-$35bY|Y$F{WynLFd2B_&e#LWU$|YBgt!CZ>T-k%o~+6!<uR9$rzo7huEZY
zKi=X!=~(4ZRQqIW;7&2~M<SA8r;aZ;BL2L-J8;{NsH`l*w>z!9%iij8M=~gUK2v)4
z^Crg7>Q~k~S3P?1ZO_d4>q^fxZAdz7Eif(n=J|NZpoo-P?*y+EcuR3e-PmZXk&*a)
z{#N76-IDQ3eeK15SH1t#eKKfm+5zF^i{3ptKT#u-OYCus|CaELKT7!Lei18P-*;-G
zgzDs4bNl)k$!z5tqVof+Ryl2%xp}4Ond<dtx^pf(dM5ID>d}a^2lnhcwEDC}ShsKT
zqqeENS2{KI7w6Q=_Jto0ul+J*?~O+SVk!SiuX<lTnx`LCy>W(irfKV};-_sV)ZEjp
zQ)jZIEY_9o3!eS{6mzh1s*u@_iN;2Skrq;i1G46|aGK0DufGvHG4a=Q<t34q4yl_f
z%k2AAQ{48kihYa4PQE4GvUvvl&vV5t8LVYDIJlWd;M~Tw4_Iu{whBrt`#yKkp(#rX
zRn8qv^p%<Eto){SmOSS^3;Be{Me}tIeYGw7qhPg#)!_5#Tk&ri5}h{)?wz+n_Y;d@
zbJ-G2O>0frLmfd~i&V1eXT4EVI%#sOLsd<F^1_p6)h@g|w`{@W*GroG78TT-Vpy8)
zrT^r#!HOp@Enf8=iS4*@;9lSajz=&2Swi@O+;%S()?DbmcsYlmmYQZa^Q7H9{>^XJ
z2<;X={{KR6=&^-~Up8DgU=*;aJVo-iq_*7kmdk~Y<7FNj8vc7fL;vwjspA~=FHPq?
z`q5OIV>G2Qy}^F*@zTd#VP<ySa~YpYZu01FSB(sRWNpD(W8Nq6{P5`wvp$HgVTj)@
z|I*^_0(R~vm)5dKPusKjSI1+Io(ZwZJco84SXkR570|}aG>M%xq5W@@Dbo>F4Np^*
zFBvCyO#J>kfXP(3&nMU8Mu@2HN&|m=(|Wb(H~3a6uJpQXADUR<+0Of1wQ99@?2(r(
z7BNe082$L=4&9n@N%g|2lG2mg{9eAQp0aM!KBc9{SDg4P+q*QRP33$=qa2I$rMrI@
z&t7(CpS<c_+h~TsgWq=gu05OM-IV+9+vc@gxuqY@_4=DhU6^hfbjs$lTJB><1&O-0
zyKR9F>x(0zA~+M{_;++P6k5D|Ui>O)M(}s7h*$HRckbTkv2OP}HlK5Q-gh=1sGcrR
zdfaEhu2*i2k7H%Ilpe<JUHZCwvL?r#tDMIA(y5Kp%`@Lf{e7aC-_kT`cit4XdxkQn
zuH8_d$R7CLq)fp`<h&)5H)HF<eI}E4pS4n6wr@g9--@vMCHp>BM{Ym3vG&!AliNIs
z86vH>oLlj*z~23J;tvPuyE6ZJ^l~HYq-3-tS#JlpuS)GOkvEm`atl3blN0^lGQoQC
zgLm`Px9nD)oEUpDcR_*C&Dwbz-ab-w_$zX<dphU0tIVCZ1vYM-A^kp{HBfs=+qua<
zzTFjCzwni(l<o8>f#vlZf?4e+g*}kDyXY40w7vH;?%jE-$0{`^Cv(=*gcaFy(p%hj
z@42^qm(j6F>0z%r&-5R*-FHj><mA1)AD6ZGPx{K~F4SPxz%hmE|KpSozQ=ETX=_ON
z-xYTx_e<YlySARiYkS&z<L=3R;kjMFU}})Zn!UTQ-Sxd-MLmO5W9rf)y!F*{k1!TE
zRNNFUTlcE%uao+j-GAcu{|K91?msiWXGZzq>G3Rj@7<=w3uwRZ(%gSyefa!6)-M)6
z5Z~8VU#_q8iP^Y!)~i=%JxpSMv)olEpZ>|}Ze~iw$z<>T-xr#mFZ>;}cITUCk=yiw
zzvb<7`%~>zY{PmZPPa2Ke1GOy)87nP^%hm9SRZflcp4S0wki3`l(WtSH=S*#8U%cu
zx7=mjww`mhAKabfzPaGCLha#&NoKcFuV<EBQOYyFadIy2L#CDHK3<!j>wBlkJUmwy
zdG?vwdGo5ROB~d64!o1!HgWa-h~+6&dRzRyzFhR-ot>vawZQG0Ch=$LgkHC}iL336
z`Fg^w{(Hr}nB=*M%b8Yr|Jfa1YUcc)?2`MObrb5V9xl4~|FWT(-hQ9!0{1U2m3yLh
zuG)C>aj{E@J4-XS<gIzp{#@1jZb-G!x31D7YRkht>Ye6mUEZlts_o!nTYtcL>Mnt=
zRdvn#vb|&D*Rz*j>RwQKptJO6%-z2Y%U@Kb)xIvfSk+fAcK(OgT)n{W@vnsZYA@ZI
z-mviBOy8qH<?A0rT{*bmm&gy(rSrtkF4-D$OZE97mcTs26g$3cmu}?zpT2AAiuZ3)
zlHQhj@%Y_ZziHD1>l7_s%~$)T?>fEq{civD5m$6$_dZ*0?sVnimaZWGb@BoG56`Z#
z$XB_u{HomP+qsR;cV4NiU%KM*^6vR1e;2BAR&IJ}yW+fXt<}K?w=C~BtTa0r`bXn|
z2BV(RikgHrvk836%!iemg&XvL#kuDHFf?!asWK^iBKM@%c^0aj-wk4zo3G#BlkjZO
zwCkQnCvV=l-ekJ=r_+<J|JiZ6=lP@xQ>#V(vwq3toBdz?wCl-Tn|ld!)LuNTzjD{|
ze!HEm)+??++u-M>dt~RwPQCB@@Gs|E#URexD^7njC~(=o{y+`a$waff2^HNBk3Q%t
zsQP@S;@@+N`g>1zp4O_Z*%vwQMN`dz;wumT`tVM9_;2f-nx{+6=B<3R<i;bhlYL&E
zwJ)D#n4Fz#*|+#k(8S-XrrYoRW;(6v%6_ZB`sreesy>Q2EMJiw6Bt|56c)Mcf0f^w
zsZ~kcRr>;Cy^e>hzH<AE3Wsag3jXge?<gvm>iv{U`&IW><p=Ym*NfAB9a}EGoISGN
zsMvPbx^FM758qpIsrpiOAbb6;sZ+XiYacC-{{Az{&)?KP;pHy-yC%Q3`RE5;H+pH?
zz@KFi+aOzi|8MBB^ui*Mi(gL&8AkRSJ^g-}eW^?A1-qW-tNx$fwwkZ^)idTrACF$`
zZ~9-y*tF@@La+UOTkO<iWp};#xjN;*fy6zb-}zU~_GpZI|M6XEqhy)u*GFHxJht1+
zGnf}Hd*hGt6TX@6lKy&JS-Y{$<Mq*NrO&^#To7iOYxi!-t}Pbz@6Q?kJ+$S@??;zo
z3ufN46<vC-OXK0`lDDtiuGnv2w{l&1`SoN`(NZn%zrTKQ$t?Q&MdPVX_b;gx?_T*G
zTf(uRibJSB{K`SuKYCm2)_-e@6E}XDYP0^rixp3k{NAm;r}BK`lRVv@U$(tcO!JF=
z#pV#VSyuk^7n5~)lAncNS3Rz(=lE*%_1?65e{I5+Ss%Kz_)gW8iU%K7_3AlJ{Z+SD
zd$;da_3JNYK0b3SRjYLC^UBYY)D9)@WoA<1H4sVYWIochS9KM4hwg`R$I`jpe`Nw<
z?{u5Hmo~q$dZ~EVa@GG>j*#|%SBLWM8uV0Ot+uV6)Bp3?3f0{|a*Ve#GL}|sne(rn
z|JsWmA6}Nd;EKH~!0_IDxw?wI>|5iwSB$$O?lSW47JT?Ca$)16uonS>vf<yo=A5~=
zd#T}yN|t9aTK(O7%76S^yf*s_=ia*yzwF6BQoF+aP(>VvFQeQOAIEDaQX-GI&54?8
zlD{sXx^Z@f;M(9Q1N$i+9ZYE<QQfouiUi(2xU61dvm<k)^7-RVZy##csLkwuCqGg8
z!aaEt)yuCeGu9X_<Bf}cD|62BY3VPm#Yfcl%zmXisjagt-DmD?Jzvc!KU^GVWrf@5
zE}kgGr2biHuFRo1(^ooYy?eo_^7O|32bG3KGUfNTu|0WxN8)|W^{hb2tQD^d`QKew
z(dD8kCwQadYJLCy8LCV9#Ig<SbSD|kRbu?D|NE9i(G?3D*Vq+FPo9L&aY*_!=TcjC
z=7p0Q%LU4Rwl&Q@SsUf*&}qB4MI^KQ1%m_kA*KMeuw276Cq*wUU2n7W)9hc>f=u6|
z<hFiW<(ZPp*$})$=$i5GmaG0bTc$}LeQ`o)x~hK3%~=fQ-EZgAzuBoj$;Wj`hvyvc
z;>5o!?k9vUq}g>@FLG@6mRLGP!D!-)Lk3PkD%w6r9_-qr&yv)4@5;YBOQue`#Hsf9
z^|a<j=CvClYF0ALjoac_^=W?OME5+_OKq}Ww|#D5FV@@t<MoY$n_SwHZcdo2!c;R!
z`OQZLBN=AR=+hcUGmh4F@0wn}xsrQEQS3(kCy^f(dBw^Ie5mhsXSjY$%+|bn!bE?S
z5P_JZ|6JFrgoexzwQ~I}cmKY0f{1AOr>9~;Px^Fc{fVhhnse<COYGDNi<7gC>D83x
zu5}l&ir_0{d{X7qa&05;2BC!>zlDFENuSYBd%<AV#_yRPrB_7MUOaoH+}?0uNj;m`
zE;B=w7>+OvrmkW~HU_bEY<apeyVh;b35;(~s#DQ?BDFC1T<$aWs!}<R&ndf?>7IRd
zWaImLcaPoqGO7B}?>7FK4^x{`Ju3gbxcMVS{J_oNXKIfpN<Ue+a(&g(=i66@m$Qel
z+>Sg@q$GE7>J(k}Kr7d2m#6LM65hAWp=)bty=l+c`%QbFo1EKmDY;?gmj;WAFWQT~
zS{})&K2g=aJm&D68abI;ZVJ)U`efp=S+#$5^nOkGrg>w7giGAREkTuGDXY1T1f}?H
zNLW29;rgz?^`U#&UIj(0zt$G6zsxS?b;?`Mt>tfyt<BQdop$W`k^igY-em5a8MQgu
zd`I@vJnP@}fj1^^Gh2OYp4x%*EAF$|ZSU<|zufZr{L*XjarO55g72k$H@qI4{Uj`W
zQ}oTc%Wj)Xb7JD6{WtIMTm2*E<r~xTnfEikxtm`UEy}$UBE#>0=<)&0O}`&s%X_@F
zvVhMb?^x+&t$dwdT)OpP^D@s}N}FHx@8M@=wHMsK_pIAyw&rX7+mqXJZ)tq_Vf<-M
zkKF0&ZLcS9JvHOmw-STg*Fsyb@y&Ym`PJ0!Ut&6<(}Ie>cy7C5u*`Y?(<S>WW<Hp7
zI*XhCt;T!74}ph1cmAqQE9l;{PK5v5RBiS%^@V4qt_$5SuUm9^hi?1w1LEKMp73ou
z{f6aDlQaL-vo9OJaMo7Tnb{wyPhP5gf6C5^oyQ-F70!5)tR|AO`c&G%O%-btmuYjn
zwf%j{=d_%0@tYhTmC4#te{+h|E}Z{%bMwt4&W+&`oXh?#+Iih|+UnvrZ~9MKh93>8
zcsi$UT2kEltt<kDW_usC2^{2k!m=QKvqEEq_d&;mC@JO6zJu(Ao2EYK`u|z;F8iW-
z)+Hj%+Y8vZR_$HzhBfl`%rk1L?bnW6DvAAn+~Ul6S^4DsrLhb@H=L}I3j5~oVOi_@
z_R!MBU0L_}R9NhOSIO6%wQjjGQ_XB2<4Qi~SJN6-9F$t=|690w<%^t~AKWrEPR#uH
zBI4fe?y|{eimr+O7bsQ`j(pu>kQ7+ls9{;)5nErrg89Hkivt2r{g&yQE>%vwC=z9O
zizmV7piue$6KZb@qT(hk*`j2)ko#LGi|FFo7mL=&sJgqV{hOq6v9L!lc*#PULmM4M
zPQJLGw0uFk)zf&dFL`gCT(%ePD)}NLe^$=9M!e~%>gCj(vRYq`CuvT}yxM=Yb9Y_g
zBr#w4B^Nn)>ziv@oFCiXx&K-tIm@-w=a7Sf%g+e;k8<}6)gIqANY&~yazEp}oagTy
z)uKa>9;JQq`T0wIXOB1Y9?^-9D<3`4dlA5W>vn)*@8S#@WzEYx4{oh!SnGJ>LE5tv
z4MSdoPgm71eAZkvncu6{<cOSP;Hf2QmB|rGt6f#re-IYl#QUJ$YSqTYRXz&t|Fb?l
zS;RNHZ~IB_%_skET)2s=w6E6n*`I_(P4`+H7?~IGD&$||Gb(j?v`#-oGe}PDrpD3B
z-iJ(7j>a+hu&<n`xK`Y2&+^q3a_eV%%`S;O?DyE|@wv1r>xEYX)BP^3W^P}wMJizf
zn_HIH&n8u_ODvD&r&+E{6smWc`e0s<)uoELGk+dC(rD<gXUSO>)j3TMOgietlJ+X?
z<UGl^NKO8))uAOUJKa;fT;@lxg=y-!_Gug}2s$8?lICpoiq+L>sj{kQ*Y?Vw3K{R2
zBD{8!L;u7I%FCS27L$!!qg1mrRdoi}RgtZd%3_+=WmW$ldA3A)OT#6>DI4C`ca;>{
z73zFv$ynT0@sD-Z|Fx5Q6jjBpnlQh+(atR5cR|ac*!X|IH~T4n`LFU?rSJ-DTGCN>
zIP0|LTeCGi6+hVLympINe|G`HC6J0#wosGiJGQNN8#`_XT16zv-jkSCC9za4>!C$o
z>a%HK?}ST*Erd<Awy9-iPuTV>BfF=5+q3PauF=k0O!uvoyd|}8E$6LS_pUMI^5$ok
zMK8WR>&~?Y+n#MR-M04UO{w{7SLXVjzjk3;TY7d{wDRp)bJup}^5$g6MK9iLY8l<U
z*>v67%-pkQuWi_NEG;`MdhxAUSFT;j;XQk8!M0~xOpT+JH=7zpC*PWN>DqxD->$We
z8%@>gw>?YEj@h;>H9KP4u_M=}+>jEAHcrZR$?@%28+lV|>RQH)rkc^sn@rWClQ)^F
zMmujZmEU&k@U@Z~Qhd>y6SGZneB0I@++Zrc?bxAfAvdJBqKy-?RdRe=);4Z1<==Mf
z;5CyQQf$$i6S75ee4Cb9ZZdJ3w(P_ufyh~k-pVPNP4zmw6PEtmXks^Q+3`ysB4){Y
zFHX)Z(&6n}x^tt6*|cTHE?tP2CF!l4oSCG<+q1NCqlw<MWk)Y<h?phny*Mdz(loZr
z%)V*IGBWGDgSVKtct4JwwQ#9m)T{+dU+VH+xb$IK+IEv&OHb<Z=4Ix2Cr8hkzw~3|
ztXr49=<w!dR!mFVR&TOt>CMPlH!dBR_H3((mG|V$CKle0qh?*}+A5#-%{kkC$2aE|
z)rlKagiF31&bB}B&Dm$0eA@5Ebo&cIuM@Y*<>Xy+&bHlAa_w-o?SX5~Iks=su-~kj
zaW;bA=$doSR|e-3brL}-_7XSw-KVKAHmhcCP~n^Q<lrQS9g$MkF5S?nKbw^q;ypP^
zYSvOm-LsjQY17=&GLxnq+hVeGX=CIp5%1=tOh28or!Vc$@jZQMgHCVfQq7GfD$~-A
zT<VCJCE&ezlZlo$b5dr|G`7@CziG!(GQFm?rDWRa^tLa3xxqwcTH4`D84<I1yqgm<
z^>lh$m#*AkA~G%Q&?S$ESsdQXiS?OsI=#(HGdGy<OiMd>Nh4wwOSjb~4drgFO&Utw
zQkygsySX-LD0KhYs3G6|YNLi+_pOZ@vfZaPYRGi&+NdGjy=tR|RQIfn8j{^z8#N@l
zt2SzgcV}(X5bKWGs3F?z6(w?MQJ+}qmKFCGb&0ud(YU{;O-yymiUqD;#aOp!+*?#%
z)_qA=YrDpsMS0zubYG<h+I6qeU6mfV#r303);5isi^{}AqeXH8*So&d(Mk`r=q}P-
zwROd8*NtMSn^#<26w>XbyDBYkh3i}~)6E()U61OV+Op!(q6D$2Nr4(-rAdMM-9?ch
zrx!(tr6vWcin%5QDvPNm1uBYd-J~(qwJ{>3{?wuXvC>T%lU=9kbfpB!i#<&YlodOg
z7$_~aG%-+8tTi!ETr4#)P*lt{F;G}cH8D_7j5RTkU+if@Ag|cbgg|bwr3rzY-%3*a
z-M)pS_`7^FN%433CX(Xs@a;>ozumVh$^JIqwj}#oeVdZ(Z}F`p+28D2NV31lH<M(4
zqi-Vh$^Hi4z9jkUeY=w6uk&q7lE2osDO=Q+*vh^=nc=_RR`hLWhW|cW-nX6^{*KkJ
z-g0L6@3DRRZOQH6JpUcGcfY0FzI=XO&9{)-!RO~?RL^?rxo!De+oRuDZePy!x2isM
zQ~2DxnCh&zFVp<j*xr1TxmEq@yrAk;H-*p63#hhw>zP*XZ&+>gcI6iJ8MaGr2zS}e
zy>a>UyqIrSHmOguop~d;({|#G%N@3zH-bBC*S_&g^;fvYJmITAiY-SZzjN0bcIDR(
zPt<vAkQaH=&icFYU|q%rc^QWr{DOX()lN4rpPc9OEo77WB-`2>liO`eZwR;B=H8gx
zW}A9LxXm{9#^hGp(E1y~t+u{5Cb!tS-VkoFwY@R9+1B)iaI>xMjmb^6syAjTTPLTa
zcfH}6I9GC$u~V7aiE|7$XUbbYPEN0S!_z<a=0;<yGPUF99^9BIZJnH)p7n;OckaxM
z#ztjo$IczNF;m?7aZ-BJn=@T=12-CbS~qVocDGL6V(e<|yv2CmT*+JYk_+c@-kN#u
z9K&0l{PZ&G#kXhPIrpIK**4>CbAR5HoIiKvTc7jiE|j&Ur>9vf-<~;lZs%K`ob)*B
z#hZ;St(!L+ubZ3s_RQIH8_JHQrH5HBzBTj8xhrpY&YoLP_H2u>v9<7K<7IO--}0O}
zx8%mm8_VA0bmau6%|5hQbIYw|KXOi;uU~e<?CCbm9lj^unze4%6clS|VKq#242TdA
z6>Djgnw^v!95cIVv!>;G-^ScieR8uOB?s5#a82;#+@$GbmU?2DfaN9yAsr4@?xcfF
z2^$oobU4_#A02GEu~EauK&OL;+v#xAjSUJGIvsr6hYmOW2-u|HBX;CulS8C{CO6ZO
zruu+L0d4L_$Cf>~F-2zfB4v-0)8^!GdiAPq+^AwcjitR$_2xzun`ujqPx`^INyTxR
z%ZW)mkwQvtX0At;_1q8<n*AujTP}yQMYl5`(OW0yWUKDM4XTpcTn<mmxgo?Ced*!h
zX%jANTaxab75!+ls(p0Q?Mb(%t;jifYFf(;AwiXG^-GRSn{h*Enr>$0%}K)1O-bH;
zIh{?qkrxuYgQ6GRnsjyAjXOD>+1@eHO`BCMqZe&fU9a1i>zU(Sw#{Xm>SkBPs40tF
zf9iH!TqL8a+tuY7xluz$Z0XTOJ0hlt8;ZFeTXZHuM5;R|IWR}(RIg0;qU69Tovwb@
zpMoiYEjp(rxYjFf(r^=ddSaQ%4H4Gan-YR0a=e;-3pZ%;o3S>v_|Dv*DPs0CEjVg+
zP-3u7&Z$=4g9{Ra&2qTfeP3?SlrwvJc-e#*Ij2r8Tae>*YT1gMQ(c|Di8rTA^DVU8
zsHtJ5dUV-=9Iwn^pV>mjn>CmFI_7enU3MkMD=Rp}Z1$l`y6t!Biw<OVtYwQ}=dmz5
z!YjxX=F-GlnBpvPQ^3`XWg@R(iZjQ{4N4Y06E-L-z2V@ne$;rXjbWo=kjs@Ym-Af<
z)^f}fHH;QC5^xZ6nZT-;;>Z&z;3DQSku@>JQ6_RK%j!i92UnRyh_bK#ln|=0#;YZC
z;s!0@pw)|_`1P+jw}x)4-=HNP#(H>FN`xry>P?BE78Maw`Byt_(o$WWv`I^Sb<-v-
zO|8|NHfbq_Jw3ka%NnoTBdaDvOch$4loT2fb~H6KF6`-%RSP1fimYbZthF@M@Z_3P
zXI9M#W8JD{@_OOXs)UWk>SfPT(__k(9Zd_3SY5PPYi(%ZTCQ`eo~-HO%L%PdTD@tr
z)~3*zYq`#^x=>`sa;~kQ_rlwbJADV<zUjEp*YLLEdf$bcf|k}v>|50KnsP=7FEo{m
z5{|z(>(8|#8NPkjSTcPlU2{1)YfZ%D%d-|-Yf1CXx~8&qvx?C?)rGpA=O<m6cI5ar
zmF=nrbv^SuOT0HlPrfzl$Bk=GwyEtjz4*4Cv)MLtgF4^0CkN*_+?dQ!t(54m_J)&{
zySgaR-{6gBo9)F7>e5zkI@?VXH>&AgJ92c^o(SRDrip7$o}IPj+L5W7)vU5VMG4=S
zb>vz~`ZhJ2Y^UhS^Gr22skvQya$=s!4Pn;mO$nY!(_GR#)22PyqO#)3q!rUrwyKzU
zAJS?x%&qS{Gwn>y$xQD6Q*Wn3M<#91@jN|ght5gvE>+KsDhA#`PEnJVs0MCS(Vo`Q
z+O1l-QN>`I%CSi&7Hm{8_r4S*bbZnT9nW*wo(bMU(LzF#RV^chrl@*G3JGX?3nh8F
z=$!0OeW=TMVbYgrDjA+FHQr8JR9wA3MGGlUGS!R}o;<f+R4`g_!%Wk_4QkxiS`N-K
zi4bPb{*>UWu*S26GutWAS8GjYtLe@SY7!=EPPUmo+@L0V?a1M-2^*A@)^KoWKRVb|
zut7;`4F{Wcl4EC&?#zv<Cfk-An|9;CMpesgF2|?6xgjJMeJR<yCg)_oF5@Ou$89br
zrt#dIq!gW$;@!8#v%X39;9AZe(}^3^jIXsEn{_2ZSUS5%`S`3C5tC)J4<-9nt?A@f
z$QDZRZCP`2f~jKBCN+)hNt@IZuDPW8hFw!RGOHtEvS7B;p(C?4tnoZOYsZ?Cr)SOa
z*r;ZZ9TX*edDf8}&phvv=uNkU;_|(lwz*_@w{1HTZgO~&v0B-(Bj+a6-<T<At(=tZ
z^ybW|b1UBPbj<a<DLG}X<xR=Sb2V>DPMZ63gRxxMvcu;JZp`GhUYwY2^oFNx?#>Oy
zVr9z?oeQ`zlhax`F<t2mPs`lO4aR(B%MPA1xG|H}dT~O!(3&$7ry6cDat&)caf&BW
zQc+ttCADGAnf|FCHyYW7wH-h8CPK1aR(o=CYQdT_y;C=CG%^iqJ9g?!grualaB^zG
znls%~3pX0+hP53%wI)JRRD1FkBM<H3Ek<tIpQC3knyMHjdH)p8+MWxiSl0UFr#6JO
zZ8zFI_2OEeywn2i;%Ld+r~a(zIe+R(*s}E04DG|4jW$o6xc1DsQ%Azswi&ITdNFe5
zoT>E(BPFk&+7k9GEj2<rd9%^#sex;KvQo3c+R{=3w2Px=&YU_iQu6YtDPe4BsX=Ra
zx~5ugG}708yvayc`|u_swXkJJPEClIDX6WSl<KtR%&Ai=*6?&p^^BC9GSxCta`IHo
zNXbc4e{L|63tM*hR6)c{UhTz+sYYvf+NSQ@U?di{tp3ocfQXr#+RBNkN^5vprdDn+
z;tN}L@RUKsOjhm138_LkXD0R<ZZdQ=Yddj_=ca_Bv~WstL(ZB0-j5p%ZOz(_AA55{
zLRNZma&ke=ncm)w8x2j(+KwGNb3;N>S~xj5A?HkYZ{bEmU9+~M$JX4C5S5<1#n3~#
zc#EN%^yk|%7WLLE-jcX~j3>9}!ZDUypZw$ov$pMqyL&I@`s5`SNEhFhxP9zTPS5#c
zSIm~BCuc|>-fXzJcVh0DbH|RDv28P4-+S@qj5)mrZ%SN0w#DpOT5^PR@@B);y@9zt
zS;<*uZE48?(#5xC%<P?bbH<Eb&0L-{$CliX5RooUN{%x-mYN)8R^OJI?33fu*{itG
zP}$7w$g!3iGp6<i-jooKp1jFWL%MjAp_+8?CPNkJ&xy%4IX>;Z4>uS}o4Fl6mU2Ua
zN4hvMStrM*wRhnLLt!(wL&schNN`AhPDqx?@oDZ&++fIU=63LyO2p-frjn7t6HNsp
zFHbP#j0~P&${2aM-}GlhaDBh&$B4^)rY|Fc`%E83T<$f!84=uTdNJa1kLk&X;2zV1
z5tqA7cSZzvn{JG_+-15lBKXp*3D-)ts4X`Ado5&(+9K1R*G#skEi`3ayK=kQ0@IJz
zwnR_P^WACs@LEaq<Xm6->`#%wxxTj9i`I6g`))Qpd2P$)WjAIeWLK^AO!u{{&ps46
zIoo%w>D@J*Th(TpuD!M-Dmcs6G<#8`aF*|K)3a+l(|irHjn;Ce`D$KkN%B>{_GOdW
zbknVCI#Yesu7xD|s$4Tk@>RMflH{wM9TX|tVY+k;XR5Dywozp8$ypxPz9jm}UAvO#
zD|2m2qOa7oDT%%k*Gdw7#jb@U`ifjLsZaD3x+aq7D{$>gf-m2-D+#_l*R~}1a$TE}
z;O)LGCB@ryn@ftf^EQ<fZ^vycDc<(mo+NwQZab3fZM|(tvbW{7mSk`9Z7Ip#rrTVS
zy^Xi2BzqffV@dYb-}WTQTX)-$Bya6)OOm`jqn&PDx;U+8+n3ux7pHY>dvg2Ig=sC@
zM7Go~y*I64+mYLs^1OHHKHb)G`%<p=Hr>BDC)2&R>VD1X+@?BD_v|*6+n3HwONwsF
zeYsV2uI|$u&UEi}x?8thN%D_*!`Wloxl!FH<Beyp?Z%Dj=HFP3&wFx1Sk~s-lH>D!
z+?Xt1ZFF0Bp6$Z7p6BOX`F7;^Hudec2j6<;`IpFlYe}j1_xg5(CDlLZ8_SV-Yu<Qv
z*a|u(`Fp+T?6gh1IeD6Gq2)$(jc+PP=N))+^5Q&&x1N{gt++8+w3;b7I4P&A$9LvN
zO%uPe>O-&JdR~~v@%H3}c|X3jyx6Y3*O&8_$U<MqTO#onm;Et2ni1S*#+n&C$;_3t
z+c$Hgrk>f;qw^$gPOew(Ues`Kkx7IId-tb=Km{GI7T1XmiGf-=U9GM=H)u$RJ>9CY
z!L?JjD<?2*i|fnCDYq8=&^dK}(G4-x!)>k)H)zO;9X-6LCPHMAtEOe7$P`!4ND+bV
zMVmCVyM>aDESeB8MW{O|DKJ88X=-51H<lCgSR^;8yL?-6V$lY%r)h!pQQeQCMCM$Y
zHzVa+N}9i4b<-{3S+*+!-=56!&-vD}Ro%S$(k<cebMs!jIhpOBP%U(O@?6)QPsLof
zYwUJ?sLOR>(HAk*jEuk<bureXi<U%)%y8Az?ea_uOcQ&$MPr5Q!pJGJTs_x{9X)nr
z-IN=mLRxEdZ{}ofRlPbbD0<aRp|kbV0-~*QGt<0{xDOp|%Gjt7q0=GC?R2!sW0h{l
zJXXhO(R-`jgt>0l+8KIq?Wx?*oYkK;Z%Sf4zV6NqQR&@5$>AAqPW8l2+^B6>#(Hes
zo*Sa#yDue$N4)XM3ePHQO$!g$U36>e%-D%Hr_P8qeCw4No=~QGblrj*Q-ybb+N7<w
zyZ+K9ZJphVlENpIv1W$%l^x9puiG88McZZfquWy##tPn=x*+!DTdoW1K9r?y*WML-
z@-0_hc;4=$+fx;GGo^&rz3J+YeYsKFrY!aNx)(R5%0zeFoRsOkRQF(x=Fw>ZIWLb)
zi`({O)6!|W8*@$`ndX(#d1RVfj^~kSPC1#W-dfR2^&6K?(OsDHGR0dhTI;6J$!T^u
zCl61v%IQ2j%`C_B@HC?w&coC6a!wwarj^rqXqsA%=b>pzIh=>4$>p3pI87?2^WZeG
z9M6N(gmlhKY&G0u;40R3;t)@ygd(?aN@9b~nf}&~8x3s5+KwN36CokXJvlkCK<7+v
z>&A@+rebZ!4xOowkdWjSPEJhFIn&)*xY0mYtnKKbH4ze`+>^H$cyJeQF>vGl96e)E
zt74SI{X;yuJr@qK==$U*Hi)%tH`v{JQP(Fgv4FccTH^MhKRP|<4_y&kmY$fweR#9M
z=GKY2XU-iuBF47OV14Vw$Qg554@OE{KeR>cSz2NQck*U~)vbZL^*&jNSz>Kzi2;n8
z1hs`XZW7Rrj+}Ywl*1aG)Km>^>&TfWPkDv8ZQ8`I{dvPCKJCXFHt}j--mr;B`|yTM
z+}fKrY~s>hykQfk_T&wlIJBELY+~0g-mr;HJ9)z<R_)*on^<-ir<C`+@tb&8ag()k
znfr-%EH`Bpc7IMTuX*FwfA?YiMr-Rb_v7!L+>n*oU7TE=^Tx0D?!t}M#%1ou-W|Ci
zE3x}?QhCf9zwWz<8?Cj=+>gFfdCPa{oy^<5i|_uFEzc<LC_BF0+IhF~7Hh}dmv751
zxcl(!xxDg{-IH(2-g$SY?D#fo``wQ>TW`Jl@}}&qcOTxI%PCLUy?L|s#=DJg&t;d_
zmz1femlu>B-)g<~?#`RC*WTTDb1thqWcTD-b7$RMcvJSuyE$d)Y2_(p>S^UZyMu4Z
z&baHj(ORSI`H^>f-t?V*x8)7r>31`3%oW=0oK)`irmy2J=SFMo-HSI_E0n3HmIstQ
zPbv2)Tb@$xQTF`syNVlg`F0;pEH``8*LL^h25X7>vge21MckOnwc9zdT<uL?%iYcm
z)&gbE55BXwF_&%k;e>LrH+@ZaJvVGrmNwqBQAt{P(?&&U;Y}MAq?tEul$ZXzaig5{
z<Bc0-r7v&XC?kD%<3?%e%^NpLNiW{GQBr#H#*Grv%^Nq0OBZk4C?=h}aigeo@Wzcx
zdKGiuWF)&u|IV#nlacHq{W&)$BiTus`&LALvV-*F+??%(caIfGAI^QV&G7cII<se+
zH{Lo{W#)EU;{35R>B+e{>B$>=51M_uHRIf|BD1#5hS!fpN$<WXk)3QN9i8j5b>o#|
zS!QmV4X+&Ylb(HZ#+hSDW@)z~GLsFYy*C<)NLz2*IK6jg&YIL@oqB2GjT?oel{an_
zlosB&Q9wHS=8RLv9CCD0lQpERZ_YS*%*)Je(?)*j&l@)KNk87OkyrZihK)SZhc|5G
zmfpN!BbW5z4I4S7CvVuuA>F)TBfE6*hK+2}$s0DZN(XP)$ii*C$v~M~dy|0@xAZ0h
zMQ-j*1`6E2HyX%uzussd$9;Qay@4$E>5T?5+`Bg#NOP~=XduNsd!vCQclSmE3GV8R
z2IAb=8x6#`qc<9ea(hQfTsqVzmbPWX{X<=1Zd(lQA8Hd*+p=Ln>sK+hEe7`vm2qFz
z)!A-v=TIK^X5BaGiFVwpb=RaPZfX6fle5j>=Akk%v1o~$#PzK&b#&4bEx3zy*VJ#_
zFuQf5SlZ?dR}Y17d+V-AOElqj)_t>O!_3x`Iyz~I%UY+3v2ETU!tK0qgD`h><c!mY
zqQs7EG7#q0-e@4iExpk|kehqshN-P9b$E^(3KDDEv|)1VOr13;i7MQ`HyH49zusWL
z$9;Q)0WbII4F){iyEhncbFbcDz{Nd#g8?UZefI_f4({p=2JGC~8w}XEqc<3^a(i!B
zul(C+(|V=fN}JXz{ubJ_Ug0;>ruFi_KW$tu_xsVt^|HS&ZCo$&`_RVq(!V!tTrc%|
z(Z=<Xzb9>6FY&u+<9hMmMH|<P{Z87rUi5d+#`Q~T72m$jh<E$_>+R}{c$eRw-ezaS
zJN@Rm6`mjOQ2+bU+wAT7clQ<jKJ@nWHvQZC>b^bQy#Cg{s&B5h#n10c`#tGxc6$8A
z+JoP|-kN@HU(vVL&HC5(Mg87&Q#?D~?03{#@2%^v?92M*x>^6qKEL0yZcab5FX>zA
zt?<lvgWp~o^+kSLZCpRScITVbsqs3$jW(_q`mMBaz2I-5jq3$|N8PNSerlfs=$1B(
z-&QxLpWNs5&2`gy{@<TAtmpgvXv2Em-<LM5=lOkT!+P%Dn>MWH`n_nwdd}aIHmv9P
z-Lzpn`|qL+>)C!MZCKCxJ7~jtmes{6r9Er>CSFzCWaS*@e&Q8Nq^!c~&&j1VYyA4J
zKHO+!9p--g)sqNWnbpO~r8#T-dau?m+-PMS=6>wekqB9d)t{3}W7ha}UrpR-r5)ye
z^p(n5zDuuU*7jX|^(Sn3MrlXb@$FX5tChD{Ij+7OExX|A!?ow~N=sHxj+VXi>Q314
zZC3WHA8)qWdi7<b?5$TH)||^JO<BEpv(?6{jcd<kmzIR7r<WFl9p7rT_Ug_^*=w(E
ztT|VoRT{E-a@5>eR~JUgUU@YqEIq9>B}_f7)Ms^Yl<bVFo*S(+!k!;_wP#J==~r9U
z@ST1&BVw-5YUiX<w>5noS2;IYX|G<q$x0ziJ+(9-?0HJ5PuTL5Qjf6bhhJ4h%;j5s
zII+}hO<&v9lN+og!k!;`6%jF)YqfJ?soI*pmaCl`tm*~Ao*#T=5iyr-_2Gn4u{C{7
zS3Ngax|<z8@l52VjM8l5l;V~gzX@j<H(A=79Y6l;%MBU1*_)G#OLF}B&K}%oX>NA>
z*t07)WTa*rCl{yW`1PD^+-Rw9cKqnGEjMJuW^Ya^4$1Mm^h_c5+{I@Sx##kWyUf}%
zifd*YZ?SZmExg6De(zbvTQc{ay)kp&Zn^X9!Q6AX#W}M-Z??3X9ejJvyt4~)`OZH(
zW9Gii(t5V=ZJ8U-_L#Y+7Z;harx(Y}zI<!W?6ZlveP^GoF>~K)x$^AFn=-S`I_93s
zEKW0XPb*F`JHEwo>Dk7cb3|r0Cl&kUoICw&M~>g=XB%?*I?rluv{W&xPe1ak<Hj6;
z*_$_6YRzU&Dh@JZPc8N{JDyVPW!9cjY?ss5e)i=COBu8D!_P8q%;A~coLH=v)7N@-
z<pxU;v-CsHJZ{Y4n9ZD6ESJ;Qd^U4~C68J9!Dkvb=CE`Zrxf<+_)R>jxXHp<%>Be8
zmPi?e?$61EH9CI%M;~squoiPaUjOJxgp5pgadKgfj$iN5g&Qr5#oUiQIuaox(fv88
zFh<9(`)J}u3vDs?qmNW{`7S+@(e1nV=#SX)jKU7F<J&EqyOp<CICfu-mRWH0q3*f7
z!jkUE(K2@)-4Q#!&BDI>@n(yyM_)$D+<Npu=Uh%<O84f?78{Q?>YmFkED=*rFD$4R
zJHFLo?a`f)GS?p6&^eb?7}7mCYR;^q3nOK&Jenhxo>rJ5rk+;l(;XZoGvlb|MhgwG
z=SLpx(dj$=Xp0Wt=|?jn<_L8=Cl$Ks^mQEN+-RZQy?B#_f|z=0VSw24ltLe|<tc?8
zV$Tmhs)(4w*L^s#&`hVV?dZu377}954?T*AsGq~t?VMPsrqkDQv~z=nfY|eck1QhQ
zuyr3!C=}D_YdY$=VV&}8qfP6SUMp={r}$cE(>jIMOq<rpzy7pwo!sk38`sIczO-?j
z%<DrN*Ga$Lv~iu(>qQ&aNxq)6ah=5Lrj6^wUl(m$C-ypN<2upTK^xaCDOFtiIwRKY
z^{=(7Gh$s{f2v=boe}Hwnky<iKi1*(qqW)F_3rK}dVOf^>uq|schy~cx_RBLT~*gy
zqs7nfN_#zNZFYL>#?ph=zD7+yx2xz{>t?;{yP{t2iWJX|HG3Vk)_d!^E4#9;xo+0G
zvdi!FtjOtSb|qa)jSA0<HF)i{QBUNx)y8$xOLwkWof@n2+GyiCq1W|F8`lZG7TUN@
z;B{2w^i#VW)@Y~3YP`0JoPKhb*EQEo>-b-P+OUrA^`i~zcwb-Iu#V^Tp$+S}UvJv5
zj_dWJ4eK~xPuj4K<8{-9b?mQ;HmqZNowQ*c>+7Hm>sW4EZPHb~t+h#4>9*7+UB%m6
zn{*X!|JtZ4fBV%&UAf!0HtNdWKDAM|Ugq|$jk?meS8ddlx;<;7uH@~mjk*%It2XM2
z-_F{oD|S0-qps*}uUlf5w)JgG-Lm%nwytfiTXgSlYul!}W$l98uiIF+=-%5_cKcGU
z_IBMn+wyL2%6*+4ZFhTB?&|dDEx8|avbX8p+*Y<t^tM<|^!nVFIoj#b7PpIXS8rWA
zJ9p!@`qa&9uWk#u?UlPaE!yO^Q|{|6YiH)3%+XGZUY0v`8|&t^BDbA3t`)vrb#vP3
zZBg5fZqgOLt+i2C=(f~GUBTO28`n<FU75puWLwa-)=g_C=g!Poof55b`_~3t{@brM
z=<?mZwLzEn_NfiJJhyjk(B;0pYJ)D<?O7XiId6Au(B-&YRlh-({dU#{UAEg%8+2K3
zdu=dx|90%eJ&~KzO4Y_G`7LjJC+ub1WN!cM*ztQ`Zb-{jZ%)oHdE?u+_uxiz^KZwF
z-Mex_T1q=DrfgYie%hPfp1qwL%?-XiJ9=-&joD(=hm-Qd-t>0u^}Hp$WUu8d>BW10
zzV*F$PvC8Detz3Gw~YLz`ftm&n>$n=zCHWyy%*oqwwv$RyYp>tZhqajW9j)>)t5J$
zZ`u3s=IopIPJByC&rhmmzCHW;y%%qM&)s|QrZ+o3s=9cy`I@~OZ_d7YZ^bvYt>&iH
z&YR6w?6rJ*_RPH#Z+y?(oAGVg7ITB@$w~PEZ_ak^t-L8ceQ)MX>1lfnH=3({YpXwU
zujhueV6|{ke%Lp+)O?3GzNhXjcyspTy%}$OPu`pG=4|`kj~mQozqK8{mvcj!w|a77
zzQLQbt$R0aFc<yScIckZ4QbA5;lzA}H)oso7H%--{nmEyp3V(v*6PU#k?zw{QzBib
zxu!%qPg6~abezVT5@|o}X>z3Pw4=$9*3*_I*GF1TYfX+cpO%^&X*$g{InsEVYI3CE
zG}h!u{b^5=B6X)7O^Vc>wlpcy^R!dcwTqj2rhSbLySS-i+SBN37dEv_6Wy}r-lm3W
zN29OhMea&{I;}POT5jaF)W15X(<8U0e%0yTrZX?~>@?NrYv(p4oo>>7y;Wy!>Qf!=
z^vHFoTc?%QZ`QfCDQDW&sA*Y|D^suPbf-nGNS!;)bhFOP)T275x2(CeDPh{wq)3fv
zrAd+cr;8%PPH&2smYNi)I?XjHQhAzcQl#Rvt($bFrZz@|o!S&It#p&l<kYD;-6@gs
z)1D?q%1%3)7%4q%X=0@0wARE(@oA}vk)qRF6C;JEsU}7WPOE24jO3s8G$E3A+R=na
z?rBRCA~~-;J8?@Qa<)>ob4qU8n%)UpIX9U(Tzhu>){ltUa@mKIbIaEB_H8}6(ahr7
zvtzezM9h}Tc23SsThrUKwR5AH!L?_sZJc%<Gcqb~@~8(*NlGi(GjBq^w!mLGmm54n
zW`|qOIwTs0TsUx$`Q+*2^_^-*n=-<MwPH?eNK{Qec8Ikn_UMMfjb^&n+K%2@6Co{{
zJ=sKw@zO1swY?W_{kgU*Be&z)vF&Eg*~(kY9J4P+OE1{^aP8T1ZrjavZauj6Y;JB&
z_UFxJcG<zvv*&GHxR&?)tuxo$wwYOH3r9=exV7h+TY7HMHMaEJnC#0@vuAIuPh8u3
z_STwfZd=V(Ze1BEJ!`Au+OwItY1iD+a+9td+hVqKYh&bWk?iKAT)#DEPv6?H#`pBC
z4QqNkw`y)QQ@NIQ<W@(-Y=P{}o6NMbnUivZuCb-&`dvGglIwM?EhX1(O>g_wmmADv
zuB9Eml@T$UC%ZW@S8q*k>(-SU%tWrG9lBNT5iy%1n>jI8ZcT6V*31oNJlE0=-qMJe
z&9d8SleY41txeiWyQMa1EAHmnq^+>~*G6sm-LE!k%k93kQCoKRsg2q)yLWBWmfpQ;
zqqfxUSsSf9133*B9a$%4QX!BSE+n&|kvGMGf&D`(f4EVZXmYqg+1I3Sy|SxG;W}ko
zlft#i>ZfkeUJ@(&_Ebjr{#ensT^Zr~VtL<sWrREKe)X0sBYaQn+p?v%L-WFS#NI7S
zy?yokx|*`k+o9*zW$b=*^J-4`rr5V{w6<yASQoeZ(#_Cw>k7)A-U`hQH{YG~_EcK<
z>e!WKQ*VWyU6)bTdh2Rtxbf~uH$%^?^VzNSmMbk>v#d3#K3u)*>n82#v0LACrG~4O
zg(iiol$j=lE0u{Rg=_B)x+&TbyYvlLYPkAtqnn{8*LjqEO$?VSyP6m-Q?@lRT&iqp
zVz@+EX=1ooS!iOoNSSG3xKNpBVz@xr*MxAsva1Q<JY`!G!nw+(CWN|&rKW_shPkGM
zI)|yIggS<?ri9kphdoUWwGBI(9BLi5G&$5VtTj2*JS;Ui)HKXBIn+2zH96EUj5Rq_
zKkR8zsBYNNq)_d!rAeWltDT~*UR>1^_BA^6;;N3Yr_on0tZE4p-LmT5s)n$m(O2_A
zcZEI;YmL5|8@es@@0wHTp<6@0uIbvQH81pRm}>OZbE}e8H?4hDzg25)=+iY^>7naF
zw}zE&*1EPTCv0of)U42zp;y;*rG>5tof~GlS!-tK(KV;Gth%%+A#7?=s76?6QmFpw
zqR7zGt0KZulR{O)T$4hT!&H+(6~ne}(wZ9D7!i7ERX|wjCauY#Q`dB*gvy6KO$?O{
zJDM0O9kw(vR5GkJF;qM(wLURaG|V+IR5(mEF;p;&H8GSw>}f(MZ`jd<Q0}m$389>3
zr76K~W}zv;E@q}F!A@qPDZvhAUz3CF%&sN}+n8-l4z@CznjCClR+=1aW)_+pY+`1b
z9BgDJnjCCk_BAP3&+KYau#VZ*q+l(xsarIc_{!#<$_U=?E1KJt5xlS7mp9idBiM2F
zt6Z*(;61)?&6eH{$qU}$d)F-W_LcL?YRp1!hn!!QG5gWYD>=cNeBb71ZPUE5EN=Ft
zn<3|x6_`D}6_OonK07J*R9f(A-<4)lZ-ty)mSNU<>q=&@@$5-AL(VMonXQ$}l@_dN
z)|wQoZuWJP=5*h!IbEs2YG$EH!SyO;rb)p{W}->K+Ovahigfrc&EZN7R-bKjGvwql
z53{d{!E$C-6N6>Uwk8HknN3X$mM|+#3>GsBO$-(>GffN@G80V<7BKso5X@(GH6fVC
zY->U=m)X>W?7p1diEA}CnYwICJ8_NU<}8J1=9KKZoZkMmFE^UnY)d<S?Zu5*GWF5T
z$=P{1y}fH!ZZtL7mUisgi5s&dqM4Jk<8pet*Jf@s)!CMIl+D3e%;N+TYovk#_m||x
z8l8ZC)<+v1ti?QzGd+z^kl`*#Zp_gM=w)5B(ZN{E;~3M?2n7l5FG-CtIsx6RNgExs
z#XOEOsp<+`Vv^OJaFOY+*outC4zUy49qOIARkk=da$kv7Sit&7_d;G{3HOv}g*!}l
z#ZGK<u;+fV*<mZ|t4M`gOdoYF<TR#mZ`tgyk+n(pLUv<`m_~YIf!K+y4r^I=MJill
zx~X#^t1*OoO4Nc`tcxNQt}x9N%SdZX5z|O(^x+PPQkcQ&wb4OC?8OnLy*d+4Gi}uo
zIL%Z)Gh%@dw@Xr^o6dv|R<4Z>+T2SvIVgx}q&5bKy+~>F5nGYc=ppvvFjHm30zU2|
ziH&AD6WUl$ZE%nfdvS;<GGYN2w@YH9n$Cn4)~*c>0%9)?GFe6}VB<cL&?u%ep^4RN
z!ye_+#+&viomSqoNAa}qracO$nK$i`KmB>*9=X$x>o@L^J$-rO9+}gJH|~)>y?Nsv
zsnd%$?vXq_dE*|5)6E<Ah@URrxJT@C^2R-)r-L`{S@Kj-_kBjC+v(rB>oY1{PJh<T
z&!}`d%^ek&U+HlAv2Ol$o4cQiP9N5Nzs=_Mr@Cp+H}ARiscM>gwA}emX{RUa=BHO~
ze0p%&_o#X2J{3)C-&}8V{ZrKG-H~$Hm1d`-b^W*Qx$-G%n)_y(E1&#M&yJjT=2OzN
z^r*PZN`uqh8*M~RTW{Pm{pn7f^{JIQr;Ru65jw5BagX3>;f;F)PDe-1JN3yyM?bYv
z<Fs|;ypx~2rnzt0!+-kohCO_zA8**hd;0Q*Jv^rmZ`i|qdh>=oT&EXr*uz<Wdh&)n
z9H*N%>|sA$ykQU9>EsQ2SWgFU*u%2hdXu&CZtYFhO1q^uSu5`5-ej$?`}am``Q5KK
zTFdRez0q2B_vww+GP`$gw3gnzdZV?}?%5lyC3kmkw3gUiz0q2HclJhWvE9)dtwncx
z-;%xbuCFY8%kKN{y2{+QSl@rwR;IpX_kz3iU(49HSl@eBw)^s1z3tX_-sSDy{PtaX
zx!vy7Z`Y-lZ@K&NP2M)^oA1iX#Ba;yl&`=0@{L}4xyA0{x9hg<o_%*?S^DPPSKo!~
z_I|rAt=wd{^V@e@cF(+f@{L|v`LerH%h)&X7TN8*akud9>YH;<zl$n6zR6m6xAsPB
zq21COtp#^;*KgcC_3p|yd`I2|m9=l$J^Aj;H|tW$Rd)a0V9me#^#*Ic-M2Sb^X@*q
z!J23H?hV%5yH{_p=Gr}bgEi;w?hV!)yQ?=?v+vH{V9mBWdV@9VZto4dlvf*X+NHEw
zdDAY%)xw*0DXeDRv`c>V=Z(AMRzKdjOLq0;jk{!4AKti2diCawyQJz@FW$IIa`ohm
zyChaOZ`>umx_IL*vDL{NcZseJ-neVYRmHXMGD_W6|6aQ;qts>f=e2nmrB184qhj+*
z9acYHo44KS?yI8Jhu6N_W_9~jUD)%@yKcRz3UiN^J^w0g_2jjA>7^U59t`^)HTT@B
zqOkVOR@Yxet==6en_X(QI(n_&)?HWXUuA{4Z??Mf%5U}T$hl`;C55F&#b%ZotoGh$
zC9>Lj<F4sfcdl8NTB@_!c;hai)yf-p39c62xJzJlbmZJquN>CsrIu=}wvL>8@|9PZ
z`=(v|t3Pkp#kczLhF!d?FK^hzv-<FcUEHfTZ`j4Pdhv!`oU12q*u}BBdBZOD)x{fj
zv8_(tP``_Hb?}B=EVHdQSt`%g-ejpXTY8hF;%x3smI||fZ?u%3{d%LN-0a&MEoEn)
z-e@T^d-q05>Dj9{T1w5Hz0p!~cK1e0iP_Z~EyZVNZ?qJf9lg;~bhh^`nM=?5%+j~)
zy#K7r%zcaH{by}v>RWa$IQ!L%eT(J2XJxZ5=jv^@yz?w?cKznucj?7;vsdS?OE2DX
z_G3=oHp`pO%FM)X%j6WVKl?IAFTL1ec5&{ytvhF*-DsA+dFRz<A+x=6*QFJk%y!Ow
zw`J$dvnO-((u$XzoodFud8f#1=Z!msXII~xbNX47+3`)5!n3tES_;jU-e@T}n|tHV
zsb^Q_@Ev&;WY)fE=j5|9bL!Wn6syesy}^=y_UjFne6w$Fu;iV6dV?j;?A;qIxo5B5
zV97Om_6AGN+1(o~Ic8UHuw<W|y}^=gcJu~I*4f@0b|`lnZ`z^Mt-NW6Vz=<79SYsd
zn|8=|f8Mx5uKV%E9kSh*H|~(>KD=><bob_sJEXc7Z`>i-J$d5}iSFi&JH)$-H`ebE
z>rURdL$o`1<Blaq6?NZb6uNc))?JrT=+gaJH!q{mshc}0Ccn_3`>}4`c8j}@in<T$
zzT0MT`%#_P^UXVMJ*pCOkCr+AD6M<4ZeDuf#-j(tzDLbD_ozs$eY3^&M^W9oBW1D+
z&AOv?{kHD7@+eEpeY3@tM}FP2Bj=oXlq8m39~F~XXwdDw(L$u#dgG4iM|bM1OD)vt
zHr}{Hs9SmC4#95WjXMOoqa){>dgP#^ms+UNZ5=u1<RdRJ_f0$ayFYK(!PotG!w%l=
z%Nus^bRXWZgS&h4h8<kpi#P1x?4G<~2S<1Fh8^tP#T$08btiAw!P*_XVFydK^(J%W
zYVA$tO4aq!o6Hrfxi^_BRR7*+E?@n6qq$u5?TzNL)u%U_%T({)Xf9p7dZW2i_3Vx2
zlGWWC%_XX<H=2uAXKyqYtB&4iE?Vt<OZw8izHez;w%@<k_04UI`Tcus-_*8jU$FP<
zH?}S2_wJQdUw*5*-TcnIyz0$w-=^o=Rj+=#Ha&mK-j8o`x7C~9yjS*3?6!1H{`$Qy
z-{_|2TT~anUAuMr?7bVmrET7R^<GG|_uI8;`6kuQZ{Kd&K6CHMH@a#0%l1zF#<qF8
zNVW6E?ZVa7H)o%|7xnGfCUfCx?TzL_)zTZy1*^F?ZlAh$<s053_kzB)ZQ4G0@60!A
zQ}R`+e{V47uYSG3oUi)!2J?E}>eCy{d8&7BFz2pby}_KTdiDl$&g$+B<{Z`48_e0O
zvp1NtRYz|yXRY?$uuVDJc+)neY~@Yc6tjglZBxi*-n30V`}4+aa@mhJZj;Tvym6aM
z_Ti1&q_a0~+$NR1c;hz7?8zIqNn|%~+$Nq~ym6aYcJjt;qS?V4w=LPKxb|&EZoOOf
z@3m_)a$T}Nug%TKb;{<Biq6k<$bP&wce~l$TSeK2*S_6mcKcS{wP%~R-MUqE%`IB`
z{H?U?$!l}db2n~1c<o!%>~pt@uC;A8yM8Mwdv~OCcCJ}=^jhDo+pgToy5_do?8+^_
z?AejC&)iD7mKGJAnQM^kz0pi0+j`@+>05WMsb8C#tCMZKahp)K^2Tj~*}@yQ31mk{
z&OUX^VU2ETu12<X<m{8Tyso)z+Qy&#dBZlo?8h6n@n&D%u#G4C@P=*N*_$_P<H}yV
zVH;=m<PF<6vYR(-W6v($u#GJ{dBZl=?BEUCSbi6$)c3scpZHgClfCmd_Y?nEZpthC
z{+wK2^Txlw{_n$$_SWCrkN<meLtf^0adLgm8~@(F3pd&ue{(<f@5l{#iQk`->SNyc
zcmGY?Xs`Xv{pdfHxBQp>$-M2q`0vlR<r(!I-;Qs$cmA!s#oqDv<=gTL{yuzrKCiyy
z_vG91cmCb^c6^(?{qM({?YI7Yc~kz@zYlND=hUbC-n`j<<KM=&=j*fUOTMY6*B5*{
zzSVy1-<>z*ul>96=6qIt$nVLw=Fj@O@TUBge{;U2r`4x?Q%|e+`5k;qe#T$VjrJPf
zo*((Q=S~0Ve_P)0pZ+)F#(bgQ&PnxdZ~8m_a&ENO{=ImUy}~#3)cSyL&r|AszAaCw
z_xSew@V|;1^Z9-sPOLY3)8F>@<c4~CiEqyj{foFUpX;}CV!hg%{+7R;8|($XJwN!*
z;>LWo--i?G#oqKc{q@{n=YH+@iC-d-@=C9bQ)*k*_)qxCxXI4`+VSJRzC_5&z22N$
zTe8N#@9V*hcIMZPANzGBLSE{%adK_S8vmZJjT`OsuN^=7YfFT@*z3(nwIOT#Fa1(j
zd%phSFNwA1^J}}VwP)1Uyf)rq=k!{5i{0L@j8XFUe!aQozTIx;*Mn=%=ho)D{=C`F
z?sahV{CQs&uH`@f>&!LxZFbhLg`?$f{MvKPJ-xQ*8hd(e%<Ich^JjleT-$&4*P3hY
zTkTeUT^T7q>#O71^O?12*WA-;ldc`#Vz=~bW8{31*Uj}wwSH^PpZ>LDjsNLi8`ku9
ze%0J)r*bX*$ghrw`2w#uZ?e;R&74#lbd5c=*6-T!lv=NA?J2c(Yx>*2zT99Zb1nVw
zuZ)QKJg=J*YxUOjw|-r@!A|5_`k`MQ5%W1-Gbh%{t?6(6nz_M_=UV!~Um6kfS)#2s
znJPzXZ!%SimfmElSRc*3$y6cw_eN9s=+_%f<)UwIG?k4$z0p)AdiO?C>FCuPO{Jn|
zZ#0#R?%rrB5na8}R6II+qp4VQ^hQ(BXzyE6m#+0~OWU&b{<W@cZd*+6Uu)Z@wq@&r
zwO_ZfZ85!ftt|R-uI_fzJJ<4}H|M@h&$f$Rox3(Yd&}C7Il0?RZ(b{_-zIijDkppW
z+Lt-H>Dd<1#kp&@Zk@e$<F>TTTd!UViT2K2o0e@7?VS5|%hs7|Pv+>RWiMMhbsO8}
zts>FR8@CEaSKpj<`dZYsW1CEcqqR4h3PnqAG!=~I-nez@+LbxHN3I2JYumJS^4gg>
zYg4jSqJM8N<&S>7!IUrh_6Ael=+hhOO?jesZ!qPKUcJGTD|+?@Q_kq_4W=B?)f-IN
zqq8@dvPDO4FlCMQ-eBv#?f8jrA~)rfZX2gmx8(Rwc+0rS)_&XZ<KMpAkdwQ;Ik~zd
z$G`9G!Hu@&+m0XmcIAeg)NSMB>XaP+p0|w~ZS}VuKl*LU4LPyfo0F<Ta{MoSQ^-Ak
z@msw_?)m)cu5IlZ)it+`x7a$}7T#jJ_buZsxqIK<Y;)gkyYubA-1E8BIk!J=wzaz*
ze0$!!w+nOm&wo3!&3&7#^=;wXayP#1+2)>JU9^ooy*lRh<y-S+zfH{TKl^RXHutTz
zE8niXDL3n_WA6FP>a=a{Y1K*Fj&HGD`nK`rJdxYYN!9g!Ip<G*+mYjc`rC$_{?50W
z8*Np#r62j$abupq?aiBPwQe&fRR?WjPp$Ubc08rpYg>CtwOvks``ecrY-P5kAO4nc
zV;;}#=EQ2foc`9gD>vARY)e1%&Ev*Aj@!(M)p9xg&2KX|*z#;kKln}K#yl2p>rE!g
z-rAc?l)R-knJ9X5*KaaW@czBgMBe-LMiV*j+Z#<}y-#m6k@4QW(L~yN^+ppZ@7Wto
zB)z*gnn-w8Z!{72&faJu<{iD!MAX|mO6t<3zG-P&w%oteHO*~{$^A=h)6}+XS+Ml$
zG`1}!_b!!rU)I&#ZgS^Rp7&<mx9OR7-m7)jre|(h`cWr$o5{^fWz)p!qor~(*Drmk
zqnn;-;a#k|cI%eeOE*qS+q~uKr4Vm#-L+|%Cf?4vZ?|ljx%8xtZd&HDrBkP|ZQdf{
z?Ywb|uy=LjtkajGrXAa4BJ8cb(L~5wdZUS;H}}RZQ<tvP;XQIGXj<E*Et8kd)LEO7
zsp9>6g9*R)>kTG+-nTcH@Oq!#V8T=Hy?cWRxA*D|CS2aLH<)mGcW*G^@UGrq!tR~D
z!Gz5_dV>k8xAz7c_i4vZd=iP2Q#x&&QrV*8KjA6kCL8-{$B%#d5+NsddUJARiH?8Y
z(}Npr%%>ec_UTH5oYZOK<jNEs|DLCf8*TKb9Y6YMON5-*>CH)%Av*q-J}Ky)zxYW)
zxBh&7W!JR!jLMqR##?NhP780b+540+O77mLH`Cm=+w6RLQ1^UpWzOl(n{Dh)2S?AF
z_jI8y|M^d6rnzsku|6#vEqCM7o@wssl||Fo(<@_6UyhnL`)Q(X|JhG#rnzsmS^0Ej
zq};5hj=JYFE7PXAr&T6RJHEwc>C?u@c_OEqlPdjm>d&A4v_r@L^rsCv{hd!WH`=I7
zOF#0dBVwMw>CKyLv`#Z8RR&FCPp$Nuc08rhYg&6srJYWH`_q>jY-FaTAO4gPF^}hT
zb7G~QPJipul^bkCrllYH<PkBC<1}+(rJPQG^V7@?HayeP4}Q{!n8#vmy~$YFT6>eR
zlC|_EV?}H3O~&;K*1tCz%Ui$RXe?)ad!w<e_34eqGS<5{8cSQR-e@dkJ$s|Eq;>a3
zV+rf(jmF~E*&B_;tfMy?i&}f%lDu@TuPklL=KJTm%G|aX-#^z@rnY7Cg1KMI*tQto
zJ6C3X`K``&<2&c_tT(@Xlb&v8z54B%^z<!rKfcM?W_<HpS((^v$@-l1^>bgo(MeCY
zur7YPX6xqJb2pZyZQgwKT!^*z+cjzFCf3ex-)z}DbMDDEI%(<4=1whR+q_xC+Iiz<
zVe9IfGf$t3Dm%8xSlC*7qp^^+^hRSrYwnGkr_NpZhUdt+pt812n<vkm`DRT@x{CGh
z4aWS|uQwR;S>N7Z%xismgE5cw?)nYJ+}5i%7;{<A-eAmW-Mzt>!@7EdF}rp424gns
z=nclK*4`U7DQg>V+N7kdylIo7w(zD+3fjz@Hpy#$-ndCl`|-w2vf7t7Zj#YHym6DX
z_U4V7q_h`r+$5<zdE+Jt?dFY}#I=hzZW7Z@-ndCrJ9y)!B~umGzR5^+)Be47O-8Cq
zz4qs|IT@)=+T2l*`Kb=tkJsjGH@bVONc-^GH`|PEpQ;Ocwt3U7Q&nMZ(URv+rD;!I
zo0Fcpaq7XaZ&5SPohk}z+iZ0GRFwAaNXhI}GwtZLK3g|kIh7UWw%O>)DL?Jmku%Sn
zN(xJhip)$k(DvSFB%*D-antmvJJ+m9P1Vsh-ngk=NLzU$BiD<GRz*t~Sbp5pU|?hj
zXkgG-;NJCBn}Ly|pn<7i0kh}_2S&aE21c0%1{sY5jC>m!nAb4Qo8qb1!N7Foj3?Wf
zrH|WqMbw-Olvq!;^GfM8I50Nz@8}a?bZq8daHA&S`D12#yMPv#!|Y}i4(|Otsx}vP
zH?V0PXk@8(VQ}Q(Xz*ZQ^bu7!vC-M1S9;$<sq>!Ck{lDYroQmp=%CD+*4*W}b#_0W
z&g^U4&l%<9E?hjwY;AXe;VG*i{~rg2#SP3V4NM#X%?Zbri5A~<cp%IqsOX{K^0m3C
zO+2b|iok*vg{SN)$K)q0e8j9G|6_rY^Gm@)%pP(TAC*7WGZlY2&^}p|S*C%3yGkMA
z0i&F%+>3>bY%&KJjJT_|@Lvd#d$5q1#Xu_J03%O>*UFj&>}Q$vLnJO9VB}M1SRmkV
z<71;-XiS8tSeR>TsAFQNPlTvYj$MHg3%{&>Tt)%|yTJin7I6cY2vLEsqs^fY6GBZQ
zM0vuFHia%s2vw=Su|#>r)GPs>n<|R4MN%f${V_OsT<z-vLyn5QjshkeEfLmvPdI{}
zoKSmsV~O<al;p`-Ee*%i&T=p^vu~A`Y*sj?U@(&<d2-wY2^Jmogb;^{9|!zgCyEHF
z{Bz(Gn=Ynfmg#!(ID4PNhX>5|d*TFnr7iwB@CgJ=_|Pb>rkZ=HK7VqD*~#sm&a+jv
zcskC$a$9AA@1xvHd6P?KPr0pfNA0fJ$!(tYv!87C-0Hh1SLnRjSu@XVp4PKPZmZl-
z+iT{TKDo$@GktQ*>?^mH%=S&ny_7XMWcHL>OJ?~lx~XzSZLV2n+T;{7&9uorvqOF{
zTgh-p)ja5!D6gfWc5ud&q{#vGGfI;9RlX)%e8kN2@4#uf6C2qJW<1&CsaxNWI@#w9
zqulI}q{(hMK^?wPH&v$kG9?C`Qd{_@QE7I`Cib43Nhj5&<_K~2*a{>clUtB@sonR}
z22a^<2fBS9ePB1snZ!2PC`YKxch?3_u`aWfhtvXZFxyX7%bC>T+qJ<{z^7`1XFZ=!
z)&@@=pQsI<TxOaFm1HATl)FPxCU)ptn&2w5$-`0X<#DB-5liH{k0ehl)0x!gda5yb
zV&07v8$C?KGTnMyn>KprTU8`9v#}I3u-s6&kl@(FZsWirmmr|X%*1EF$nUe_LDIx1
z7RjWRc0PHF2AxY?$`=^85)Lp)DI8#Qt7m8wUHfgb_U!%{msbUtuJ&3Rp}yKFGW66c
zhdB|VTr)zaP6$y@Ra9KtF4|qPMJ_~V(nWs$<zEdJ2Sr}(>Im(<@nG@dHi0`Ay9}ZP
z_lpK@QR<jbr?ON?Ec#NCcTCPnZdo-I6KxUapgAwTIA}$wEOPy%d+CA_r*4n{|MZ2k
zgk|b;;yhwFrKWTwIvsOTa%vT{^tj=*;6%crqaCuGmzl#%kFD|Bu5iCgOut0NX2OgG
z4F@_8Ry4e1IK1$QimNJ%7YnDbyof;ogW{nUE^(ur7atN`SvZAt^)(V~L_7s1FIae}
zm1m!_#N~bk=Pr$m4+@8lc`Qg^Y-UqZma(d6kqE4BYC7F1qAF*XWMjTy;iSo?3mYOP
zb4}_JGf25|V&h`>l}9&i(pB8%dSqMA4KbnHK}pd*IozkWZOQ3Ay=_kp_nB=|wjJG~
zvpe;nF875^U#6*MM7B&z&4{cy?X*S5_4KD`vBjyDRU32#wy7T4=5b>h=k1`xXq}wX
ztzta4CoNP>i>^=EmYNprcf0A9*sR=@H>X|MwqjfA(Oc7I=Vs=1H|1(Zicc=JjGVS4
zHE^Sj_O#Zco3=!ViJ$(YbZpa!h-s3iosuK7bh>%Pxh1Zt9^RD^F`f7Ip~P6LHQnu{
zKR4*9oc<Igc4O0#X{qUvDW{F1#pb0h)b&2U>B_XD$G7QhPd%vXU7r_Oa=IuvvOveX
zFZJR^9qVbW?ft1gH|jV{Q$4YXBXXMJX{VIPE}ia)sg{?L;!Scm#iJh`oz}2XE$Uj!
z=~)$#!s^#px=bf7a=CC}+J{`uMY@4oRO_M-Wq8ZvaxT%GxJ5N2r&CnV^;+wRT|ANE
zim!!IVjJFYpWV0M+tV%j#=q;8HtQSyPP!$mSN-V7Jco_yL2tNQYiDlI7y71pXjVg{
z@KV!6qfPpbzm>M=JG=Z2+M>U|*6`N!#kHQd#6^E^N{Wv=abvpV@1n)7hqvY25aYXj
zDKXk6=k&>K3v#?qZELt8Hno=V*7SSRe%ut=rdxPhXoqTIvgef>)A@ftN{qL9!(HEA
zdvk+oQMA)`)w1ZO?WzsiT=L_K-gNiX-rT6ax;F9c>9hN`d~;2YkNeGZd-{!iZ{Bq0
z#HU3sO8369?MQF#!Hv3>+gy)tdvilfPS-Z7Cg*g&n1ZhHw$@|YZe(z7(skOFdScs-
zZLI0hMcZ7{qvLKf-JTY4+OK=f(-lvzCy6X}t#{+Tv`r<qyDK;HVp4QW&gt&l#*KRF
zLa&38Vtv+dpWd}&$DRcd(?wo0ZPr^_YPi<>%&rq_PM_Ij5iy<pHB(}&(wgp;(wQ6d
zM6NAm%8Jdnwlpm^==Gwg=~uT2+?=L#J1Hf)Z;dyTIP2?839%Auyqm@5=l;AYc6-~M
zZLHgMcjjKK&pn+NU2&VqWO1&yzxP?!)Y!0Vsz-KpL`)adb<}mzb-w-Rw%DRv%_#9H
zrJj-E0tS0snW9wgDZLf*-0rc{^^oqR+=)5eUp9N#b%#VRndiDlSLnRbSuxLT9@gC=
z(JD8T_KJC?Pb?DSOrIFjeI;tiY}X{+NoSSTig|AJSn0YdvR-ADtCQ}f%!z4Yo@o=4
z#7=JUSnApoxkRM9C269c&ZW~zJ9UCiD{a)7)ak0V(L+Tn^N3Ps#1et-Et@>Fx>=GY
z28nT|PV^HynKIE!tTSbzozA3o*H;@nWW+KLD`iG3;puKkoT#TWsnvDW1`iRj%tK0^
z5lc9_SrRA8=}c;N&8pwv!6TM=P)Rdl2}^ZJN`KFrz=^g>o7|nhd7O}Ay{W8F{Uy1-
z=1pL~?W2wE*55pi%RRiYSh_kTxj*ZTV6W}0jqXO@G>*v~ys=ok`bkoM)EmKW+o+B1
zTHiD>`}@9~$mp-D4%y=FQvKxi;)S+Cw-zt3ef3uGg51Y%8Qa}=*`9h^FPPV#SDkWu
z@qF7)Hy7WM`}#&Ox4+_B#y0m&wzqCBz9Dz;+l$gAo82v|TQ<9|v(0*Y@vPj&Zzt0F
z!>X6uT6{(B>Knncf?553)h3(WjjBa9yDziVdMkKFZs`qWk?NA9{<v=^Qv0L6b)@$D
zyb0{IRodvT{LSNtT<eX+Q*DE8Dht$CPub+IQC+ghU9CD~le<dwm&AUXH-YW8k2bhV
zfAcsjmwH2)r@ADuU*}C=tL>r<?!w<Z4#~ORQ0A!qlF%>nCa~EyX@fiWH;;pIsu7Eo
zvr|%fyVeL!G?m)q=5$TtgcM`sV)^VR$-Px;1p7^IZFIA`rg2>AVZ>tT?3Co*tTlr5
zy{5A^x*1*5I3{&4VzGGklce6LHG<uyQ5)T~u4!cUPPq0WqqpbUi|uZ%*)Ch$_L)jW
zDK9kTiduY6igB%Aes5X!lIX>Eq#j;-vCVCp>90uT`KGJZ2A-F?c&#J7H!WKwdhuM-
zuC;<Wy>Zz~HoIA7w`_J>XPUM4;#sMU*G{DMhSg^;iCTO`>gpQ7vr-GMz1ZSroUO9i
z%`iJ9YVjqhgKGl2Or18msb71M+8c9iMQU%vwG&6ArbZ|WWt$}Rx~vK8FpY{-o@&ap
z(M>bEWs{qFcFHC<)ohndZt~Yo9F{7LQ0B|tlGtmqCa}%)&;~d0YbOp#g+?fIWt$}S
zs;miYF>R{f;KqOL#6c<32xYeHEeX9MYXY18%HEtm@vrDj`H6pdZ_c0am-VLnguj1p
z%<upE^@e=^-?umB_x*i(L%#3t-5c|J|6aWz-~0FMjrl!)kKT~)`MdYV{O-S7Z^(E5
zU3+7G*I(7Q{F(Lczm0F*&#!m=t$b^Ke!cT=;al++{#E^Ez9pYuU%&hB%Wu<f%jeZ^
z|9kV>_s#b6|6cueeY5?oe-+=%Z_m%ExBlJzmVev+>;Fo=P2X%k=kLyM*KgfF`!C}6
z=9~Ak>R0_;`Yn93{j9$Wzm?y*f97AxH}TE(m;ZVFZoV1c^>^Wo`<;LHzB!*-ulqas
z=KRjTQ*X$3{_VXnzvFM~jr*tmg?y`LPpa4a?R@k8$$viI!Z+DZ`dfQre*53j8}jXc
zb8pOV`<r@0zU^=9jrpyALvP5p{`I{vzvZv%4f&S8wm0TC|24fK-~3ni#{8zgsy7xX
zN2jE8cjX99)Ro%g>a<Pcgc#$^Me@;4lDn&N1p9SwZFIHV#&KNi?hPgB=#b>@jGT)-
zy7iMbx*BfdI3~9DhLU*nm89;7oQqw$K^t8?qg%GPx<{vMaow-0bxUcH?x);~7sNPo
zFE&q_GG+Rrdt!{ag8AKL(MxVGx+C^*+ly_k+jM{3RGP25DmU=F*u`xf>D_6o1(wd>
zRotwYW_Bdgd4U<r*|rro1y%~IzS=HeCf&4EG0)7U{&ahg%I!sSb-Qu}bGqZ?x=OZb
zq<0r=JF(Sut?sUyO4r10=3LC`4vC&}Ytby-MK_hMh|S%Wk=C8EO(U(_CpzSo(hOa%
zjjkHoUK|nIn=|pW*w!4u(_%AkEE0-#N$PgXnb@Jrwb4~OddVhNg>4$C-2vNPq;&gi
zTanW3vF*iSvC8@zi}<3CBzBwSOl;FVwZT<l+lxbDkvA4`MY|++tL02=(e2vcDzNRv
zK{3l4i`b%%By@}AOl;Ei+Th|o?ZgQo(MTmFZ<CbH7M;Kes!W?)?5CYLF7!1*NzQvq
za%YK7V4v!tjV|WXP8<`u8lfcRZIaxXq7&Go+O*L{f7*$oLR%y1mBhTaBz1=91YQzS
z)V+97NK*G=erMOTj*QM4Z<8%9PTnG0T=uFmMJe4AdOOWyyUR}1L%J7pJ9E6hY<98p
z4vAhgPj!*5;CZ35(>%7hSbK{^E8P&<JIy1#vuGMedS{IHm8eCtRg-ilo)ua<&10*}
zO4U`7O0!g*bT4LhrcLumtM5#jc4CXmQq`u&MIzoUNu7Q=7f%cA)CoK-v{7ebr>fRQ
z7nNxlM}#^f772K7+2o?-&63m^G>s#*({I{|luoZ{9Vwl5IuqMfUu|%anU--_C^KRa
zk9SLAr=HHlR@GG-Ttud291`-3Sj6GYlGrJyGqG7UYl92Vw2Xs7nh}dwUe{Z1vQvJo
zy~$4Lwe%)C#n;@M>=a)A-e@QP`t?RTx!1Qh+R45?z0pqQ_3n*!(yv!<w3B*0d!wD?
z>+X$q60fT_+KIo;-e@QGI(nm>=xgsN`Afh0uBC6;cmG${HTNxc_kXorQ{S?0!Pl?X
z*tgi-`&IV(@>>1vc6WZ|z23a`eR{3k>(%vZ*QeKR`TB89{x-XtzsjzON6Y8buK)US
zjedHq#p~j=>$mQk{dMED^v(OO{t9{Ry>@+Ct;uWWwePp=oB8$R8vV4|WnZUWW8b__
z<hAq0eZsG+Bj=y~6?N_SCOhHR+8gbJUQ2JZ6MW6Rao^OhE7$NJ`4x1nebc_lUuUja
zpHi#x`u7IAdj8k1H`wvLzP-VY_x0%wc08|lZ?NNjy?TQk*X!9E>^NU{Z?NNdUA@7M
z{dM*RJGR%+8|+wLdv9=dFFSF9PxPjulC?=nd&`@E39?L^obAg_9OwIbLs8CpOLBY3
zn}9yqLmQpV%T65QyLv-W%GxBkJ>^Y6k8IOMXZ^AhNBOqiP!y}T-jdWF@+ROCpW@pK
z7x^ULUdV6nD(lE-udz1S;_PHCvc-9?EYmH;dwg%pJhnUUls)wJLT-DG^_R`gcGe-c
z7tWJi^j6?J-`O&cZO+!#BDWQ9@a--0NN+DH<4A9hvA%L^;cVHYw-e6ttu6D|>bz2R
z)lJ1&vQBR=WVWZ3d8D-`)t8;v;=EM0>E=Qa>z1T;zc&|7^X+^SaGG!9n+ct=S{t2J
z$}*1db>3JgV7+CNvz9eWQhQJtM{2uY*@={Pud<GmcDpwd+GSsDaF!{{ILw!MV<C@q
zOJcj;n+dJ5t2Q``lw};^^SrT;!<r?rUGB|<X4$L_&OBuq2l+H_EM(CxNvUt`Sraf(
zRB4lwbC|~oF4jmz1??}%tu<=``b8gYbg~ZfIL`GnLQzJ$B)K(bO+c^cqK!_*VIIf0
zjz%a-Xn#p+jad`WEt<5^NjuErD3|J5flFMnYbRXf`Wv<)qqQUK#C9iVZIvxfj@nnE
z6&Hv;T6-a{wM2VLwBjAEyJ07`Ioa21KiTZGRrFP);w`R^YcAxprf6^3?6gs|Y3+sV
z){-!d^wxr~6I-3uitdV3yvB8N&4sMi5bY^Z3ulQgid4M9H8(6Htu-Y~Bdyg(J0wbR
zhN#y@CylTdN4WN`nQ)qG>l%U6Tr(pU3Te9}wYsgD&>_mT(Mel-$tEX-FpbpKfUp-S
z^{qZ(D^glL!d@Kas*G63r+p-`)ojg#HqlcXoFu|t9O8<MSjeUAlGv)YW<rZ-*9Iqn
zuonlpEF%`OX&*^w6<af*Nz`kDqr2IO6KtY46_lh+Qkq+G0w(Y>ZF00XJ8_)t>kS1t
z=`G34B{>0oyoWYAnwy<C#&-3Ff|RsLa&t;fKz$Ey(?&;qvlB<zw%$+>lirfl9Fh}o
ziA^#0!bLX8+za{5U1l8_%{9^{TO6IFMYcHZ<z>31aF6Y+na6g=oxF!~FXT4oNPpSv
zXeS+Vd%-;3MY#g!+0L4IY;&}h7P+l(gKe*wM|yLS8Ap0^jP#XT3uf~s<xV)uw${vJ
ztK&-ERX6JuX7M`ZUdU`tGxJDmPBJ^O#c?Tb)6E4U(k)5NemNITv+c|YIL)>(XF?~h
z)<#Davy3Bboi`Q;NN?HXs3pyk)Es2Sk=pEMb|R(O%d8`%*)C^7JMXIvjxuH$huJc3
zEZ~uDNo>~3nb69+YJ;PQS;iqY&l?Lkq*)T1<#Hx8^Jdj=aO5$|ILM}XV*$%;>rJ-G
zx3xFfD&3aeWUF|ady}og?cW=1<!`^<Xe)R7_C{OT+ow0$%G}<)(N_BQ>W#Kiw`Xs(
zmAu`((N^Mi^+sFq+u0j!#coG$v=zPWeM|1rx4v!ZTlU`n*0s%ji|zeyZQInh>|OBo
z>o)c+w)ei3-M*ZwzumU}&bPeVn{(f%SKHlQox480ddu67Ir-acZ+<J=CVpEkr+WR{
zmpS_B)fTslbJuU(JNxa%ZRwl$Ui}tw+dFrCTD8e-=iK*O_Rf5JGDknHdfD5l+t@en
z6}j!aaj)?0>YMXUe~a37e3Py4ZS9S=Lbs(i+6vz0-ne(_+m$)|N4^DZYu~iDe)8Ly
zIqOrZRc`;@V9S5|^#)tM+qXB^^4>nZ!ItOt?hUrww^whl<+?q4gDvOn?hUpax2reU
zvfs|$V9RzpdV?+NZSM^Z?qVlSFo{MgC`q?}TG9Nnh{e#UL$O7|scXW82`Zja%*KKa
z3IZG~&5TZ;Uzm8^&lh$GNeN7nU@GKbPORr>4&Z1?VBt6<Adtl2ctoIe!lVmcQOvhg
z9gKTgYn`tbo|<ED|AOdWo2YZk^OQKxe_@Ngl$nqlBvLok_y1;h-+fUBf5jCR>^+vW
zEPzoe^Nnw9a?*db+F9)WYd*AIekC}qe${1>lk$3dm)zkJ{*#)@$T;PG=QnxYcb%OL
zY7Lv~Z`5j?t)GASy621k*Ij1$&HaCm>tFGd**Ei}U%sx_a#$*3SM#8PDK+qx|A%7c
zSs4Yl8Cu(aiZaBi?QDEEiE)AS)!MwZzoYlR?|!sf(qRA68y-H4Q<o~7d(7Vd{?X^v
zUM!-KdvqF7Po0@`d-?q-t`+P1=RAF~Np-2zs~>xnxEreKuiyN<Zt|?-bAB)WqaQx|
z#La%rhESP(tGTmZ*YEphGMnY$w|4!v-F6HMJpMDCT<>y+ZTZx<vQ~c=X6Ao>_^<61
z)9ua4TV_vQ<`QMKYhpxC<k86qQrzF0_LMz6rI)JMc-M|Gr0|^4dn4oL9lzbDGM?5}
z$gf_KH6@$p^0Ha#+m$BPFHlfs{(pSs|GB&E^>aULb=`3;ilL(6!{T?7Cv;hDeewQ<
z=R(JTCWrrN&nM3goaT~M=TXnJOySRzzc0^b2R(4KQ<Hu-FXKYa<jjERJ8}Ph%AQf0
zyrg<U7X!y)*ZQ1I&gyF?KC}J*IAYh5weR;D&oZ{OKeM{(twHcl596IFY11y(e|YhD
z%SWbwGZ(l2uoGTb$+j_WO2R79+JDkvMOm-Xt(~te%9kyeRed2}T>Z6nUIl-x+_N>m
zHpwY2OjEHJERVK0b948iywqE{83B!)>;c6a=YOwT!#sIW!?r^)PR=C`As-j1Nxc2Z
zv*U|oKf5%eA%Ezf<^TQWo9~s{WHf!t?8WteZ0|p>Ja9nxjL^*QX{_&SF6=N|akxra
z@#16+2bs{{UO%<?H_Z={@rtRI?0FLEJpaUBopRy7_Va8#cAVq*)bRJ|{lbs^|K(1W
z&tW|K_{Z}U#br&5tF0ItT6AVI`w5g>Dz;^K*s!M7ibaFz4a2NE_l~|3cHk0{i^zU<
zW2SLtR{f0c=au8Xx~*h>^@eXE|LV`8TqoAQ_T#rwU%k8j<nkkvPc0XI-IjjbL&Ia*
zx%Lz6+n#o+*dB~KRdvsDo6YqDfB5Y^dVh)}^z+Ys_Fz%b7rFCSA6v{!mubC}v+UoB
zzCUJec81FP7Z&{9H-%T}zp%u~or|k`S6tk3OX}vkKY~Z=x$2Lr@?EsBE-LxG-2TSo
zZs8f+S|4X8)g-mgpR9cJ%hCPW=C$wl?Cp+E)qcGFZ<jy+>Yn8v4`=nRKg{ue%_pay
zOqHj?RQ~O+>E8M>UVQH3Z04mbmya!v`p1=G8p1yRb)-v8u&iGHrQn#YZdcnUiW~?$
zu-d#_^k7_6^1=R&X-$zw>c2Cl#G3IYU5a}BUG~BDqf;d3357j5Y~{JpPOjSI*v1>L
z3|1a`XMX6vbU53Q4v}vzNA_-a{_$?B;o{@_-aNQ&-s<nX_OoJ5MLy>y=}E#hzi!y9
z-|D(!Lih*8lVWqPUAUKX^qZmhE%~`$GS+sVN;gb9w9&D8qpCF5E#crt7o!em);oTm
zd1lte4RI-k_PbrqCoP)Y;JIfLL-DmG@k*?Acie1_ch5T^X}v|{@3hV0&zp_rv}&JW
zSU<<0Tl~Y>IMx1HDOy5`7S`Pvd!H(=DLHvY@2ARjwnm+YE6>DvRd%!8xN@mxkJ(YT
z-*uHO>#Sve6+gM`ai)&F*7nP+(^;QRKmNO@epBt`({bX<><-Ug>nranCD*>>b}PT-
z@5L3zt22LE_I{G|S#<7L|L+H9*NZ5o_KW#{v*D}SY+nBT<So8=I-xu}CdsD+MP$Xh
zx8BY5J>3<1?GAHrC6}x0w~$+b*Ds}e{+3;<ZN(g45SpUj%Hsak<(tS?zc(QU$2YfF
zEVkIVe0jZjf-ckH->Q4>DEEF=wzbUKt`&ND>F15|!cTG<^xu{2I1y_7jlFOJ*AL+t
zzbwo6jYNaPnv{9Mzvf=G{n^91_-0eqn@<xoH}x3Zl}Xt8XZejHl@*aEm8GgZYa+$p
z>^J_lxZlv@&r`|JutY(g=(;m!k7(xeUr|d9`uNRn>m85t_1hAvp9$~YSNimPorv|u
z+Xva_>a(A=cu}wUa>A+7`pMc}wkO$J4li_ic=$of!j=zmEjM@_@+{<f_^z5gj4QU2
z+7_A=Kket@1C}3@_EZ{K?B;%0!*I3EV@1`TJt1o|)^2+&sCrMlHFjqCJI?fnQU1^W
z-R5%NU#>rQp?@Q%`0+{gE%u&wZ88jFe3V3LUR)K+Yq)agwVv?3b(@doik`RrsH(oP
zIe67O(}wCvwb84hHyoJ$y7uz&d6MU3g}C0a@lD*mdE3d^#%{OXiv;e!`uRrPjeEz8
zR+@bZK9;w5c}SdfZvF>m{nGEZ@9y|FJ3cOd^GOyzk6huSHMetqnH~I|d#|_tfTjt{
zzN-o`;<X>{Z=Cpe$)erN7ZyxEan|?IKQqs-bNKk&FRglZ$G_)k>YJ8wFWdK<+10au
zK6vA3R>1WA_U4Zb**5q7rIt(QOWn=myInE$W&S3iYjbM<6;{oCdpCC5R*`N0T^5`P
z6qQ=f5zh4X+rx%St5^&s%nvBvapKAA3ys(7n`8gT?WkCJ<&n$8qd!|kpD+Ku_kVEo
z!K2?>4>oR{*g5sRl={xQhTARpGYaSBoR!>R7Jugb#$#u<X>a*(Vt(S2o5pHJH?EyH
zn%!@#S$y}y&suv`ky6$-zd!ET(A(y6#{1UW-MmZwsXdypf2D=$tYBAVy~1hded>0~
zbI%hyGF@7@-ljZ9|Gwv$?<~^u_Pgv$=c@jH^jz$jFGr3qyRy%HcHQdF&Qlr!nk!v5
zo}PcFaCeNx>3Q1m0ZE(>y*91iTKH3Nw@j*E)Gx2A+6rDjFK_wuO8BXX#i^NZ7SGW$
zTmRGRr+4<EJ-z*(I)r5}Tc5H#sG7cV+8o(wMM}G$IG>!vZK!EquO)bDqK?F`B`*py
zOzvJ{Fnh$^nRQuer*BmePwYh1tpWKLyKhY6s!cSw^jLF~f6FhgYg;U34OKVHj9B8V
z66z$%RQsYWC?ap4B8TV|&eAsLN|P@$?%ivR$gmKe<=K+7UO~&8>D8u7oV=@fwbYq6
z)#<M}aB;T3@RdNdEv&zn$JMKf*kwJgmR^`6;x0Gw;>OMZksU=6EkSKJ12k{X=I~9_
zUQ{5Y|GG6`LE14^i>Zb8lI){DzLIZKSf$mXcVRJm#$txA3oVXSt2y**WGYUa_{436
z@CJ`BJ9=N8_`9+}{<DH$l;zcl-<@7;GvG2#T)ZspCTDGv?0Ipw-MS)PD{Ft%FZEkt
zY!GFu`y})1rTzM=R+YZ=QWN<lx9|d2;x6f{oKwZbb+@;F@!9)j?Y0$4YmL6FRGs^H
zk$(Anj;(%a8>Y>>Ahz|j;zIxFGdcFEF5AiVoM&@lM$4?{u8#vbS+f}>)7m7Ti%mSa
zd~v3;a!5I|@WnXC&Wn6Em!5y-*OC?@5*JrLXVErS$433>ZmycAS9XQ%)Cidxuvb$m
zWMTk!+~pTi=L3Da;sjT`QFnE5N?y<xGWCMjWyMgnlBJrjTtn`j?_SZeYDJ9tmQ{;(
zOzv9xy`kn>yM3hb!i&>dyl2k6pdiWhb(KbR*VFT1a|8B2KG?KOQ)<J?s-+fBcPv?v
zyYFP3)|C1%-@v)N*E?*rZ>qQoJ`4SJSw|?#c@pOW-pVu;S7zUvJA*t-os#czO)dUC
z`SSeRSN$`$I8|P;H}wsi;_j3meJw$CUSz836!)Mj*B(v$n-Z$>WwF*Nw>28m&b?l}
zLSuCvcfHzI<AsW8lb8Fwn5$}XE%snRi_^zY*SlMCehOcnTYn)XG_ct>R_CbZaaY&e
z&$&N>HH;UAM+Rn>JH7quv*c#GlkD;zpVU|TW!P?3i(=oJ`890C-CvC1?^ZXmRj+4_
z(N>Su*m&Wf<b1ap{-kP_ENN-s)tei5<)areF02lJweo_PfOfoWm#g%S%DdOpzt3v<
z9W%Fyv7;$D)al-bCw=u-FI(iUXS!M0y8g1{+t|d<pUmYJ@-1pyKFvw+?mRAC-aXa<
z6F)?<zT#uQFira2-}@Y;!mYb_xfbv$)YPQD{TzEBYGIR3>m@(C*>%URWzElBv)oBq
zcI({GlrLiD`RuFz8t;59_RQ&}tewrV?VGX~7S82bIdOu)jr&hRIo9p4T2`;P;Niwy
z0$INvZM>B*`PWvV&UaA<8srK%cO3hF=*CqYwS8{F`ro)19_8^W&JwUNTc5J?@c(Io
z(QVxC!gJ$`j6|~5eK>z4f$5)+;tY|7_(vj3AO7&}3wPQvX~9&1h?g6^SVA8uP32k6
z(ynPcVN&80hD9kHsw^71H9`j`2b4?_tvBQB^SQM{<=`ZNsY@I^8$7F2)QjhIJbEJO
z*YLokZt{iM4R@EE@b$>MoM@K#Oh`Q6^K=x`V;x&bZ=-!eA13$AbGhN^>^Etr=Y*L{
zj;NgSu8Nuz;n_1q#lmxeifoi{gm1@{C3DmknA94~I+E^WH95sNk$p?f3r5|G4C$SB
z4ZQ1<P6<_s-8^k{{7XlwiNs7@zaC4sm+m@-P9+L{cltTM9&Y<-sZ?jNhd;)-e$I>|
z4O@3N+8pvQP3m+%wMkjDqGW+oYiR;w^_c|QfPnK_+s^g0wlKc7xqEA`sEsDioD!$W
z9L`lX&!sm_3h)b>J2B<7`=`wly>@z72y;HPV|$xipD_Q(FODnhPQN%7OqBbl(Qh{?
zssF(VW!-lkeO9ZE%19QvG$^;58x<^G)GLv5WTP9KX^~uzgR{{e79|ePc7;xkMF~GS
zPPP};%z5;fXTHM+#{KOVs*k+8_+;LZw~SlcXWGuYk-TRf+uC+d+cPgePLWIgVzRJZ
z^^L&AQjv>RL2K&$gjO=k@V9@o=yGqakUFf)!SDRRgQ36ezUK>B#`g@nmHF=)KJa@`
zCa|qJz?$uC#u>l%Hyj%eH^@4g^>?41>?5c6Nx(+VU)kso$5TP4fEod3cF7&?9d9{L
zD2U7~-JtN!!SR2Gg0UP&H`}3&4x2QT4@;Oj^yIK8h+I?Ve6_Lu#eBcx8v_*hzPOA0
zNKUY~&2oSK=Yv6=O^v+rHvc1E8?{_IUBqTgU}8S?MX52C<&KiKZjo`f*7}YuN@ui$
zR(ce632C@0i73wZxZF9#cZ!;@<kSws&Jd-EY5^MZGX$ncD6%n3<g{DzV4+r7#{_4Y
z1B(1+Dvr*wGZYot7z~!wcZdruXpP$Fq|~Yq;PI&Ch^k;Im!MIHgTkbK`z7@iDgS@o
zde-z?^6G(jqu>9|RlU0Q-EqzV#l3PI0zS`7_|_B`{;;|5S!#bglirE^xz01J9C<~~
zcQgJtxX8fnx4epCee1N@^BM)2L@GJ-ECL_jDPr?&c_p^v!?wv{n^OB7-_!@~Ta?rA
zjQLLRf36=(gPQi0Sbq~zllNL+nc8{xd(Pg6o+>OxE4d9hD-U|H^*%|K2*`DE&=gP)
zIW@W0Vetdwd6z66ot*ZeC9!Ps+dW(+yEuJ|F6VQ0sGU4^pzx&squ`q&JC^G4c{R27
zX8h)V#ql)NOw_TrF6pd>QPOt9M?22eb2oKZG6~LSx!e=eFPp?(n(<iBibW)sV~@_$
z4}ENXOU1Lj{69sA)ZCl(g0u0{OT7z{(s4{Pvs-se+w=9<KJ72L6Y^z#+$(tBve)!V
zHOrU06SrOWIPR;ybhq*CwG;22@3LNX+u$z8qB*K8WlUF;?Djr<+2<F1PD*)=dHmdq
zSDWhB%5B>9bQNzX@61=7EBGw7?mK*i|K&S}?T2?Np0RCxSy0xLne9=nd`tAgHUo3M
zDb<O01RseBzT+)0-<P}bw#Cl9JKp-_a-aDobeng5?iRBnS^fv=5BxQK^FQD}+b?Sw
zh64-@Oa@Gj49~W|ak=<EwdVdp24zMWABPW&AteocAM4L^);q=S?|8CZ=Kq98ll7Dn
z6@7h9Sx>OcV4rnZUh~g^2anwgHHw@yw>w^B`FKF0(8?e}HRHoP-hu*lhT9wl$Ew(i
z_iFA7SExx>xPPjNC3;TNvAT`VE*{B0#<1FcOJwb&LT={3c^qq}v!x4O%r{7jnOzdx
zB!0VRPGtA2$h5Qd3)J^*6a6e|+`Y{8LQ46=Q(b)B&*DrZe@sf;e0nOYkCd<7yfvL&
z51N!V%sAoeq}12Kp((F4oA2Ug#f{?4)|+_FPu?lhyKF+e<MQ|oH>cbDblQEV+x*L+
z6&n(_@Xcbopyi>@JZTPt;ZyrfFZmBd7OF4h5i@wYTFRj5nvk=scx%12HJih=XABud
z2by({Gb$y0ICr?wuxZ(KnSWtN8>cf}4wbZte5kK*u`ZV(oB!hES6AnM7A!H7I_>(&
zN2WxmtEK(v*<({Z1+T17o2w?|VOFar{Aq@3CWD5cnX)3IX6u`~^0w;tIii+TKAYR*
zdGX<s-Fs@43-34OH8t5^`dPoBUht8djGVP?UW<%G5UZ!l1_9O$#a9o!+sO2#rf`GW
zGM#S^S!|@PtoWX{P%rFTtnGvpwfqw?SA2P1S{^_0GL}(2NbRnX%x1@$h38q%Wf;ac
z{P3#KcdQQG5ZClGch7t4YvP>oLELgb93$L5{^ayqz>_W0vbg4ew29<Nu1q#w^ZGlC
z&hNgq9?ALsaQ(eg#RnGMShZ#6_2&;>dHbBY9atdh`C!#;(de@W9)FenxTQHhs^dV?
z_oaMoy?i3=S4CGuM2Q6k`Fgaj)5!>4XYq8wwP^}YxqKGv@iBkTG@ME1lfFJH;xAXg
zJ~pd+s+Hw)RL`ZWI?Kfb6f`pR`KxxtGVRE%XW-&H!SGEa>RdEKkAefccG8RPWoqSy
zCGXw39ojhAMf!O3coy;7p9t7#<8*$bSiz3AD2Ep*ogF5R7M@fx{~Gj!cTG_<zhv}`
zj%#kLxoj&Acj+HK`#;w8r-j&zc|j(OE}MJQxN_cz`R$qA++)6}hov(|<fg2}wwdl3
zKlFlg>RaZt9LTf$F*PSaf8JZBu2RAHOGz{L34PR!)KCbEaoN(MtCP(?J^fEdfk#UA
zMD7LJg@SuG=Wg+{TwZ*Kx4(J&IphEQ`OQ0D%o23Eta9g5itr`lD5W^JZ66JbpC#V9
zRIzPV&f#elcMmPN+%!4Y=*+5I>3eHLZ04jI<gO4ZknXH!;GcdWAZwA&4qNk+;Z6n>
zbDb|%X!PgYKJ?7><EPRpmB*#t4_0?Qedu#?%fkt`Zbcu`HTBtV)zPu{l+%X~oR=nt
z2KBM0zMOi=(rTS^h4CGo4wJ}^<{1-JCG)?QSX$;4zMm^1*Thql%$UOcDZlOPja{n8
z<Toh%GP7B&$~%kyVf`JIbG*yhMV&vnXfyQRiOk-r*cr+q#OsyKV03WuvPI#HIa`yA
zyLCDm1z4*D9~mxNCm+r+|G@1A;bTpk1-f(?L{D-&w9#=1O3~W#d5H#J&yw3;x2il=
zjh}pH$L7hmTdr<sed4icsjG&vlIFzzjYn-}>E3!c_a*-kpAMgx6%!`ZbC;}$mP*<`
zEkb<>Yc9vXgX|ME0@_1cQVwm%nRLg^Q=hTkLCVEpGgn5umWZ@P$ASaXBRn?jVNmpv
zI^lAo>Em+|s|}odwVRd;CYUg=buC~HP$+!S@mD_JqCI=ivAJ6^*04qW;(F2)`Dof1
zC1J+Ar4P6GaOWhaD@EDAlREt%wf?L;OB3tdz*Wr+%RhZO-`p)VX?uWE3CpH$opoBq
z8R}d9AC?td9I{2x_kqkI6{lvMiFYc}bQ?l$%zDycAfk3?e#?ad^=-Xc*UpOsZdU6%
z5YRL6#tfyR=F?g8d3;!Fm-tUyXx(`IT_j7}<<Jc=dTkBiE39OmxC_W;{xdTF;rvm`
zMLfWpnMH&F1ZL&rMLwIzpKHOwz+k~LSzbe2IxjCLuQ)V>m4W%`JJWQHt@?ixxY<h;
z8ID;Vo?aWks9S&9Gw1fY|7^B`FTY;5+r4c0i;ZPnbGF!Tzp!0mC&M;|6$~QsdGAy|
zC<U%~_NnQYn(ZCE;&tjzEfY7U?kRixLbvqFvcfH9R$jrfbJzCGTeJ8Xi-GL3KH;y%
zi}#$h$WdFVW_&TL!cBYb^VG;0Cx1`=xpU^#9R1A1ggs?7O_P{*3DvXg{nVtC=M*#T
z_S`iejQUx&AF}><hqGt#Ce~daqs|(ahg42nvF>PyMxnLjnwK~9dkuU#Uo!gGG+dv`
za=hk{>^y~#@K8oG|C^oNybZ4=FrD#U$9(1Chl7XMq=gQDYGQHuvGIv<Z1{{%O*!WL
zx7~SL#EgV3-On(n+1~N`xAb*>{fn|MYF1g<vTOJDT}pCK->`W3>lKo}mcD*=?`(zc
zi!{IR8SF1xrWD(Ld$o7zyMtG+@0>r0IW{Tk%7a(R?>*T>lH;OcnpXFh1ge*JW}eiW
zTf9!)eAkld%@UuJ^gDOYOx@X}r8qm1Bc$`C{%j{x_VD?~j;ism+t;DZxWK(`M)jV0
zx#i10-76K9Pm}F>=d^wIxzE+7H_nSao^{=Mjqb+fp3^66{W-bME`HLhtF}>pcXe!)
z=gGds8enqL{+e9<u5Y|6-REt}n3!E)@^1ON3H_(^?w+$Mop*D^;yJZejDh#<9%kKe
z4>|jb{oUO_;kiZUR}|}~Zh3d(7FW$h#(jypo#wmhpDYXA&exQ6HTPOt!7}6X5w~|e
zb86?2TGRU@!@a}#M&M@->$G(nAK&;smsidrVMF+%O>>wc!^Gl$ojzcqBKNiVbW+^G
zi+pv{d~I4+Xv!SEEab<PzpsYvGY`jmjjz9?RyHr+$QS&#_2Rz6QRO@3US(YNwbCs*
z_sVd}W53>A8ei+Dt@Ph7SfRJLzJKc-)-1N|8nLQZ6!&}luVU6Os(gJ}hd<EdgtWcu
zwI%Ok=6+&a|7>o{o4fkni+%kzU28rW_w(5mx%8R89<L7g{Lj2@`4xrm(2~YxtF=W8
z3*0I@FRDMUD1F1o)Ua<wqYKkaHK7ici7K88FE9!$UKuDS*s!!hsXjCH`7$=1NVyxD
zYmFUCW=G6WF7mSQT)129(Ji}vpSd$XZF&)MhJSWx%LId$H)?JL%qabobxFtR@|Q0Y
zpSEz%3vHQc7Sp^~^^W&Ky;h@0_v!uTqI<(tuL!-LJWXVppUTHj(~XCIPP<{Hyk_pv
zt|+_B({32lOzm|Ju$GiaQ)fP0|0bp3p#ay*@Td7Q%wlXh4j(FaPkiques^mAih!(h
zw<^MyXbAu4;Gba6SkM|TK6w?(hi4M<jEyHBhlmN?EmU!NGKo3Vr~YS4j^t5+hX*-0
z-Z(h0b6i*`{b2o*FDv7Zo87#=(f3<v&g5Fz+bLkK;n^k@yNCvEmeUWGmuJXluP)5~
z!w4%wI2b@>NY{hZx5+9@3@S?8lO0;br{4)-<g5pkA3bwfXUMqET6SG7`Q!)Bv>USL
zb&6S2!mjF?d0u`!Eh=kk&d;*4-(oepi_N*st+#Kl)<}bZZ6_ujO*lCz=cHJSi&$#P
zf5DsQlUEz<`+oX_y^^ug{}b=dY1Qv6e0+2H>?ODVJy~x5^LYL51%EEr|2=uX=9lBm
z_`hfC@7MpbmY?$Xvi<+x=j%R|*Z=>_Uoq(<zgOL_%lu7`_Sbya?EnAi<@tYKFR%Yy
zz5mA-{Xd8FO}uR4KVJ6#|L}7CAIq#b51Zgernz0>Q&yPI+Md~czsm4qPG|VlWnvei
z_uZ=sl|F6f>6DdRse1X?mCv2;r>>ZL-%n+p_Q`j@)uqDgx39c<yME30yvw^5$?RYE
zP48-ZWnALkg&*^^W29uBiS8AZd6t@crCspW4C|ite8H>A>bzx_ne2WqpE<|+jQp$G
zTPC?}Qn9`Td*XwGX4>5=KDFF@%lmRsJ?_5mlkRc#UH1r$3=0bW-0AW-T)21B>{8WR
z|GF0z-F_vtCs=2C*1vl1g`1we7dxFgtKL53+Mm5iJ7YfcJEfkzf8Fr)vSQidbuaIo
z?i4?*RWiHer8)P~{!^zu*k095UDcca$}DB}5B6Q>R`F{`Z&|luRnAAjsLUm&<r`l{
z72AH3kMr8IV0-gEuUF?dw$+7(|MyJoK6NWi_teLdg-iIq^>nR^`Tk<_D!KZ-3t#_~
z-I`r_VKL`k(JwE$Z>^a9@we8VqOFIewc0+dv2Q-&{dB7D?67O0+c^4uD91+Xt=O_o
zDWKl<?L`yOIW5<l&o0;>AG{|sD&MqH_hZ&ltH)2LuJ!g>t@Wy8i>RP)DT7_e*)=%}
zkL>Ca`*GP^s8>7sO4t<>{`c3m%v)8yCaAuB6^~QqvTduH*V+e9T;UO#s}ZQ19U0WO
zDO`y2>&*8jo~=3@6&4!$Vb`Qj{TH03XK8J+?T8QCdufwzsOYJ!e+qqn9@qWinYmJH
zZD#Ch^VOmmv5U1Y721Ysykz_D_V~zYt7E%f^=oBiX`R*%SoP?X+}5DdhsRY{Cj4$c
zSY~zOUwvayP0YgY|MrFpciySU|2et-$jasOd~K#4(S8-*cI>?BJiWvUzxskb3+!3q
zuE+~<{(ZP`qTG`>?LYhUepPtZhdgTk<vf?m)<pDAtS#GB)9(1Ns!Ub83(gxWXD0j*
z)!lOR_phYTWB0F3kNdXD|55JM#+^-kU#@q#+A7q=zKsm4Z(RPxU9~PSJm4nNg`@l*
zx9Ek{c9effjs2Q<IjHrY$Mv<_uSy>Le=7bLPyL+xPku~Zqbi~BBlxP}Pp4STKD()F
zz2D9~@?S5<_|N=9X2<{Q=5YVnKmF5fp||t2L~ORyd-bne#UHx#{j0SLl<O8S=XZbq
za$NmK=+(bZ4+`jo@BJiQU)3{Z%Jl0hfs^j1Xq?S2n)1|~_0;FtLZUx?`F%gnwwuMO
z9PssQf63cdm)3{0>#RSb|1v36s9vnbNM-B#Nvh4W8*U|J%)R?y#o0WLEhqClYNp)%
z@S$*nIXkx<s~wM>>Z&Gx{<F>g^14muMOHPRH;H1KFS(`4@}pB7-vQ3Mfluolzt{X{
zJ-T}Giw_|i4qgb{n101gXm3$8&)(u_ncAXgrrP3Yp}$4Za=+5GAHJA!SK!5*yAxha
zy8GdR>F$S%qsx?A-`(srsY)?7dLelwBKRLCo6XY4|93FumZonz8vSZ<CfEMO_LqLx
zvVPt0m$@`d`(yig^?6}`Yu;$8NVPswsi|M4pL+Gx^;s%$W@@r?LfC7TZE}s}j60t<
z<x#I&=kryoPTPezS1wrQsQ&j^ap&??g)!F`M}64z@59GERy+1Rx~gk6W164s(siwG
zWSad$^3%;jr@DVRk>8p=HQv|iM}Oy$&98zR5AQm3&9uTT_Rdz(Dm$-7=eck5*oQ4v
z+dlWm^7^AphwJskEdH&F5cu&s$?=i;(WdtQK{`KvtUv0+Wv?rC=|_8#VspKY%i)jW
ztOq9lSf14QaDF#y-~TWjjz4Q6Cj6M5bnx)`ZdUpKdm}s^#&@@x{Bz$p;oyGJMS?$0
zGYNS9sor?tgFUxi=dMHB+W&{#`1Ucp^{vRiT^r^eyDzfMxc<lO>6@preZI6{d6#P2
zLI1szDh%Vjl6-cYFffaGA|bBF)x$5Ys@Bpir`FbOr`Fo7>BA>}d4`Z)nt`c^^6?1*
zA?i6%J5Ctb#ypuJsK<4NM_e_nwOcN&z1!|tOSk43Uh&H_#P!k)>_rwIxF8U+ywGvS
zi46uZPa;J0xODi$RqLm<bjwX^>$aQL+O4U>FMc^fP%mwRk;vjB8w93!uHh1w3~TR}
z$v))Txb@+pBfAPN9{yEu@pxN-VQcThB&pelj`EnbCw(!nVcDy)t)-hM`mk$X?!!d~
zz7+%}x$k(9n7-pha`=uJhu#$!_S!y7VykvG{3RjSR44TNkZarDhf2rm8w&y-B&=8_
z;@0Ms*~2x}NMh}0#<|y53Guz0?Vjta`mg!dpNOZ?Hb0&S99-VOW2d_3!Da3+8~N2K
z-ufFtirPy)%ye@*d-;{zmt|V7cwTP5QgZp1y8Ztx-|qkad0D}kf12a@7jL%i3W=R%
z)vBMi<bLq>)@z|tQ$^1%eDCv9HoB(%S8-2$)Lluh56925-h8n6S9AJgr^e@B+s`~}
zlZy+{?zv;N(a+pBeZ#Jp>sm87n^TkL?lSkx{XQ{3Gj>D68t!-T``7C_2HxF%Y1e`<
zE=OC_UH9HBQrFmi&038AbNa4bUM4}gJl9z37oA=ku-yLEBURB_&3_@HUXcaiI!`NH
z>+3o8tg=s;U^=bppp^dhKRm0}hFyHUsq3Hp%8aI~EBfwTE|d2S5jeQZMEdXh3~#%t
zy=|AYuP&Gu6`QZ|OK5NMM}xH`rBf?>=PSzAuGHIpDE=k?)?<Mer!VvoJtcAPg1k)r
zD}KQg$yA~I*ZlgsnRs3A$2{M9^Tq!tSK&3erC&62>%V_o=eNB3;{SEqo-F>)yfubP
zx2*ZqzqqwVPTco)W&K(5;!+{|y8l5XFH_eS{k^`(Tfc_+x_|e|nweg&_Q~rR)d=Vn
z{ZWV!`H}dMqw3+Bz7PCIm0x@fC}^qI+4bd9<j#Md`VpJEtWu0Zk`fjQvGY%5X?Zu}
z&&)p_-wR*(Y`a)nAKCc*%f(NxKQK&rJ>ygM$6fMMuS_wn@i$*mQMc;LzNt5t_Iv$q
z67BIiU9Y)2h+~@0+%HQ4BQ}?WX;1jGXsLJN?A0NruTSYk@3Q^6%c`Vss=Q40)8Jn>
z4hGq4go{j5_MCcMjLYZgwpOO_pScSaLT1TEo&UnOKK<7V7L$q<7en&vU;LW=a@*EJ
z_h0mH`;mHA@~1kxW4g-65=ZT_3&samJ$K$3Tv?VHaqi>lU(OG%e`)6tt9>e0=RCLV
zPH@`-OWiM@)T&qhUuzY6(3!n<<?mbX9-D_gZa&U`)BErDEvoe^)1^eOh1HhMe<l|G
zm+N+nuK1_hPO86t=B^Za|7Y8Bq4j_2x2?aVw^!%F^P&^MzXE=CKTqD96Tq!E*H2~B
zc^f9%?F_$ld#@f!h}f_vKzqYON82h+Go|fEulTC&K6;f`b+_u3vnsn)L))g`{S;Cp
z9<u22t^{ZGl7nr2Pn&q>9$}TXs^vB-c_fzeVv6pK3nypgIo3IT+k9P^!+s&>q5tzO
zH|o__uq_PJ`&D^r{|nZI`&4eRWvkA;!76RF^tAq+G!d~pV{Y#4k*%$_-yAxW>zDY@
z%siSi{AJVz#gIi}IUZA|uu9MKI^M(^ubOnw&1-4GLANN?_=9e4OJjGPj(&Lg&#&A_
zhbw!FD;;h<+J05@lwAtftsm}=8x2nXT{ugzsomG{W&PxY$nX0%o!<4)e}9(V>$-n_
zk9to$UU5g@M}EF)T(`{>u2;YBd+eD~Zy?I?|C+@vr9bcAtKM7neTP=~Tl?3{Zt_vA
zU;o61o^KZw)z!}WwESg!_vsU&RX@Xf|1X>+W^;+_+YkFycds5yUc2DO`>K}`HkS_l
z51#3N`csYV+xbDE;`Q^=S?|ebI?vv-uvt!iXXx`;%dc>4|1d?{VEv`P>%-?}&#y9_
zc*5>8*MV1eZhf|2{c_QVKiby=YR?=B-f-Zr{8gqMVzSLoRyl=F3OSjx@IZ*xZ0|!&
zOJB7Gbgp#S7V$`{Uw4{|VK48r)zMC>{!7;$owR<_wIt1@lddIct}VKjq*=c>=$cbP
zjOrTJC1Q@domH1zx|-A}zWHjx!(I9zleDaLr`b%MBj#OaXwECPzTIi*mZ%5f-jXt!
zY-$BtI$G+|0(A^!^rck&M7ZVbw8d)X=!gYbgz79Q+!b-+fzQ5oS+&7d(prW!a;G+5
z?X(uxGn&IMZn=*`oK>%-TS)w{D}Vj;L$31E4<8j-*V3IC!z*sQL#%7!<0_6-#TCji
zDLW+fifSbFxbAR?tLC+L%kAS5uf4-59=t<ZZ&{&!%$WzT1A3V5w=A%l`~9$M8~a10
z<J%uDI#B*_(UHRi7Y{EkxOn_=fnlrq!z8J<^Y}!KGyaztx^?m?t`OTKd)T$j@1c@=
z{qusr1>QR}E}F)Cxu6^K<)Uqj&qDVdGu+M>7&gZ(l(7B6=eTvkTVXw;FTCQGzgoMQ
z{vLMiWPG@&z476qe#eJNT+N4%nzXfaOY-m@eUTi&v8wgWhk}WTE;~*b8pVi6h_2FX
zy|^$)D%oi%&oR5T?FFCTIF-c&ct3o0(eLcj-lBT@8jcow{!<6}6`DTv&*#3jx_)_{
z<-zkxOds{9tbC<jAN%d4f4!7WO#BJ`nEBz-zNfRbK1`|OSXF;%QQ*{h^7GE+btFuU
zUK;)1c5C2T+w)T0cb-p)`8|1lU01w^%DkUH)a`$Z>^*P$HEfY*rmyE`tGRnuN_YQT
z;k8Q9>!nZc)%xwi>#ts)ob_Wu!^%b%rgd#1oGnr@DigA11UeR)CI{>a2xedEZQ35N
zYq^@R*Y~SyoL*|0?hUAl@->+<FVL88;dakejIJ+zc&!&H-!ib(ozSrIWiw06StAvJ
zEHkCXkj-MEQ=-Hs9^^7@4%ihU%)9jU&yGvArn!MtUT5>B%nPfZtgtlwN{r++Z~Ju@
z<zJnu%h{s6{&jow!#<4{o~8NfjKSY4g$hc~t2YLGuNU%|${pbN(miL&y7^lJcZJO4
zU0SVmd|^p^s`Zq4L7n_dmxpq>yp){EBe-kVrt=f5f;ssv3jR8^&xv{2CVruUsqGUS
zR!nJO2~t%L35a<bIYlqwT>Zq#*i~n`FWF9Q3f#56$k5Aw<&vIDm$j@Hf9dhMJRw8m
z#nSm(L`t)6{s{8;FxBAqQhAko{4JX%T}#a}4ULt)5;&*m*%1qgXZyY!KBB)%#`8_r
zW`j39n+x7_Z00DbvOK1A@ndRv^OcvqnVSyWlxROPQ=+~7vLT=R?<5(`H@$^R>Nlz%
zn|Ls<d$EO?bwt$~3$NSJyKGJ3_HUcB=fa7-;^_}}NT&<#5Kf=?Lu=Vd8UG|1rv79Z
zEzZuuiVBIxA3nzRD7WS(%VgSg6sCOeJrWpw_tFlJNjqd82mFj%a{IOAq#YvZi8CbA
zAI=a=7n~uNK5>TFWreNZdI~x2^c1d;_UNfUeE6$Gdw;fJpUApn7MFGiJr3B;)xFq)
z+o!kCz-MDgb%vOPXnLZATzcT?4Hn%8URZP=`8I>C(5I`A!>6av!>6OLLPGxW2SdGM
z6A#^xXpibYW?>}p@zQtU_hK?xy_qpeo+Y*Cj!N#b{qFe4*4ls3{@Vu(4&*+NcwxrD
zQzWfYzso}U;KjQquRc0@QnkuzXM3FAyT#ilFTZg7<mDHypGbaDt}L8VURKoRm%`re
z_M`l~6)(^I9lSE%@3J+r=QSVMetwtK!HXAfdNlI+>0P{c(soO6k-Yz$k4rz?IC;6^
z$rDMN8ngM&ria$fSmT|PtN5OurOkAi!iHNSDq*dx%U(s)XL9jq`#2`-)b!A)URn5P
z>6(DmVOLg7S}NF@=;<ODArq(~CfUW8mgtfgArQ#&%w43jvXx=>v@Ho)KQDiOI^lt4
z;%D#uGb*gLL(JBuz5MXP^U*WzvQr<Pa_^r~aolb4j`xRn_+w@~jusdDaZXV3xGuZs
zj<*Z6!pj2q%Vn+{kF96-eK@aq*~hKTt9qus>AQ8ROFq=>cc2h|?2Lzkt=`<#_GO7}
z>LDpR4^DU4E&et{cS_unRp&0Me4F5YF0pN|-kFW(TUozZKYI4!K}Yn0#m!zNMFISC
zWv{r4v->Vw-MsALS;wn~zO{ZA&X?S>s<QO;t*&Rf+4cwLJ-_e0CsN+*YJGj|hVMVi
zh4Wix&N_Ex>hk*FhZnfNPPAI!)*gStzUAb?Pp5?bR&%*~%zgNR`{#1Mws}V)r3`BP
znEQ%N1T6fSJM}XjJY<s8J#H8zZsGSzNZsS^;q)c%9;>WeUeI;rvW4#}$vG?6Pk*(!
zVB!@?y{qpz)~at@Ajm1<cEMq-SB8Q?eHWY1;>-t$KFV5AeWz2jS=LS1btE+C`-YA+
z_m4XT`6t?~Ty8l1it&u=FXkS3z3g7l+<DVHd$tO+6|!jZev`~s<Pm-)yMLF<f3dUs
zo@>rc{QvnPAO9DXv<nT1YP=R{7abDJcvYTVIB+<|D5{TniC)2kE2?S}ceS5f@-CTc
z<#L1isaGz~aC;@`vmWF~gZ?X$&#r?V*~G@9oq53UjinF=&+^7298wh$KV?L9k27r&
zW#aaXRb9R0U83R2-w{r)G<8(JnoOHm#ddmrf8V66zt$dEe|oC=)OZ$i21&g!KISBG
z%<g;IqQV&|`70hT`Wto8>8`PMRD$;+xqxz?dR8;_dmairO|#$sc+q&Zcl$2i?prEq
z5gdn)JXb1zYUBAR>`UA{cdhvTvIxFHpG`8J37c9yd^QU32kRa=o<5D^O73~5OFvFI
z8SVI6^W?~ick5RkJo{WZGr-q%^QNvz58{1l4T}s}i!_(Hehyj79vLv<wNJfak>BPW
zBF3-YUf)$8Hq~pB{EW!_{SNv^b+Yz%r5&sjJ!>%g*7`+}n$Pw~>}<1Jr{S5Tx6tT}
za!B9L7Of2mxAf)1r_{wPyf$~qxeXmxEX5}8Iv^A@J;Lji+_Z_i`kyYDcc@ETIlS{!
z;O50I+`jxeDcJV<;=)Plo^!S<wiQqNWw9=@<3K3)#2rbBa(eaA9sS;7lMcCZdp>Sz
zP5IzjBC>L)SMQ?p^ZDZ!9O9oQwex94sL=1;*XB)<e0yiqCpbS;@w2o%oT>iY;_(xv
z^tmyQiq*ewI2aM>W^p_*{55Oejtxfw#lIDtX|0the<<R&qd;o@&H^@d*&V(@(z16i
zwIyEW{uXz&-|M)Q<zWf+`sWgFpJd&A_(VuK_F-{OSz@EEp3cUrt+qMEiSoB&Em~#u
z<{a}AKbIihTHMcjB|`4uA)do4<8%|YMimxqO)D(w>-5tzIC)Z4&3b3M=DKGqdv3L|
zw(Z(*L_qwT!Hw2ho}z~$Y`Y4im}4xO3;EX6?|FHM=d#S+RmVT^s;65#cv3IJJ~w9W
zS2w+N=c<(5f5=>pT`N4};UOX8*c*p+#J?HdZVjIC_)um{@k5?<()>S7_-vn8XWKl{
zK9DfCQ0>&0{vfYY+FH?55~`G?gC+A8pI|Irv&%P^)6ac#i@=)X7k_(7?+4A<@;-Xi
zx3^z?OqTs|KB?uiT0DQ=)`KohQ`!7=>Q`^DNleb&a3*4#W>{QQ<Z9!E!pEXwnEyWU
zJv-a`)muS^wxgW|n?hMU&ukKCn<;k4@URohvgnQ@CftGD##R}9t|kGm>!dt%7Bsg1
zH2GlI&!%~1siRbJ#)N}8LMtkB#d#&lCe<!_zNu8~(1vSH7S|#=4rFmpj7U|K3%lf4
z{HA_E<AE|MkDSGg?Q2awZ0uyy%vs_nC7m(h;ICf`OeD0mGY%MRsGHBC&?zT&$lyeU
zU6hIpv#v`*L#xM^?E?INdyZdaJ!IIB*XvL(<?&^4WBWdn4;S0nG`}o!l-ipy!O>r6
z#m1rzHlFYk`>rQHUDIlyvsIvPW(xo7jYg-PRGvlDcbs~DV)LS7r&4#BGEL7=D4fV9
zr+4ANad8umhc>*IEZm!U?EG8i8?q?;IN2bjJ3W4jLfcodCFh=5Ne6wFX!P2AJSy3l
zXSJ?}Z1z-M!!;)JVl$V_pS4<9c73@rFT-KO#}8cuRW80~on`Q4yFj1yv|B9iqB@S)
za)10_Dr<5)P^x~#ReN3)zRL#=D@y%%k@{!DI)*DU4$UUNE+{nbQwd1_B>m~ZE1P@j
zzg^BVzCF2*|KF)&P8L>C9S-W;6JO*g%I&(a_F;v?cDD!7QXVz#%>4YB4;~Bh237bp
zo6NhQ&|LQQcA!+x)O98aFT(cwGfg-m_jqIVM1wcm1o~pdlr~&;s#htC=xBd?tlx#J
zWwG^LsYW@I2`@aE`PpA4|FCdq7WsBrp|{rLM1nr&m57^e%x!B^=D*mu>6p`%H(81@
zt94uwmbQAV*&x7w`sD)4Z5JF8jCobeE*?1SCiUZnL$k=W%L=`vCMOcseso^Xz+!(j
zcya1X-j!>PG+ntFljNMm>b{`<(wmL%ZU`I>7hBR-db>>`&sIEgO4yXCTXj~hT=Q3@
zQ-5vG!(~$o?`$w?d>y7%c=nV{%vK}$@HINEr;nZYH|3re8q*cGVdwn$VGp}LZl0r*
z*=+mObLGE_S)$*fJfz<k*WFv{@xkQDqvDC}{8M%Rty#3jZ2z<QQnkCL99>&nQD#za
zsr%>5H>WM3t@Cfz3GQX;vfupoOq+z?4T0l@rx#kBi|#mJ$vyGM9VeSPTLt9PbyQj}
zi%mMF$$j!c$``AX|17@WD1Laq@^aC~<2yrl%-V3-Y=_v{y_@adu02#LwdG*1%ae_Z
zKNdgGx>NGW?1#}Vj#GaZ?BLq2vxGNK{Zsv}iRusQ=PQQ4ygt7s^!<iJZ|OJd!YjW$
zKNET*SuuCR^i`WbD8JBpQ~b&7hX2)=i$1cS8(Oy<JeaZnx%-uiFIa+Z?+Ozyea!D(
z9<;`Xf19#Nf`t^X0{f&FLb5w84_&zGWU<Rtd`{${!XCSQ2MVP;<}7GzKcDg7u?laH
zg=@2k-(`hn<BRpI%b9#vd(8^n=1~6l`>9PH`&E~-uQdLmQrZ`{MDN1vE2_T)s!A^}
zd*NMDxwY>9>4_O-6IglLGY=GYurWDjDi}W5c_KKQ^@`3_rH&kf!kJg5gm3pt>S#6K
z$qm{6n0e9>=0|f_Ivz$#Kl;(PW1s0Gi8M~9gdMFOcQ#&m_HbS6kNO?yikdaKikUUZ
zijsHyue|@*pIv18Z^DhYkEQND?$>E~Xn3)l(KBfSuOZJy#lD5poC|F>3N)6v^k*ww
zyys+7vOz#zR#&CfPi&G~I`_$h0HvbMe|Ic$QQdx6V`id-YH`nkl*WlIYl0pGPB~*R
zYm()$fK3N(ym%t^=U;zUjSO$S#Ief_$xOT~YL^_6gm`UymNoWkns_X<<qXj;UBKw<
zE>%#nsL>%^%A;gqWBWRj4;OpcG)wy9{<hxVEhXdog=>HLR*hMg#p>T@E#oyjvq7=%
z<uvC)oh<^5tYS)r_nmCcY!;9&)>Ub>6q|G`hx=qgn2GSYe_q$NJpWXGKlJ9Fdf({3
z*HhKG>OW2mmDsi?M@uGJx$D5T4yBEMpZ>|USiNOsZt!KNtM@-kdZoW`d?j<&Gj@UW
zO7D`|WuA|oHk~V(+_zU`q6FU_nTZy9rd=LPpBL+O>T^Z9_?_Cm`m?c0!V4GXK2{Tf
z8Q#pDmT9-Y$bXbIxh?j`ccJ9gf6{x6({9&)iT}2?XP1iK?A+wmZD-AxPcgU6G<h(?
zk-71u$%GkM+j>_YmNU40>?-5+nSsTnE4BuDCisR-vdG-lD}KxDD31oegxckXWFg)w
zD*nu!oN2dPtlore&UKP4m?^on?)sU~&wDsaC#VKaKO(d_chQAyAJZHv4;b{Z$s}hg
z7__ko)h|A~?WKP3&6I7vtC-)JFP`=)VD_19o~09N1E(Jm+nl@U!nQz=mV&p{Dgkpn
znHx`=OxW?oR$0zpwruWAGk@8FcFC>(gm1h}yKPfC;clR3QtM5#^%u5vgEcj>$;4l9
zcwob;a&Bhs=c|eSvvNOQWt=`M@bz`swS8V$0ki9EAFO_LeMatQ?p*6+wkhm9%QFrX
zPGV!4f6?L5j+@)2SiP|^J~GAX!JQRHE*^c;IK}G3mKEN2lj4l}W@~MEJ3}%+&Ol}<
z<8eVL4w+?*M-(^bW|rRgoOUI%^uTElxng19^aFQScqh%cxvgYjuI$;5)7W`rGY(vw
z$HwG;X4})o`XkAkb0te}EKa*3S-WsXVEUDXax<@|xW)enoWAPb9yR0EZMH_BNNG0-
zSe+`xac6ns5%c@p=^nqX)!sj`<;&dPb;`jHB}6`Q#PnCF_({wR`?&7!*Y6s0AIhyP
zzN1>&XuU-5<J7`!JI-w}lS=P??en^?P;SS$EqN}gjoE>oi|XeVs(wmZR(kuzBc_BM
zuFQS2O$2s0GIzdCyZz<mt%Pm9^VdhGUHR2gn;g>_Gk@dl!0AV>ADeoj`}rGh;{}gA
zs~wp8@-r1KoRg?yU9e7kbFS6pTS?n|)1%tYt|(n*YVb<PLaNmBj_K{NGh6&-PZTp=
zyV>Nyk2!2i;TZ~sXHU58s6V$wZFb;mpV!64S#vYLcJE@zo4GtFJ?j3fT<x@|&1cT(
zrA2+tIAA!Rjfp!`VdIIM+2^+0n#p@{W?21ZMXSqM@>5y#T*{~1$nV>pwCw6po)t4P
zzb<5SkC)>3vbfQu9^{~^jI#abww#*<vc>U=YJt_ONftTV*3QV}HDK1NPm5yCT#%L`
z#lf?z@rVFO{jPMkwZ?0c)2>)u-X&bhDbuqnWK%L&y(zO+TGZi;1BP?hn3OXV3@?FP
z(>5b~_PH(lOcr08dF{ap@4Gh_=}lb%cKzNnoC{`TeqF}sE-%ILWoe^}eet|2GsE;j
zKCMj!SyCNX@A=^M3YCwoH@77%yLunweBK3(vu0!}7<8}+HJ{z~(r5MNn`Uz}&zdd1
zHZyGb3hxBJE2@PTbD|9|OC_;|oY~TLsUcZ{m!<8pLsHAc%$K)svnqFAD&HRKCbl#`
z&sw?bKwpQF;pr1wy2`grbZZOQv$0pHwD01Qbz(P{=owzKsE>9^k6PWc)A5B*c2}WX
z%egJn++&#@FV^b}K6X>+s89Bsi$_@x7|dgn5zbIBn8+sNKhNyZ#hKTVK%U4o0C_t7
z*i?;@Wm`30O}g=CQ{kPYWnXuE(8^;ruvx@-+*yjF#+`Yp-i+MK(;o>MXVvP?GoQU$
z|5$OLXTr*xX0?{}az^K)KUUQwu!ZbVcz@Yp=~|NjVR2rScQbP@&%BlniiBI)pr8^C
zoPOZl3h$)ZppYuP4hpHQ#_KmEExS68jY&2`;o?P^e5=d1vOsEW!P>-1Ip1{cQn@x8
zl#=G2Y3Q06mM&FLvar!1T*{-w`1Ug6wd!g0pjZZL>U%bIwei~7fzyvj6z>r=Ui&W1
z;i%7Qc3z9BOAZO5yeeU{K`vr2&YGJkYXtK5#1$$9Hd>w~hM>fKZ3d&L@!G8>4<g)|
z8^4-NxRC(L1@W_<`=&=dKeM8A+1Jo3svlghs9rn{io07Gptv);*ifHr%*(Rvf<w}3
zP%M8nIY0Z{7QLB)uYFcKUr{YEd^JfT0hIJ|jX_E8cIJVN&1_88nF<?EgOc7p<MSQo
zww#+0_}V8sAw<YRa;l1*F~~J#vq7%0HF<Euo4K*pB*5EE`SPXh4RXiMGk%qQux+C2
zOX&k=JCq8P7u9>zB&S3jz4^akqN1q!|K=s<3_Gu|s!iT?MC5Uf=iJO#L#x<VKC9Ok
z-t?TC`L)xFY474mM>HPioJ?9~dKFY=%y47wdubvt!;!gjX4>tNWu|vPE~^5$X?1mo
z&<nAtDsJEy*bIt+YdKN{XBIXdh>`L*1CD|Eb0AGy?@3eyrAJ9GnsngU3YCjjK|wSt
z9~4Al7aEckd0E6RIwWnpX=Zz-YG&@`$2prNx5{O_UNq^ztraR4Z|6i8uF*AQ*5cc?
z)Z{^g8*}4RlL--tpz!|-%0SsmCAXg2qUILMbb68A)-&L;C~OuefGp2Xs&7cv<7Ihv
z(IM&IW;YwF%Tg(z1e6U*K)Zydvgo<1cFs$?-8J(XlktM2Wm_k($#iEZY?#3&<b7t_
zOP}l+pjfNT1L@fu=ou?>QC8IrocF!YHgwIrW+b&j)qs~J?1DqmQjp4C1LrF<ukBc|
zL~MVcr|+>qPv82(VAHk0rq5!N$<A2NX348^%~pEf<yQ}{o!ycA`eNCg9n!B4mgy|w
zkm=PBy4!1AR=8ws{j^J0yXIZ7G@G<5>h|PaQ4gebFEy{3e^JTy7@yR|SBhl{i#Xo&
zYX~{_h>0G*E}%T=(FZB7S2Bk^ViPP@diNMaEor~zw%E14D&?*5qN~i=`7VMtgacK|
zq;$3VR!wwMIqGQIb;Z(c@~#6CLDMC&w|MZcV0G*MQel<ivbz29p$_-EO3Gck%t6YR
z7P_e%U1&1z%GC?1rH!3S^bAjCOpM#WW14jN_0+>|-Cv5Hdbk9h-g_cWXgSyZee*&;
z>CVb=35r?eqgYzsw{nS|LGKmSWzxErPEXnBmQyu#lhvYv7=x%K*ELov$#r(Sb$4k`
zk#tiz%6iHt==luSS4^8dV;_Cl{PRA;^{Nl&X5aa}detP0<aVC)`Dg#L2Gp()W8phh
zaMjUro#l!HrR-C8JX|1`cT4)n$DOiVb2dA7elC0QklXr8;X%IO9U1lQnK7FV^XNq<
z&Q{sC#kno|+P};7A-m5yN^Y~(IL^l|dgJ8+q1|s3i|=f6Ze0H+;8CA!)sF0TyLUGe
zx#DjpN=3ghXkPm@@f~kSmdU$q&TY0|f3IhlZ1HLV&vBV4k9Rb4%_#`zJTG(QuxEAi
zm9@9{Sijv|c%;tyOThuYTA3|}Me6x(CQ7xxHE322TjBOt(>mqG-=4Mqzhu}vTEOEj
z8<M)3PpjaLqpF|CJ-x90qBk3z8~2uJY&_3r`)0edJo_7i*5<Mq#}uv4JosSz)BXrg
zf^Wm;$!m7iiSKp#@Fz38=A-scdy^Hb^1>pQ?f2bT8oRPCX4~h?Sq5)52=u)bQ`&I0
z-brPf-Q54Tdkr}2?$>wo8s=<M>^nbiqr%p28{VpJoapxJ;VsWyPJbu7ox)p~vmsQY
z;MTV3M<k_QK2Lc4lB@atvh9j}Tg_Aou9fL@ntqA<HSubebW%jBvsU54{-yG%Yqz{r
z-Pq(-wR88KHi>CB1&#+v3;np$rgH9*$NIqYHv|sXXG%Y^NOfjX&-J*wGGmGOH1(X0
zhdX!+=PdT`iYu1e{8qJaid&Uw#gVovN~hW^_-;-(;3z%G!eQ})?<-!Gz1(_7-0aB4
z`(X<YB;AgC)#vbj>Gjy^T#uzrW=A%**iDO@^mg0ZrJMYV>aK3SUcsFxk*+9{tm~4{
z)9TTq*34ZuyP|#yuVK#?Mbog8eH?YCD_U~(J0F@{vNb%@Cee0Fz+GNiD8kKsMRl@e
z?-!eU)7J%@fBZM6WAT1oPM!^losA}!KL1dVPLjxRmT}JUNa*BUS@}ou)vAkgH_q5I
zuOwb)lR#gqn9_y=PAbnPyL>-v!<{%ITT!N1$0cD~tH&9o&V5ts&u$TDlN38-c-M(#
zS!BnNkV!9g-w@$WoROm_Gg-$aVNt7xk3#3Z#=9E@+Scp1=&sUrc`&WjqsCLH_WiC*
zMILjVmdCqVIbt>obgovpxP7g**r9@(P8NER9S2;wC+=_%sy+Vekdwr@XpZAvMLDl;
zU$s1KCuBbV)Z}Sr?YZn$pRU)ozqGh6=%Ho73=f|=%m2%kHLdHj{rWV+cCGd*ty5W{
zT2URRRAg4`x+L_rdaTi1V|w-fuYK}Ssj3@ye>hwHRrBz=L)X;=Ogh!|&V0DhYALsK
z!vSaa6d7yTkc9STublD#{@XHFj)$@PCU!S3+j!H_IAi*PU5h`&PP;#GZ|D!b`qTNE
zF@My~u<M;EnBS$d{gU4!Y4+3~v({L-Pu}tH5YP448INaibH|jr94kEU$okG|#SvNd
zuOFs1|Jw0(f##i}3nu$YF7V{-n^8Y!((;AVpV;f|$vV|=F#DmLXq?f+<W}+1kAoie
zZg&ll+G8yz&K>VH#rfdt4U=~`yvk|}s&HOczfj$98XHe?#sR}wY)p$Y6bvV^$+=Cq
zSTskyv{7@(x@Cny-wisi)cUA=6;Yc|CH2_Twz=@@*PvRlw#in9H~f-aSUPpj<%Wg)
zycSiL9Ttf5s#IM%;LL9n)wghoo<YkMRkq2y+8vj?OIBF9+@Rsg<rn_1B)@3y>Daj7
z`999gyIboGa+EwWH=K9lPP`HD{>QJWyF6`lYE~ykr2km=VVl0Qf0EO~yL;FYB>b8C
z3QYtgyqP-<O+Fax`f#@`@xVvjn_P|TOY{ooU$L!mer2~$?W@SUiB(eNp0>@MUmxpV
zO-~eAY235szF?Gp5(BTqugeXK<#}0tU2<5&&ujBVZShlyddF8xhdpDHBvw{Sc)hY~
zn^48o>}lKUxMbeJ1BYhs;;wo(+n_p<<M8A|x7)jxnA}({yRl!5=Ph@<=yc_q(pl{p
z`=9OS{OnLN>2$sDvxA4MBa0Pw{4DE{+wmdns-M`Q3p+o!)(TEKA|;&^k-f5iad#_6
z$yR~RJh4X$cenm{k*HX&`DM!lldwn+w$+Q()qcOaQren-=*;Pb`G;)8=Q?a&?{M_a
zq3`^yUmlq!p3~kl<Mx52Gvf32UwfE;C?)_rX6=-<|B-p3-lA`p@3-vy(R#vEq(=Ce
zkW*@e*9w`_9H9x754D$YXRZ9Fc1Co`xeb%9ScXm9bs#HfdW7>UxoeYl)%TY!nRn>d
zA?`<?tN!)oyk30W|6y;A^dje_Kisy>HCqz4ciJxtv#5>(YTOfV<S5E*i|B|-jq>2S
zt)tTWR&3J2z84y&_Lb$9KdfGr)nz~Ttgid&kf_gH%*J0d{uE3*<jGS~)aK}S&hW;`
z(7G2-gq&j^7Jn&8oO*xP2A6#CZx@c&x7L0seJJv4=LWBUSc_&xzB_82iF#)Y?p$2`
zD_rTBh2`NK_2)C5K4CJR8<W(gT{HE@jtvJq#KXQ@i+|g2p|w`0;GxK~-33ygV=S6?
zik!0*3rH^A#ck}fxN2*|)lYX$Ue&sL@@mrElUHZmJ*m2D*P-V#ULDHX`|eQI&3A{g
zzP>wDpC$PIP?qldLs_2h4`rpkKajPitT1t^l2uh`|J8Q=-8-Tzt#(GOw%i%D*>Y#p
z?!9j~<}aSSx|B&aHeyjTcXh`5Lw2`z7I68;OkDo)Myqkfm!f}yao?slY@IWI{^W@8
z3Yqx%Mr-QT;!PbV-*|Y4$2xXK@^o%)o1(<d<9cr%b}p`um64VSNm$(Ml~WYJKU?<7
zaWQt^#MRBqHlB4fzLB>)>%}(hKS^n|tFFyHAGJG(|BjsAnG2U&Evt5JaL5;*_Tn+e
zoW;$}s}x@^5SlA<#W|h*>&2sv!7pAd$Sf(oz_Ux(#NJW;;&n&KUxKlb3p``g*nAj`
zV+s@dR_o~$oNZNISHF8hyY!KxvyaRCm0c?)@nC@vv+R|_3hZAEZ#V|;c+g?l+s!xY
zpsICf^Vem~Mm3r5y=)`i?>0EhEFShf0yL-Bsw!v2^nAzD1x(vzLmp|fe=RufSbL}R
zg2+3|45@hQ47U3=pB*3Vy)=uzKIHnEZMm`CuV&qnzZznqyZV<wWO#k(-$Ga3YkQJR
zw`AT7lALyfM<@Jn)NaM-!<WLF=5Kn+^Xy^x1fAXYy_%l#@9Ijq`8Q0OaqGG~;oQ$g
zAVpTQ73+UIEpy)1_H{iA|CA{UH@W7<c58)2UDWAZyq?8Bz-Q~at*eB0cgjli30iM>
z!)M(oYtbic{oxJY?X$93n-uHk8kL_|byL<%$l73zO4*6lEi-R4J~mEjkMW4^kY(``
zu<m%nce_V6Dtu#?*i+9pre!Bq-jwYVur_$3P<CSLLxYXYUdK9Qi*j$czfsuGenatk
z+uvt=rZ*>)&9qLr`i76aL)K!Oko6NMD~~q{8#-?&ieFk79_M2d{LAyS%Cvu}^<~|O
zu6f<brFq^7v3cI9Gj{4u^?kOj=FF+wr3SzC<Nfw5*!Ie6Ro3K)FVBw(9exzhne4ej
zWrIn`qzEys$_P2FpAmvuOE-KvKQUv8_tLAmQBy)yHhwzZc3{IPk&wkcjtRY*9&1cl
z`Ct1SJYE&-k$AX2-hWS&$g8Lq_vLJUihr$lX?*<ERetxYZq1xO-EN)Fx2%}7`mFuA
zeB&I=oYmP2H|TCyyFqv3-VJXP-4kygKX<5%Yj@or>&|%n>|1Nrty$!n>)LBomOEE3
zEbRK!DN{qY<i&mu+?<wr^=$MiPrmqlpH_GBD?Kw?oBiqBr%AVjN}K=hV$^HPmwNDM
z$9;zBQ4#g#2bKoy39nQASi8OZaoQfw2X1>jABF8XdH8L`<o@-Kd^PWMnlCLhzc=Ne
z?cQtrv!kX@-I7q6s;V2>7TCDX_sZVwc6#eIe+%55wP$|CYP;1dGhzd~-}#=X%D%NC
z=ylYWj74fIe(flA6|K5-b=$wd)XPy{7JXkG`tZxP1)sdWY+P8MHD~LBXKM?WZ#=c_
z)nYT<(DR`W3X>N#?zvFtFC{E{e6#HJzAVM_r7`ZS9#<@Uyer`2rv;C#E?-TvUMIYF
z{<|G~VoR*n?d1(kK9y|}B>TPNmBqaGJK95zcRC%fbuXQBqU_H0-cGTunB%q!UY{+$
z^Y@s`9;FvM@?T_ami=zJcmBKj9o1q>tlq8T4NW$>TB!75hoEmqpYYE<X}?&>O&1Ce
zuUM$HS=M~YE|ZjXkJ~PMeP*3!P|AB^!DF_ISJSNX6hKa31UX?pZ)md6)k32eJL*D?
zpR76lwfBq9y5nEe_if&&Rl3vkXW}FA!)r2sghejXjh_7{>JfXH_vN&zX}<MI-p)BZ
zvuFRQdepvgn~I;wiQ@%(9PRp&c|UQ=<WBH^<k4Is$7g=x`Qw1*8cms;3HrUvyq{7x
z>{ndgn;h)C>apjUoC*DjZp}3^m!+Qw&$y;A|Hu`#pEf?36V4xh!uB(0#ubHlDPid+
zrxTo<{bnCH))t~ZN#eeO{NYV)GGR&1_0BnR*UXPROOAEU(Oi?;q1$W9yD@dcuFjc}
zv$mZQo4R%B)Cj5kRmZMek6HFib#3<7<dtgs=loFJy>eH~S|;)1RT5%Ht1QH<Y;|u>
znLahC@p5qRzd~QlXXZa0AJwjQzkhDo-fz;K@!PDNxHne%-t#fxlm5DRxr*67zY}t|
z0yp*7tFIR<;_BD1>^(kZj^pp^`{!4ft4yCB%BJZO8(Mm^UG(V=Zew|kg1@!r=Eip)
z5K}GHU7elP9R2pzb=?hnHnCpYn|JSScR=}hCh4^+H8hX^x_<V_GKbBcr`oQc4UW9H
z#rJhr!c>u*mAxuzN2?^%_T|MLZ*f2S|D#%4<VUr>n;+}d8ZAGnb?*GA#{c<|uidlb
zvx8>H<a;H1?a^#rxiP&p*(t~M)w(@u_l|6^x_4xw);*OCM)y=UD&2dMSh?rq@l6%M
zT;7j;Gj%%6Q#V+ATf@=Qzt-b!iTKgk5@N^Xe3N3<96x*`qQBp8<2;dNN2@N)kb8YH
z;n?eEhZchtLv`)ys^7F}*QQyUx@OIKCG{mcXY-2xo2UKjJuB@~>;3wa*x6ND1`3;s
z^rU7_Tk$i00k<(vR?a;UpE*Y))YBziKaqJnCnna<PtWk+Nn4+iBKgU4Vth0G)}<M%
zhYQbKU2Vy>yDa?jbrbdU8SkFR7|)4GST@=FOi>a4;@Gpo5|0iEImh0pKWrfW&G1fZ
zu*AbdnLQ;BdE89RjQ1$z{q^j=bn2GMuVWSxW=Ab7!j4<8gdMd|2{SWWE^|0AF8FTD
z|9RDG+7m02i~ZDWJiqjq8-D39FD&Wj?M#kb<DQVY#y!b%&GEysM=ERX^nG1&LH%1q
z=9j*&DHm36+^M(r{m&IkR&A1rU0c7M>*?<uyO!B>>J^H$ohuaU^D7i<EGrc2Jl?Uf
zqCzD7<HfZftvjzfPdl|~(zKHaD=%t(k}!0S3ZJ&<ady6X-0F6%$uAt3+rF(2Y`<9V
z_p4NRmcgCP0)4y1lnkyE$#g1;JxXk7{qZ7SQS-~@2`0ayJlJYqoO_tz{(ezj)Lz||
z`i0Y53%+a+Xv`B+x_H&erevdl{9Rp@)_G!+jyZ6jOc1zh$>jf{cx}-AgC(h2_htRV
zmmTvF7FsdUiCLaMbHX8JsU?N;*(7V6n_j6{nW-^4vlkV-vgf$#`mO6<_XXo=wHD{1
zIu1M+Qz|^?WHV>GfV{YlN^7^+q+_bwCm$HywXBzU`!e>*ik(S{JmI=6k7u=V#B3Mn
zloosRa9Qh*8<~olZ#GRZ`4-{9R{io^;9|BXdRbTAEY=QQoMs<8(<}BR_w~a$Qa@%m
zH;X*Gq|hsCav~x93A=yfgxU&=xic6e3O>(ryt60b+cVS2Gh}A$t311i@o>&3gI~|K
z9&wVG7S-W(v|h(0VP~rc&t?Js!@5U~b8vemE@@q2c*@C0!nd67xJ;F}DbMkUj;K>n
z9Z^T3Iu5XKPn?mVD7Q{<^Aa_?brBw1({)vPyTv9QWPS7~_181g>3qJthxK2ax?*7C
zAFgKDZ^tV4?DB!*Oj1iWOkm5@S>(vp?YST?Zh2>9^UK-SHIwQWT~z3F7CTgM!O4Oz
zqT@gw_rxEkoNVrF6OiAoqtdFctJ15lqtaaaWM_!^`pI8F-dEM<sr`H_Sy5)Uu1mu1
zR*#&`0{rtMJNhS!O**8>?fH01Yl=bNJDJAwFU|!nPSc5<DP@}c;*^Tth3m`PADetA
z>|xWCS?Va|o-yIz!xQ!TFH;=9Ju6j{D*SBrEBlD8OgEn?uMKNo(1Gv=9IQcHGJWUl
zrIx(@Qn5*(@oz}#ucejk84n&RgoeAxr}nq52=oqJ7T>eIpH1$YQ0Ys3?q@d=6<>W)
z*W%u%ULnqF^JdAbq+c4*IxY{SLTA>ozA}@lxZ!p6=+{8K=#B%btBn5EC!Jqy@}Z!0
zmG_IqbG8dK7Kfz%+Fq=G$>9+buT71ktjnvz^S22!28W~`+`TeWp>P_T+_?buk6#{!
zM|K>@TD9rH(aR<u3Yyq5WtQ_jdVM&5i$Ei9Nb0Y-b6;mZNHpfXbi+kfWhwvuLryGV
zK~rCfm#tge*uK@oBbm2Uz;v$xPfh(?HJN5zmxKwe9y}FuIUM}MYBL@zl;^$l!dq5l
zvGc!aSw05)Z8r!s{uWdE$a^)t>e*|5*JbJZ+P}zU*0{^K)UQ@QEX)1y$0;Y4JmGfE
zeXl-eOI7S}Z#H=s#GYuiL0ark;aw+|Dq&gS8vp0IuYOe3{hh>?d1ndVq0)rAotM|w
zbGn;z3%>ATUAFv5oXLj^li4!AEZ|crNtmnCvUqVTN67-d#p<v1Og?PrWXmk6``dh^
zT2Y{GV(te~(c%Xt24`A-HcwySnB>``+^qep|MVNazRGtREYEiH3US|I<LAE1Cf|Lh
zO+;)r&#&^ScE!>XemknPBofq<WrUU|$w<bO)PLPpr~F5;d=69lUA9i;yk@VmyKGaJ
z?dH{#DVO{*`?~*bUY_(AThTL8)01UnrYFg0ZSE>uA)<Y3VbNL%ced%V&qMYH|68-$
zJcR3+nMX{av`tK@bWKdLbkL4>MlZ^5>Q`OOOo;fgYVq<W&ho0B=H#dz=Y*^t=OnM5
z!-t<pxYx5ik9i*Qf9_qT^86K%7jq}fcy3lP<F(n38IR4D7~D?1aG!6(;oO89?_O!9
zdL+g6G$-cvG$;G^IP1zM$q21Zl93BLW?}T>Q0cX==E^z6-=`l4+wPdueS5(**}Ud{
z-#lk7=~%YEy*%D`+1l={oA>EU<a?3F2`?lbKX@VVSRg~b{_%ti@y8!NJQ3Zl-0Zz>
z^Sb@Li>B}1&r-FESIG7b8~@$AZ1Q*Sw2AE6#q%rLU|*?eaJ}R)mhkvrzt-^g7aH(%
z7Z&jJ7IN@(7JBgX6;?=yJU;PY&2DRpW4o<G66V}iFua!g!Qfc#2g6&r9*I+KPdFxU
zUFq=p(+Mk1FWA3($@<sz0>89<e(m^WwRHXLZ|h{Vmad;&X2{p4Zs;ypA@g`bg~;O%
z9}JF7JQ#Ytbn*V}OLuEeycB=`(%IYzFJ75dym(~x<HZ}ZB^OTSdMsXid%{5vkgCFG
z(jcnhS$25*@~@@FS4u-!&hKeEVqw8{+=7MesD%pKF$)`>j>43JTc!1PU*zB3`TU)J
zqv5*XMf1<}mPy<66iL_glt>3jJTzNkcqjKnLd)x27w-#~+`G#E>vhw)o$F@z?8{qs
z#6n`-F$;@zM=e;^9k)=)J7QsTr>8Kb;8^M17w<iO-MJgjlzx}3Q~wSdzxW+CdGWh#
zB6>S{Gh@o6jU=A!$ZOJP`g1AJx&A|G!*-e4wY;8hx(f|tItvSAdJ8#Zx(hvI`U@*8
z1RtMx(DZm0=RT&7+jj3~*;gd3@@@yO+`C=8c4a$xHQ$s;U%K(wEM>#>RE~K(yLfrj
zV{6ZTDZPB%@OL)5^L`0-_x}>@2R2BwANf1O!xpqix8Q8)-52Upmf!iST5v0Of<^sf
zvx*lFcXSr~ZP~Q^_5>&W?TWqk@|@XfW7z)oe2xy+{$hOYeN64yuce>oCg-k_<>613
zk?Bv8(bDfL^eE};3`w11*vE5j_d4mnB3qhwJG1`UX&tg?|Lq5fTVBg8oZrM(aDBod
zhwX~Jf_crSx?|b?%9PH$ePF|#tJj_4&5e^~>UlON$;fmk%P@H-$p}4<F%J5$<ZDfj
za`XAv>%DcCb{)DK&-D5(TPJT`bNj<}uifl3@4j58*xH%rEH(K~8&BGvtbd}>Hx^6}
zZl5#fal>TpH|0g0oCSsQzvtSt*7B{p{A;S;xs8WUvhrE&JQAV)z2L&dy19qs%07uq
zd$?VAzu2Stv)ZR$mu$RuTJy?_=vxW;$KSA3v!<Ee&^FwBFpl3?>djZfy$A1!95cT$
z``8Y)YGaEF0s8()(an2#vZdZUjkuez{=g@;YSl248?O^XoA=sXGrKYS&@Q&>%Mtez
z^4X-NUWt0<<ZPIpD12tS*R}6$acP?@C$(p6{-`!V*C77+Lf-nD@%mT4Jjs4`THf?(
zz4d(SfPz*vL(Y$SZ6|pP3Vkvgyc4pRV}vdz{JW|B@X-yae4!h1`IBx)=6~9teeX$f
z`5w(y+mFjkz8#xwG(+O2_WWsQ?N_bQTdVE)=<^AEujibHRcD24IdJuazRGhC(`oK;
z@zdFVaj)KZh)*@lEpF!ZUG>|REZgSmU3>HO>XH)S&v{~p&YH@L{=7Y(Dg4cx*3gjc
z$2_>RukB*Hp1Wq7X`V}*+mv+qu$rK-(7>SJuJuZ5&ss-Kn^N0hZ59!Hk!$s=8O&Dv
zS3R%hpICh?_w>PHHqm>!)uK<Y>T0d}xO6X9Zb0aroTPK%Tdz9iU!TAJ%8vVg>Z_dh
z%0x@O5xsFMVf~S9Y}K|o`5Ueu?_;YDzHu`lU+TB?o6w(*&U>}&Ep8;}A702-ZOLbT
zL%U$f!MM)Fyx*!Ut|hGRf6V)BS;3-%_e{Q--k5#xURzaY-G=1{<J#^{{5toW)b>BI
z6U{3!oj*>qe^pxiq5Yj$>dlvB?#Z7SCjR!U7rK3ytu1$Ad4>zG=bFw!1D(FY0-dfx
z4xOGt51o#}iW#8A<@(1a9=appE>)Z)BYCE*e3w_rozN9GABH{o>3ZehhtQtp$8kN*
z4-$KvAG!4$K73Z9y<b0BMzcozvB%@v67FpLN-s-~C~i1<_hnYb{c?F1-WU0I*eBk2
zWA|0A-fS1Io!Jgv%{ArHmm;2<rED<!#5b!$@%Ota>px~G1%`!2g@%T&+Hbt@_3Vd6
z&f<&DT)e5lU1D@fNVa!VJD=N4_v*!SoVF)Lo-Oe#F+as)d(UN2;JTRA`zNnlu4%xd
z^X}r7C9VB&ZkDb0j>>*{e@y-2aS@4M`)*o%4D#@J^iH~d*`WgcMFlmofh_wvHH5w^
zceS$%9zDKY(6x7R$E0J2J0=}mY~JHm@Wohqq1yE0&-}8CDun_qZn=IFk`ND6Ip!|H
zFRawn-zlit>M5w&ds0xfS@YRUffxBvR}$t*1xhS)73q5^=$hc>GI54vpv{>s4ZCA*
zQ$&urOfhkDpTgr-KeKm&L_$=Gkg_t@;f{_&hBk{hc)B%&oRz!U6$OtTf6y`Mkb<!T
zfBdZLef`nPCLGEQ_IRuveB!}5>DIty|FjRzSmZceQfwyEai?H`hy*U7Zr_84g@Qk9
z{L-t|Ila57i{-JezqXG_>$ZrrmZ+}GwuMs?cWSO!x>1v3jR|XKX#KJWiCZ;)L?pLF
zt#Y25R~41GOmoZ8DCH|gXAF5#d0zW8Chyhc$T48;>|ORCakA!*8#!E}H-hG<Zwa``
z6z%K!bjj_WZ&P1><26_PYm)OqdcAs_>5^SyFOuZei!Phx6n)0@*Fu@ayv~+~ZGs=n
z$me2G_jP<UMYF;pm&@*)#K-CNuhn`@ZPyx{6S?(hjpCMd2cPG^2<J|3J>>jP#VXjy
zdAa#LFO!w(>KFU2NbZ_s<!&A%F5&VjYm!na|6R|!?)*Xi3)NRHzu0}n_=RsNv%CAL
zb?*{uJ!0=}@{GO9>KS`CV#Q&uk_9Q9-=@5I82I7Y%Z@jXt-bsnDylC3IAfA=#i#mT
z+MVLXn`Zg3x3e|O^F1$_+V|Nl@&Wf^ox+0})jKk#)W&f2K7V6lb*Ny=A%Vqr#HQcp
zV2$WXOkDHqg0|bt$EyOxcBD;FT_>H&Z{D-1fA&L$=9|Ua9%i&gy6x26*3PVRxW^#O
z_&ML=GaD~!aKAA+CA7PDQ@gF(&Es*4V;XB0)>kUbF#0atG|P~`WwwaVj9?zuSdJNg
zj%9a-AL^Q=$1dfb)#~ZCQ<twzI<Aj1am}(*&TcawZwM5#NSdPBCzZ;7yk}GY<A)2H
zJ&UG1%xInHwo})y<#bltAD#HTd9lT7>a=6hB2uSVhDoJ1in>K6?F{_3;h4tni1aDJ
zH-dE7v+MiTy3I^HAHCD~y3O`yH*&dnc6U{;Fm)5k?%lLYTROEg*zDA+c{x+A>fY2?
zZM`YbFEQGXVQ!u3#=9a{p8gGcx8_NUN}9V!o26iDa-NIe4DmpfXYL~W+)7>jt%9np
zu7aw)M+H@zRnwHO%rMc-`WG)1C^5}dq)%AT^}*&2rNVlnMHLpJfi-jbG&JXQYGlso
z)sU>oGjmGl$_&h&Q7qW{u*T)#52ZyMF&!F0;>um^-hxMuKkb-w=wrvEV;egr9Sqzo
zC=~459d%fyQ-h~nnd|t%4y6KvMIJI88gk#<MdW`gd2v;rWw7-zW|hC}tJo^JY{D_0
z;1dbgqIX6Ye!ft@)}qriU&!e2E%V})t%~X!yRJxvO|Uv%6eJ$u_DaaxGgi0H<L>dK
zpm60$S1w0*ypq&eu&XgyHZ@$i)NbW!pSf4AUU7cKRJ`Ia*P7)ioxD@tBr2=^zTtFI
zGiTWo6SKe~w$=B~+|8T1#%Sy1pT~V2CqC>8eD>p%2KODaQ$pK&Hq}SXkxre;@$~v<
z$H>_2HxezP5|;<&bxS{F@ZPB16S}PIu10Z;@hPV8-c3hD7r%M9DKKnhip2qiVCxi%
zsD}#z^SZkqGMwI^-xIp*+s?peI})aZuFIaHdQLi(|9Q`*{(DDfXHHo8Rr4J4O`o;v
z6<7GIjW7InGBqpxa4(P1*0=f3>W#MUS!A^J=CO&<iQ5D7y8q?3O2zf<O<ZHNmGPlN
z=rXel8mqU?37jU8GsTw2@RVI!+iby<EcPDdZHMX>-w``}$aIBIZiV54XRo5}2X8UI
ze*IU+qP;u!U2~U8Z47jaOxhCo&ES~EZ;9+F+J@_HG(5YRT|ZmUQ9H-<RIZ_&Oo3+o
zxt5K)zNxqHFIe}t(=9S#QsA^1Ia6%!n7;a4cwQs?(d$R4mu#%X{3QFrEHbCuel+`0
zM8HW^zm|<s+~0eRjx#UT`LH%{+K+P@)fE|2YHf^8iB{xH(f+9Ne~m$OUSrwOje;r1
zGvw{m58kkl$}2H2>k~Qd78aPCytHshm_^Q%+x3f_f1H{6I6`9e#*2nEYF}30=-uRy
zzF6ntQH|;sSyO6Dj89E{A(t0s5Y{IxxaCl~+fLo8mh6D!rRh8V6tY(B{hIV>W<srD
zWc~D#4Vz|sn*NLZV5t1HsoOGd>HOGtYu2t!{I9P()H*fU;>WtVMlu!Q|GoNJSBW-q
z8|M@!_N~>^so!w4Rn=_whN!zcHuU%E%{jy-?w7omJAK2si_2wx%UZ9VleU)Icuiqq
z-&H-G4X0XF!**?Gf35fC@htA;1y@@y?|5`bGN$ay$^&-C&t(~woNSfUvwAh{#_I)g
z-z--2-{qTiNRQn&d3kf%hR3mg&%I&_{m;_R`(^b?`8~OgJooplt*@WI-fq>gp6y@r
zce!$MYyCNQN<wZDd-ASRkzewB!hfDPB_Ve?)F||+OqKb%)ip_}Ja6wQu70xWROOdE
zr|_HqWTlf|ID~74O#S>)Yw5a8%CSqYgqAH@J!RFYnI%)_t=nWAyL9>LH%{R%S86T4
ze0NRu8?X0EwcmW>D`kCIY&?67e!Ye1-IKqXj4wB@@t;3qXG-nC%9ozk#OF+lOR4>}
zMn~Z_x1U%3rhO0Qd{KOTd3lOqWPE|!uSs87)tAUe&bO2IKKZLAHokt7UHo<PhBfEI
zzv?Q#_I~+`ZPM4JCAG~beg&=Cr<zim8GAor)4nb1@;M^oqpoi6SfjuAwY1l@=@-B9
z)+@h0z2cYHK3Sc)#V%*3{JMVQO3sYh2T7;0)M~UVL<A;Y{ZTXf($yO(rG4j?=v`>N
zqPlC+uJ*ho>xzzj*R*Y2w`881c+mL;pMSfU7u2|yHlK2`3cR}F%VOn~#U%=*jo+5&
zUF^GJYg5^Osn$mAtBBmhDk*+X+vfN8ly^BkP`t`puT}VC+A6nH9{v?sDsq9>XSE-n
z^5!w8>hcSHyZSC?_@9(4srcQ?!nLXH*ot_Wf`@m1X&n}CIaN3>fc4y7X}dXL&EL!G
z{7&+uYtDSkqsl#Jo&M|nQTDDUdDJy$J~s9evj~j8R)4c*&LqjcK%?V<USbwO@z?7A
zR?V0s$rosJyrSMqOd>q~TK;Bf?~^>q8Z(nkRJnUr>Ax;t*1II7O>oK?!#R^!+pbRk
zI(uhZ(579|0Y=9Yyu>6z;;-fBOL?4p<>zry=C5Ytg816V|DIX#USW|8s>NTHr8LHU
zP5t|Pk*#KAs>75s7w1l54Z9+}w(5nBdPrMp%c+f10$8VAv6i`B|G3sr)mUdyN?+>~
zoec~2t!bX;f0F03#>`|rRqit@^<RIqn>|S~Ezsz=kC)ht!1!yAYpqm`eHN$mt(>A`
zuyo&=<G*i~bIg3mqgp&?SxRH@*Hqhk{y|2E)2Ey%Y?{Q{cYXTT3VXMcJnot^A9JX3
z%dFFX{jsiNk|bY{(Q!sEu^aXAAS2~fjo&Oy>9d`pvtc*LNIkE#8=fa+tTiGNep_F>
zxJv*1$*;#Y?AxU-sCC@MNpwcQ`(MIUd+x6jZ~d3YQ{#G4rd%WPL0)ZS|M%)CXFiy!
z7S}kRWcvR4YrEW}@2|H8_Mgb-nEE)|NpwfJ|JB1E*%lhzQ3>hO=L~%iR&N{1fAn_C
zs;Zd*q3Xh`UOlc_CD+FP>T91|>wTeBUVk}5QxAO&v1O4L)H<B(^t8fh1=HJCM_0GU
zS39M?a9AND%Ne>L*fx}Z@41#!7g_@>cL}X>Fn|0q`eXXW(xp{1zSP|IyDEFv@oMi~
zN7iLgE9IOn%S=h^YA*6A3uyEVTlKttb|>E{gM*Hthh8txdcQTucHMES6{nhHLsSp*
zttv{=zWVszspvAqaxU$wpAYe}hkovBUiI_1<5ioKrB(NKyj_qLR}^4sZ@FUD@--pn
zwZF@R+?A9GxvL-(a##J{rTb}fmYa&cQvUrXMt}C?UxiltYR+e_T$|U~_x@#le$Tdu
zh;6f?4dr6})t9%ke*Mz-@kP}y3tO8N&&zt;uU|g;Qqa-%Z?es<d5(VlJg<Ig9b5eR
z<%2I3j<(DoK}NrR`76ch#}>b?{Iu@$WUf~)pRZ9Dy>j{bOHcN%cX~g*sFJgMe3Iqs
zm!fNvIbXeex$DX1>52T?U9VrdTdFcowqEpmlFhDp?CZil=2%>w^EKu1%vWDh+>c&2
zl#4yT{anSS#YtV8=e=1m;|)mE93)x}7M%nZeE|~vE78C1`9fomst0S-t+kF>+ujT;
zR0cWeO%I4~`TAsE*T!|;cGW*)%A-qk-^REL-3%-=KDPLEedc?R5i=zE*QIaKe)8t1
z&8ggrTYE|(H%<Q(3UcMm)RS>1l<$beo-UZKS}hr07+s=!H)h%!SDQ1r7q{}1MsAw^
z!4#zMYU;_j1I{3Y556Co8Q}Y2VZGM0HQK8^9_^U;a@LfGM{fV(zpNQ`bB$|h@2&rv
zCD;$2-2QcSeU66vYZbqniiclrKfHfNV2h6QFG=w<i{INWnkLTS|M%H~`>>_={|yR%
zKJ%UW(5mYocBKAbtEAqorsUQi`bQ%r^lmGqSpC!HTNP%sjiFNDzo~fIjy&!@@kjdw
z(*svaMYqHp|G%`^=*}iqjiw*@yEjB#ztJSz`s4kPNQrm1ltk*S{#Em>3bWY8kSg%s
zT<=W5eX%(@NA|0oJG9}P*upCx^9usndbcs`RrvQ*@63inVspYf>Qm>mCQj9L@;|=+
zmZRjgTNwiXs@PL+q|6lWZZ0qGX-=G-TEx@#F3dXRMpCD)b-{LPjl+E2F-H@38y`Ek
z;j~bUK}Pv)#lv#mIX4w|*I##({1*90i|@u?L%ufVX%CtnR$bte<cmx?&3ElE->HHl
zLg9rg<a!GYWHz&i^IWNXAE9{oPWg))n+})sH5;9&Ywufa;gNpSLLx1yiM#Cuk9Eq7
zyw0=yO7ovB;0e|({MmY9`4I~VwdkhLrB5cmnUJ_hbz6wQPZsu6iTqCO(2n|=n4adu
zHL5$N^wspp8ue^DGL273{^<f9W!=K1+!Omd3JrL+vrNA>@%(n@Hr8o3j2xeE%T7t0
zsJhNa@zYU5zBbiq4~(onEiF5-K}Tq|*N55Du3Zh=vhJ#V{*H$Ww3@4q?>Q@F(%G$d
zrr=<!<-UT%#?N{>h38srYu;suAD_;rRbO!0QFWd5iuP>2SC3aUFDp3eczMU01(GqF
zg8p8fo6C1<!_`|;4~mzqDNJm;s&{7NsaDpoT^o*YiGSO0=b~PpE}zzhn~thxmMhwO
z`CcVAH!s_8&+&4^^97P?YTfrsZ}*rz&Fr%MOpis^Z>uD{$o&&nC#Yh0#7UwpisQHe
zx1dB`y`qq^&XL0&RvCSECIT<KnLF!DK3tg3X2*6xp|#P(BUymgNFwJ+`qrzuubVz!
zi}`QsD)jjN_TV<o_wD*0ID8i^=QjRQl-PGqPv^q%R@Gm-Hni92y;;1Ud%3}Z*2@wv
z4oUJ9ebGGba{Qc4=Rv(Q21i;g+jea@U?4tC;@u%Rw;lBx`X}nmIiw-(m%N2L-Qd{8
z<xG=hth-f??J_uQ;eJ<Wvh0<^BJ5ucuQ~?Lc(x$3r2GO;)t>p)LjM-ede#4x`LYi0
zo>bMfr?sM03g7%Llxur@|GNIVlB!8Rl<plVu)BApQ170~hsr&kNsfC?9zIktx!?8U
zG7+)kvoA%6<)2EZd{ZC!&_XhL;w;UuBeO&P3FkkG5Y88hkj|fULm)rsr{|uN$Cp(E
zbIpG2o0$WeVm1A?hGS0GT931)){lI7tdskf8U2jtKlMD>R%><t*A;i{u1!96G{T=v
zeBb=)oXn3Q>W1(CN^l?kGh^r4C|}RbNwz%3$+j}aNw!RvlWnuCy1v%0xT$e%vD)H@
z{#o5gwwXGeUsE=iybCE<)3@5~tn=w4Tb|oVwldMlwoK7UwnDR$ZRO04R{i;Z{zkO@
z*@;gw=h@%AJFhJL+s&DKZ`*Eu_v+QUb*~<ImGiHe`O{H9q<@?0-dEwP*6D5Dcy+&0
z8XR2PnVyzn<v#0V%KQ57pKTsKd??t**tvG+Jc;NcTTZj*RY5tYtVMZVf132L_x!OK
z{)26MVp$_*r*m#pJ1>-2I#2c3Asg=|*2-xjpX-C`ayO;?{JFe-eg2yh|F=F<-tR9X
zB>%NGsIK<Lgo@XXu5ditJ!#2|H<Kim?O4JP92?Y;si%3UWV%T5Hg7M3<MpabXS|*;
zi+je?NgQcbUaMwKf8@H{t>ENTm7_e<j})9zWwm%a$!SiZr{K9=OC+Ah1T}ru(>&Vh
zf9f@-Yv<;$T<eb2)8d2oZ`J<O^=s{%IZH$gj;IRqlrC}KcwnJ{PKgGinB^3KZdtDu
zMn2VJ4(*c?COCT<-dOe_)1UFM4A;Se`sj}wOB*=#IujNt+ja@^wI(ic<}^69utnl+
zfKpGPhT$=*DJ+j=yt+Q_pZYp-W#ON4!Ov_3>w=YMe7^nZ(4Li|1~+v-ZM!^E>uApM
zV+N;Jvd(yU<%iDgl^@$KtUNm7*%cw5(k#toJ526L*!}7CR<8IU?Y^+{*@o$>U0kbg
zT;Azk-}U>Pt<jz0EXH?MTLu2k{oQ@x{K}&*USARVQkbP#6}=@*@N@Q-@9$%z9Oak0
zUA(^1@Jn&#AD(aJSuD(Rq>ef)KW6Zv{Hf|PAL0I$AGM-lWb_vt&$l&l{C>adens&~
z);+&^{naa8Ue%1NC~bQlXM4bSz1*Xf;r$<utUg}x=BjYL&8JtIWxu<oFPgo+;wMj9
zfB5surR)7Ro?mVJ=KCu~zN)VR?R%<P4#(LZ6I?&<!HRJI!ZYD}cw%d3e~vt<y56tg
z;`EvZJv&R6^!YLiC;Rt(G>n$oc9Qenk0&DQ*IrM(d|tMzicx%x`@EMd&+E18!cVLe
z-*6;fA>x&JfX-)WiD~t}c^Sig@G6G=;uQ@0$!i$)o0s$24_?h{Ro=56%`s=Z$F}CP
zG{?2yydu(n*xGvQoEv=WoIB3eIk)K6Irprsb8gD5bMAV3Z&I4YYu^TQcI!WEO>^(r
zvRFL6>OAM$D?z`?uM+BetD1!4Y>yV!t_i-<8g`(t)+g*DN8iiE$5(~bABfxTQE*&0
z=uTNT&-Ob>4YTtMkMM4nc(^ONr|_(<+KyLZ!7+u?<c<bDZ`)HAU)Ies{f^R6*X<_?
z4(hU5ycctxQ`{|hZr4$X^gBsSm-7sdYNo$5lqt+Rk^RPVyGOyHnOO~o{d)Pc=0vqL
z`{^EITs|#ff3Ww)^S7pQuU)(&va0^4aKBH%Q{m{j=btdWt1N9=8#gy;c6j=YcUPI;
ze0Zf;R`r!*_nxYryK%M$<<`$jTED(RbKNhq=U>a`hpXRse^ryOqO`3!&h~)fdbvj%
z!ut!3tUhk>>Z-8J=U019e-za}Y<mCMo~HR9Ua_dxo@C$gZgQpn!^z5bzMM47`}u@r
zdu@GDm-Rd4Y@z;TdpCW1I`drmwQC2xT=gF`-ghXtpTA(o8*_u0kJ3yrU!|R5K1)~B
zzcoLy<Dt3Aj+f?3c0AS1>=M7nQXyS%JO9CAh5e0>*4;l)a6X^o!gt~IiZ1f|6`kz&
zE4tP1S3JnDU-78Jd(-nD?o?0NV=3|EE^|IVTlIH=2lX?*$Fx_xx~gpR@s*)m^;eeu
zJyl)pzk+fKXHN1?vMf5G?l&>vh5FOwn{<*G^Xp!Rao*eY-OKRM-te}Gd4>lxwo4>!
ziS98trh81{otQ9Bakplib^qp_4dr<gAMD-y=Ll03fBH@F@C$PzvzGmMa8LWN`*w*1
zldW$G%)Oh`qL*h_?-ss&#)8?=J{SK^{?xp0rp7zw&grUle~xK=2-?c|=-}`2vc~w8
zH^YlpH?5GAx7(O7@1Oe9^+&aKG^B_5eYkQ;b6$ky;pE$Sn)hxR9FCUe`*5tydC#_o
z9P4u|j(JP_eK^z>Y;%2mc;nIfMT&=FYaaxK9QD*YwRf$w`0Nc~xf`SF=bs4)oyWxY
z=h_*8_0|14Kc8%Uw{Ynl*V@;gtfkdo<UAFbyJpUH{o8q(ayJYP*GltUcwH_gvuHW5
z`-{ZGhP!SXtj{-_v*`8vGr<>h{%Ox!pgZmJ^%&dwy*kg=?=1TFE#h2!r0Dbf>HC_l
zJbS)heDbrY1)D#Gw5}1%xg_1UD(rw){dSKHhh}OWbz6RH!@-rT5$~@!ttrkDyk@mk
zB706$(^Ws+qgvCCtv*_`+-<{|(2PY*J>}gj!gE#z>6B*ic+ZJyIO?Z+L}|HL;=<tW
zjR#h$O1!=j)bo4EkK)TS)$C-C6?`gZ<0)`yf5f`HS&e7I`|_vxLShSls4evPvaFSD
z$I_7cD93L3n|9fU8PCm#c=D))m)#=oP2ddi=!^z#Hqravqth2MUXu!`nVi4BmCYg{
z;G^tbZ!xI{iyV(`e;*c+(J+-w^#1DGDGM3Rq(f?w^QO15-N+62IQNvZnAC#}jz_nv
z_eW(kB=4Whu6eZm|B;M+4X$O42@l^tQBPgv#AqzTRe!Lg-O2FmLIa;t4aQ{_Qv@c<
zdbN1+sUCA_pOmn{+0*byoP`MYo7o?Jehp}MGB~p^fTvu8M_I<JVIrUE5sh}C#4XO9
zhQ}6uICgsBhh?YZEO<V@o&7=cV@3O++m+QxjL&Yi3KYL}YOyR+JeIS2Lc+9I55wTf
zsQsV51;l>4u_mJaliat=dF=^5mVbK96UIN^@cC>Gw&$}o*q+XoV0$*3iRXlQ>x=pM
zkJ44|izMgm(>%DRCb(<m&h*wKmprFEcK)Z$KbGm=cQI7Hf5gD}zDPpYKFuR<YJyud
ze=d`l{MnaN?dj|wpEI`FJj%twk9beE)CeE^ac3{9#MIZEhE~^w6YG7}sUN!$<KI-c
zbDoH^{Z#p9=C;19cf#WoH$`yBJ=v1`=<kB*JLQ_fcgl5L-znE-zH=T!ezC3My{A=5
zUruYLeyj_)ALHL-w{xDz-p}X6jvn5l^SNwA_`P)%#$W8rWxM!y%C+_HoX0S?=(F&m
z;&tjy(_{R5*6p0fa<|A<bJz2^^;~W4mF?%G=gU>@ew6EfeoriG#hh?=XPc9oT`Fd!
zcYf44Kj}f`Jk_J!_y0Z@`@ej{7QKX1^(pnjK7ae`vp(`adT%ECs&0|&&-fc(RyIjR
zZ9RI-zk7e!?1jg+-B>W|U(|}jR=g9f)?5`>d$@>qqt%)V0Rin(rMO;i@Ny264a?T3
zUw3$x|LNdYLRon^_ir%1w%7f);zPXEw(QU5w>G9SiibKBII@a77d^oL<IeJ<^Ka`m
ztIhel<;U#9k#{b<$`?uJ5qnTF&HY@I>DA7?_pb;Z<mLS+8+ZQHo}O6+Z!dqkW1xI=
zZrs)j4B<=Obk)j*B{eyF`4l>I{;Y}VWPTI;NPxZm>5S)P`C;#r#4d+zl&X(D6(uNE
zf9vIpJkir1%6(20INjg(L)iQ{ua?@c<vdz;M*Bnk!~QHfs4HwFb8&lmQsko*jz^dO
zGJkC%U>~u;)j#adtOI7UU7r6=`k#LPlq2GARqaRjYj(Qp%~l3|WWOP1Z8GuVTt43?
zi7TCj<NwD$yHI~bKT~I8dhnce-g=#h?Xg0v`%ma~D#d0@cM%i6p*r#KPrc5>-^-8Q
z|E}lhdqX*NL($QjYZo)@wiD&PmfMw|EShieQCRKPkw>vAcmAAOD-`q3OJ{N6*X3dM
zrlmQ$EFT?LzMCIi(37VoCa?A?^RQu6joz{yp)qR|7P+kdcTr2AKIZ@88LMYIF&fKq
z9ki)V5;1?nbl7*dz=LVA9EG>_R4Nk0gKV~N_DsK8v|AwgsQgh|3Ev0OXa3(gmV9RO
zl)R7Or=HznZL*v{J5{5FX_=KsljgT08fmv#+ak*p5BTgBNNS7qFu1F?WJa1WQ(VOC
zw@y92W{OXubGC3Bn%!Ut++08XHfvMrwxAxDpX-V>SYE&F4Aj}m&Ex&Xso`ju;t{3Y
z0*MP_J2oEBQ;A3v4_dQ@^AY>xZ8un(E^agObjkEB(qNhVwllD2BR5a-Tc?J?GQ}em
zyC)<x#d;WCR5B>ceY@pKsoJ`=v1X1j(YxO=9hCF_t<G|9zm-Un>bD~r-)^zix7C&@
z9;n+b@Tej7!P#lC53Wy(_1JJhZ%IUo@R6-s&*%y0Y@O=)@s?D^&TTDD1}DnIj&3cz
zzfZiax~!2u`K?pO=`zKGmAfY-HRy&X2^ikc6X4k>o2b?Iw{>kuP~jW>+}+~#re;_6
ziMJ(|DIO@;Es)d_>+#{tzRx1(b!`{DaH@}wtn9fyt%b>ur8Zfd<6e}P3r7vhn*fe&
zw}cm0q>D@3yWPql|Hi4Kzf93d-CL0@DdpNtJ(U}Y{#r-NW-GX*N55e@m=--##64YF
zmg}Heb&`noTc*QWy9E-q#&T>trsoooEuOSyGdJh8+pQwfIZkK37oO8QvLkJ$*HM9|
zeN*d?@5p|b)c5hkDNecQGMTQ)g?ID>=4@Ez^6|(i$$3$g4b5S4k0wlYx5#;F*cVaR
zv^Z?uqZzH;exH|26`r$6#AV~@H_0n?j>>IbpSniZ>dfV9wgz)Hequ;pYjcEEyT9P#
zspObVpIG*;`RQ}<_MxLqPWIaBFY+}zBBor(@xFL;QA>SVWRXhU?Yt$W{5*@-*f@x5
z^IyDrO4(=oJM&{a+WrQ|PX(X(n_OuY^X!$C+xPpsL!0vUi}%-AhS**Fc9LbgO=fV(
z*A+EO9tJYs`MN^!UBwla>$X{4(&wC&7MbsQJ30AI`RSy2zYeGi+x*#EA#_Jo{KJ*i
z!ZDv!{Xd>iH{SE{WIbct?<WH5Yl>Q?+gTpto<HZoPJh3TXLQA{$JH1e6fIvVR{hI4
zZtjB(`juU$?Pfll<j+@dQT_9^tLp9*k0vMEd^^d>_v48O``t|uskV<MbN21>p4u5?
z|NJWFx!;qPTRfXA+4uVigL+L-$7DOpLz?qt9&hpYD>$aU+~W1LqcSVo>;2VUywtn;
zs3vnlxVpp}?|F-+`=`BlJDK^*$CHY`em&v%TT|5Y&(887!~8i(3pSq@IQvVW-^<|2
z+$|cP4DDtntWnSHN|c+LxWk>-;LzeWiI;)GJ)c%+x>@sgb}1ge>u+mxaIb&t^#v2S
zE8bn@jQcTZ`HuIKCFOoRVc@SV>TtKKw>*?QU*>VAzu$)|>Yw8-=yvOUTN*7k_lfp=
zpAWZUUmW$@)V=wkfYrgG@2ZMcC(ZZmHQw{}B;&oGPXylA7Pau(SsrtrKWD+@_XmPX
z%ECl8z7~};J?6Dst7>MboawQw<yv_r>prev$)4LT(h<D(sJd{@*CoCi&n-4u^L+)Q
zS;dul0cqQ;CSN(zqiM^vrYUc$3~73M?vaL`@0;%@SsvSD2EX~Rg2&o6t07j-^hjR6
zRARro?}qD(m)&?Ca&$@A9`|0ugDbnXzVDQqnlNeeg6`E1HrE;*l<~hMGTBz^ut>jD
z!YX%O!?TOsX1ohbKJ#G(XIj-2k<D{fNw}W-x`O5N9M}3t8E3t(Cs|J0WCox4zJiC_
zCaa-U&h&^<|Ez?K?!FtJe1CLz<*Hln?niIS&v|#_PQd+N`Vqf(-ufDP<k&Xd*HPw4
zXP;l|trv_>K9cqO*nX4g=5e7rw_d#S<9c6dsqAf2^M6+YZ3Ol|uHNmm;qt<OH$@se
zyZ4{(i`TfRX!7sMv*-2uKfiwXu{>|;gHHFQ6~AJCeu&<xE1PoqTJEe{<rz7b*BxFj
z@t6H$NVnp@FU7B~NqlAh=+d{uRD|or@0pC8zh+KYw4YOwO=3d)24-ImgA*+x&AulL
zjx;>_D<Uk!W2|^|fxXm(#0`v*jE8+a3=Xx3DEpo;IM(3EnCyGPT2(lxr`}xgFbi|r
zp1Ed<`SW}|49~Xk1p7)Pba6WSm?gGccT;RPjFU9bdN7fbQ*PFT^O8O%3eLAM{*sRD
za{6MH*pjE#bMb0JA>&*hj}I4bRQr59*uwLkcZSG?n;yoBFOr<P0@YF^(hh8CU{q6)
z$Z+a<r<U^K<MB_*p^`eLg2Mk4=G8x(T(Gfl#tL)3`lr2zRfL6n%oLA`2!G-}-@>?T
zrooXCVYeBHha`-7Pe{*+R8yIe>(phbmNFyz5W{C5iKKm;$~p##J*{j{dT%HR3+b3C
z9u*Qc(lJeJNLAz6c&DYND8<Q6-AEx{V)yI`3)(p~t7c7DIGdBX#5A!(Pp#*|&6avY
zE6EAw+vMx>9h&&nmQ>_BwUw*!e7M%4ST(b{|HADS!(S2;%pcVHp0JJ-{^WP9WzS^O
z#D+#S9>WtYf^4%5jtMY-VyjufS*f1w)b&s;MI!SMgR+mrqn(_}HpYoP%h{gr{ty-x
zvN2OUsw`||W0KgwuEtY%zeVufOoL;w%%AH09`<o^=FOh)Xd0(v-YkOyyuxie@(yua
z_mOzKim}qY_-G5ubYG8)p6`GEJ7qoVoEp!Cqb-WNW=$x+qt<irukqT?T4#By{sq3?
zb7T#}-Y@gkefj1*{|#SB+wJSyb8g?*##fu$G=ureW^NXKyUko8{co8LPd<Mtm^m^-
zD1PqmAMEwJ56#wVKXhBa{gCuV`wsPks;)KeOMHW!lV#`Ch0Sw65mcOfx~(nj?duP3
zHh<a@6jq;^cXi=L4g2f<4w-dd9~r-8>6j@RR~I&~bZg!-<Gt}eN|yTB9Q+$R_e9O=
zZ9jgr{#Z6+)%MKb`^!=uwdKWk#m_90tk9bANB!ff{^Nn|n)UU+_8eXA=lz?{_w6lH
zvxHak&+JLlD_pg^?&W38T@{;dt;+p<?AnVzOReSa1fDy-#eV1MB^7gh{!gxUKNQd|
z`A>x-?bl?5(iu<vo07KKb4ImW{!{t!<J5eX)rW2Bg&3dx=2aB?!7C`X%deVwJ^$I7
zpa)iOUFV+X-*fx(%BJw=o9o%u%RQJI&j0b+YWIrI%ZpOYOX8R+{x1KtsBz(^Tfa|f
zZqs_OG+L$N;hjuvJDvI$ft>RyL%NpBO*LO9XL=yHU+U4s-whh`cDuH{i?=$;V0$O2
z!}?u{@}1Id!*@H6vV6ar)Wz->_(x!I^b=#D^q-y6S6{CPY1%3`HED%=s>GwfdS;$)
zD{7v+wo|a1UDRC@_EhZWn^U@<BBw<^*{r+$M0#wV;X$qKGm^G$7MUeochuqevi&AW
zvsSle-wpXMeovA2M{uRXg4b_vb*rVcWq&SvD?RI$*`od0>2Gg+HcOkg`g+dweWAC1
zwH>SaQn>8DckyBU+>6&k56-$>?yz59_UwL%`YRn1W9#=fCNyX_r#dq#7t63LE|L*h
zTr9)0w^)X;>Zyg(motSfGiE>TFjPN2F`=wa`KV6)!eE{+>W<tJ^B*fnOn<yUV)o+%
ziOG*S42+KpCROz*AG#vr-lJ*R$1=HCMpNx4_uRw@_M9IlzqI6Q;`}%F!?j!a9EL~o
zI}EPmchno6$@eh0lkZ`8sC>>*!wUQB7q-4pc=3AH^prj(x2KUDZqFk%jy;K#IQA@(
zsps_O7K!=kod$~Mg_4uzsUBQn<K3lg`PBcSy1L-U-}04WP2QDaUEP&pZQPa97@mLD
zQ9SoFQfbcF%||RIr+XGyouBk5#P8?le_HB-AGwt(#hPZ<SBiDrt`uvFuAIhT{z*r1
z-SbGLnA4k&?3k7Axk2yzq@-HEpPw%}_$fIuN`KN}k^ZbBGW(Mb&+N}SjN6_>I^~?*
z>~dpTdgn&l^OF+X=cyh&H|Nvy<h65L4l$KIjpQhM9;xx|Nu-3|lSn3+^P5{Nrlofl
zn4A}S>@iRE;6oelF6Vm7r}BvreoBFi?4Na5*gxrr{Qj)N^Sh`>I=P}x`Cx~Pd)LHu
zOV{z`*8Q_CPsmSQxnj|0&X{jKUX1Jae|X7~zsKrm^!jrj&aL~b>G<)n;)`FQW*1Gq
zgymX4*qdD(b!$&|c5&35Kh4?2VYl{d&MpqSvnM!}Ywd|e4csr@h;i-;saHF&EW+Ws
z{vECR*A@qrd|SaYcV9tT*P_+d$9eAFNoshPXXwJeU1H&KYiGl%9Y<O2-c9PtE87^G
z{Mny1=d2u;v1?Oq-o&Kc(J413+WKAF^`ms-{M%bk^E|q3z9;bCz2(Ml&dM=shh4a|
zI7Zj{T6sqN_u}77yHf98-ME6~?%J%w_3_@fzkOK2GWShZ<$n47C97HF_vwTSc#3YX
zjw;JJ+Ry!dv*+Z{yo|5rdx}*8!o3nEDmPBj5sH|h%X*UU-lmVWCY>Vp>NM>Zzr1qt
zzV$NJJwG?yiM#EaWWPT4_e$-z>5bZ*lk_Idn19yr^tAZb)+XEE-S~HX+t){h2imq(
zJT?7Oe~0hzrc=5?diR1R%fG1p*#7D2%9Tgk%f6-ce+qH==y8Ac8?m`AocE$Mj#_i4
zO3b>OIyIv~QA{dnORL+AWKZ*BF_S+{E1cIVbY^=%7pvH*4Hul4bv9iP=+-qkB*fjj
z@ye1KtDR?@IHyHx9OdFpjmTWc7#5k)aaBwzvA6YDM0S0U-QTG6g)FairzADE8s%)c
zz;IaC<Om0MufZj!<Q^l<`RjVN1vD9oc^O`Fk~|if(eP1BDygZ}ZAQjIL$#Sx_}{BX
zYaA5eUOFRdA<tzUlLIB(yoQIJl+SFrAhFr!RQuu0x>Fvsv<A)D63`YbCiP%TYnw&#
zLcw!U89l{nz1$Y{`3qUZb*4O8)M^y7=>o%aU6UiC+`R=?oswgWGlLG4a;xr0Tqt6$
zJLTcDR<<3v3l-l*WVGxSlS-cKS?Rv>rW5D32#up*+^ILx7BX&&&ghU8lS*9PdhEv6
z$e-LiqEW9zzW-hLC&#N*eD~qMZsi(sNwx_KS=e=_JetsIBx9!ezJ6O@gvP-@?xhxK
z3we(Fp4vTKSj?;7l9Q%iq{iXQ$)EBb^t1}i*&5JQEOx5k-jY2#_a!W35!apaXi}@u
z8Y9j8u<&S&gHqf}BeECryw))}P{qx=@t~7(&X$0<UY}F5ubve<wR&Rfr>vBPd!!;Y
z*1I8bXHGF+y}Mp_N<x2Y(4H*;ZQ*K9&)(1GR;@^0D3Y%`WnuqCiH>%W>Szr|eeR_%
zG8gjL_B>niCE($^H-YAz=G%_SU5=|+Q;`2HCB8NNyy&~lT93Ycu4{KHIIu84rbL6M
z-A>#7^KuQ}M{QSsyeV{uRg!o<>4(87Rl}Z>_cT^{Bq^@?((v$M{To3i*VQ(O6)M$Q
z0TWmEOURjW?fBC3(C~4Mh`f=_Jlm|5{)_c%Q$;J3mvG;F^0O&c!6KjIX>H6#ZkF)S
zg$pOGuDu`4+VpqVgr~0>Vjs+%syO9);R!u~oL~EFigh_3t=-bLEdJIsXTxas^XrRr
zN?&}P`Xg!2X{B?1-e1mry|S?0f16a`*N|^P{p>ei=ZS4){Jvj1K6#hcq;<!Y=ig~)
zU!`*`qbvBswWW<mthUZrRU};~DXyEnS#;i{rMu2t+~^#<dRdsnthHX6Rgp5ES~vav
zbjdkN<8<@prCzS<mf4>0h<=e;xInEd-`ITH+WeclLSq@N_m_6DSnmt%+oiE>)$jV9
zJ8Rp^dUK_tw*EEVFS7si#t)~y#_mj<pnF#L_P?d7>nHm4KG@{__~yP>S2*&bHeFfr
z>{r;l)(3IlzAZ^vxo6tW(?MBNw;OHW?b?-le^vUXP18c{BCqeeWHxR6q}MmQN?b$M
zO_Gj(nYlXrq@{B1OD)UQVYYf@8CvUHM5jMGR})$vH)qqzq{`P@vOhoibwl&|vzxDO
zc<R3X8ntoF&$zQyp8DOZ_lI{cjnkbL8g*3X<kc<VQkmXIH~stli7P2m$1HNs&Zk9f
zQIDRK?_6dz=eOV4wktnAu3p@*_14sduUKC1)3w*hyK22{P4=(oWX9Lo1%f#rcE6H}
zHvb!R;Fwg*9`=j%pV!XawVZWpg?CkCO;CV;Y;)BsIq@%Bt_FWU;Sp_B%Fp#QF)(t?
zI-mI$w3;?))c#rhNx)s~Xu;x!<oK!LOHx-_h&<da@|a)ds@|7Pi#O(`S$vv0apkA1
z?}^62S6kmXr!9NcHS<yJzL_hnOoQ3a_;9+L2LHY)7bo#5x@^jA=RNiRqV|gUaKGpO
z_$+&Azy9u5v+MG1FWk7ZG}zlP$}ZX0^V6TTsjr#NU45XmBc!?eQ53IUo3!Z;raP++
ziY7fVpI0PsI4+J)c>l*GDmF)pB3|5%zv;SR2b=iONm4O9-q{7L5n;{RM?S5;`YXEV
zBloUQ=fxFL+rOJ<ebs+mpZj0fzkUUy_S)&f(|^ub`%?Yh-TylUSMLk6o;PXv#)s#X
z_+RATbxi$Yd_i59=hv|nQK2*A-?Q?ZD-_`Qd2GcsSNGq1H4_Z4RLz}oWE$`P*U!Jn
zzA|_tz4%+vdH=Py%%<%RG5jMP&B@`sdfV3*pL^HlaZmlua@PK%noRb-ZSNND{J4J4
zO!0c(%WLv`t}WkpXNufrvHEK{vRjwk>H2^3!i~5X2KP1M9<z^`J++Phdn;_;(FK1D
zekE>SJm*;V`dsx$9<fR#R-5l9S<bI{IsNG)(+?)c9X~wDj{YgSd_(&_vtP`L9~bS+
z*R@{P@#<pN^*;7%i^M+{PW<4!TuV$rt~PhKmiKA4ikx~|O(&hR&*Cj7Kl>^qzh*9{
zK3AOjtXbXD|GK_j$hq=Q$N$vVmV#^-k32ec{aS3n&LZFP;$;d_>Sul)tLkw5dH&C$
z7q6~zR#ldE?TeecaDF(y!GYE7Gu~cRKJ(?3;j-$lEZ5&ocks;DThJb^{^I3T&8o`M
zwtI254&m$N7IlaBU#P#i`uK|{SA}a#*t4DfZ_Tw`Z{NPwx9)d*cm8pijoYpz?e*6A
zFZ*=`%kedLLnEJVj+%ew`BA%>kJ|jxcDy?&d9<+q)Q1a;*(x3cI^X%eLhxPX6^U}&
ztR`zY)1$fRg(jU(FZW*iwp8b{=S2TyH{MK^+!pcl)bf?vqJH%R<?r#GeX2f8<f3H$
z-+OObyXFcUo%a5$p3hw8n8j(6qfZ7Fz7?H))zm04b475v;Po?x>(c}`cdUN4qGR`!
z$RncJUcYo674hoXb+0(+TDXx-{BWyO4CA@$54Z}?h4Vd)JQ5l2H8t{xS@D*<9UR-P
zKUj1lu(>-iop&9>?yQ2=8@Xo3?u5>Dt)FAKdew!^kw@f;#R4ZSjXV-p>~Yxkrp}`+
zrR-B9UC!s*8aejo_nr!!+O2%zoh4sW-mO_l&jf6RcGYEDJCurDd>Wm6;;8K<okgFw
zZxcF^COCJ?)Ca4gBn#gfvzHzUn(JC)k}k;mbZh_LYmd%Ltz*76WohIQ$JeYN8H4o9
zn0kG-r;$gPih1T+p32;_J&t*5<Pq!nJ|C{lVy+bXsCL}(!>y>gqmf6HHoFT5>CXy(
z_GaUfl+7K})AUd9-qd;28Z5fG<M}g(<!e3#UNA21h!i_5FI%Xln<l7zMsZ)j)5s&j
zpk!pzU6Es}ekfp$tBq0mvDBSKzU$4bA8lG{(OrKd$NtDAjfwFhv-emXbX)JY@!)FX
zHQ!$`UaR~nkiEC6#Wc?LSl0S^2~+)_Z|>N7t!nm5me+f%j#jNdx8dMwc8jObY2K=@
z66$-ZnjSyXiFvU!@`zXUQ?0W~VG-K?nQx*F6!m*;I1{CNDvPnW*65(-e4oNg>c(?E
zJn2bVS?``2@hFg4=i3TJvC1nP+_qUgta7FYYx-v;&D(6zwYlT^EnZt!wTj1+HShgO
zX)6!gY1Cbjf3H*H?yQ4l#bSXwS2iDhrn6|_4Nk)xP)NVhd9=#1TY#@pY@?(1{vRJ4
zUg|yad8?Kfs%3k^-g!5B<W<*W%d=kxsc!v|;6H6|X8o<TQ_ckK4K@nh&95x;w47mC
zL{a!8ubs1VPR4%y+Tc0u&efGWXJ?$8yCJ05+_Cn^q8BT!=RJ}6^{iWL|M%)6GH(``
zeb>1CYIc;__VaB$>|6LEK6V5?uFEZ2B%w7o=llAryO)bjx4C~i{ron&hqt4<dlUS1
z&ARSxy&+L{HHmw}=6bQ{4%w|YlycS|6-$oR-Nq8V|Kr@|Pcg;a=4(%-Y(9V8^ajVf
z`q1XyM}E3yZMV1HV7jyFsMw=C-EAD-vo;>B2<X;5=)89Cxtyo&JLG<*G#$U?dv8<B
zT-EOv(^k%0_{ZmFaP_B~lT+8r6j>JQ*BTw<-aY5+`s(a{fs`V%gYTYRt>+BO-W(jN
z@3%heX(UHj*5(hJTxTikZx>HA^Nd?|_OR;COE-G2tIe9Z+{!m@;n^#v`(EXgz1;q4
zvwy1dM&Vftd}phyUAVFIbo|ed@<nFLC+aRz-ju#!Wo_y<+nf9PgF;R{68g=#x@Km5
zSDCZU<;qvxZ&T;^dWi2zHB^pWur|q~-bg;^{C4r&Qa`KnbFKah2k-wE+-)E6<k+>N
z#dAcBo>>(IO5HeLxbo=4zd6-nUd*SM(tf5i&HUD+f10)D(<_$6d##R2tUqUPZ8iIh
z2UneazR1eC#?L=<;k-J##GA>^JReUAw*7h{ak!?a>7kwFQIGPSCY_y!WOCJ3zG5lf
zYjw2VX8k#X`(cXSzNa+ae%!e#MCy9qnR_v2B^T%PT*%{^5|SEI-5KT9Idz*-aqN<`
z$F~;Tjhpdh?ahl>a)#UH=439HKC)@E$+o#^nOa(M7jO7qmFo-Unfh$s{M4N2lF+vJ
zni$ig^Kwgj!Vdh1%3*n&wK4F^`lH7-tPqQC5ZrphiKl+mQL)4X-E9)gSsPVnd|dlp
zX3Ftxm-l9d9^2Nen;d=E&0vX`^wA4ZIXs86HnK{@b?YAKh{_RkyOz{#xJoR#>EblU
z_6<|p`;%*o4o19XbBJ8mmm7a;`}t$rnrn;>I<&`}yTdTw=fZux^yJ&yr%ek#ar4Hv
zx#8*+`I5PzUmxB0R_{Eo^}*uQkn0QX{C~M*ZHOn|#XEDa<}LCpTDtM|>l>b>Io0>-
zlvXF-=8m78e7o%v)9IYwiKmipM}8}wSn6i{R&vU*ZN_hYYq&1exwmEhhEq4nb}iKw
zwetVF&9?gHjc<L?V#&96-|{u`e75*h^|wW9`*PiH_!pRMo0}$in=4ZOc75NaYu3i`
znK{<CmWmzUmi#7CgSUxG;`QXp<IC>)20Uf5sxEEPi<`S>c6j=WcUPIee0Zf;^^31R
zX^(%}j0cmMeLkI3RQvseBe<rhXQiFxL6iA&lG=91%sO(TY|dV%sijTn(^{{t^80va
zwXw~{H8-cli5w_6ePf%-)MMKY>m=VkRd3B9ktKQCY(?^I?r9s>Zdj3g`*TQamw0~o
zm2Q3J#1H&g_fB*9vrXT6_1mf=lXdG3WOZztq9^n8_uX)vpr4;lI@f9J&(ZW;^ik?p
z^DPtZIIE+3=FE{gSg_p9@X$)bo{}s^H_NR8i|0nQ?DNxg+b@4*x7^IIJ#Oo>w!5fI
zd@w7ze#wq^Vv>0~jxw0vP3nlwGdvWyUE=YL@6(Jl>IL5ID0B6WDhuSjW+uMgX_|dd
zi{q{C2>b1ii`UP;WpdrTY)!sS!UBIai3M-xoPK2;@>L@E#72{Z634Zh?7PiPkH(*U
zq`LaC{f^M4WcwA(GLdKFHdxJit!VM^^OX2?Rx@8KT70c{URwEUD*wvcWf^%{cQ>Yf
zEZHI){&Zs2=hTapc6+RjdagfLu&PQn^y{%t2e$5yR(bKg_UVgNi@v?exF5ssI6sEp
z^?eM#^ZHlWHItUFowe}tPqilFpK4v3f2y?=Up?n)HuZjw?fXDZy&a)-S$b6=P2PE1
z_cdIXoBE(PI#=VtTK9U@iuBzHo5UNdceysn`AwA1Dc|mK;jHE-=eMuKer8<M{KWkB
zjTom@=<J=VBIZ8&vOet3sx^D(|M|GvhW}v7?bP)Z8fs6gB-HBSB^P<jn{U0svMRp0
z`++mBURS^A4hgH9r&h++W<CkYYqok`^`mpmx1W=q->(;ao^*hJ+8mCx&h^<ZUsuM~
zukcx068`e)|GaHwyHYgNF8pQpE)KY~`aZwJi+kM>Za+nB>o&xMs~*<>GfU>lWKOYN
zpMP}!*l|y5z2AmgtAp2ke8rQ!x2mBu&h|*vdbz~r@cxY#SF7K6ay2;To2dR#rTfpe
zH0Z|7O;{bi{Km7ZlE*5(G9>S<>L`q}tv^(;UM{&Q+~45h>g6+@Xvei3-FG&Uaq?cP
zgCg;t7^nSsBH&$H)RJmvd8}yuoP<_?zm1n}9hv&%P2jqfS66mF$-Eoo^UdJwwI3Z~
zOK;evb%$;H^jJ2`*>a-f)yfrXy4TB`-Lf@$sj2qFlISy=Ob<N$y6EP%zthrEjtah$
zS?_0Xy?)#0RdEO8_BST1zwfZ|e15`>_vS1X56oLEUf6ndRmROt+!xNj;lS$l8&9t)
zzxnXWa9j0P7VEuLU3b?pol>(Z-}haL<6W4z^O`oMc~31k<~_I2h<joo5%<i3Y0s&`
zmK|^HBf6^Vm=D+PS3JPHU-5|Ze#Jw|`xTER?^ir1ykGHXeem>!waW5$KCWK0{PiYH
zNsg+Yyfv>fr2mWVw^lRntJON2oqh33muJ=2*Rj$of>my02yfmUlzms}pw#M!d(X4B
zdu-@Ro4lbbqP9s~IU`I(EL10}Z%Jf%h^a|vxQ}~i-r?I%F0Fc*F)Q|wS^tfb60=M>
zT#v8+wSB|#pI_$RewbMQEq2B$e(4U^>!<j|-<;gG{T0jZy;eu{)}PyOdENF?bvqvT
zgmo;_tFuaI&;I<0Uwlq!u3z`;{PNtme-E3A*PZpYk14R)T@?~p)4#GIIB(*El(Njo
zBTRl3Ax)3vraqeCo@(*xoXAnZ?I#Lu=(1Tn5v!d4Mod|zpxf}<?xQTf@6;!C?Je8*
z<uL2*J4*BIcDQ!w=1oj24vU<<^qE5S&+T7ADz|kgSZ`tfeLOvTyJ-K44>z0bTu<-F
zxt1UC<oN&NY1-*s-n&;DJ^TG>uh-!v_rfLTuFan|ZDswn`Y8{+wkk!Iw4MGkKl;z&
z3$3Rz7bY|6@4F&-@U;GEd!M*e)-&1?6Y7)HOcNS-pFLAFlluMe=U=~c#&drb7}vd(
z+Q-q(Gws2KV;uJTcCyYDl=<m*RzXnm&XUv#A9&=<g?J2(dl($yJS_fj%R!+z|JVI#
z^gp=ie3$5kH{9H65&v9&o}1cKDW3auPx-c@F5j?otGlMM-$;6@xb4;^&y9!LB*ShM
zG=!ST)F*A_b&p6sY<TTfK~t&OoTR_vmu3pg5dUe`ESU4(X_t;y&-o+1Czjt=TQVcd
zsV!5D$KY;@Vw!~Iq8o_@_rj%h4(K(v-pJj+QOzTk+;mXL$0VZ5(`(u7KYz+ER;`)7
zx{6b1&F@Wr1d}+0c$TUyjnFh#Q1#k)&1Y(2UreUA!GZc5;kFs6ha`;mUoP`^S!Ap;
zWxjlKSJ>k_{GYCdE@O?^kl1wFen#epom*9(GW^ytITFYHbom9R<Tu+ch&=DL37FR|
z+cy1^P0scU9Ob&2M|PwK99!SYF6Ol%GV{}^Ee+~!D-8p){B<)wu$?&}{rLFD3y*j|
zoN#i^*|0<)ac}Fgiu#--0v{enWXrfK^gZ5HDAQ=sCq2zX<C?^8?jMC~wgueti^}L|
z6q8D9Xg&5Kf1&0&NlWgZJ9lR;thw}UX<flWi)T|=xt|GdZJNpEDz7ZQ&?0Sb=YuKo
zn_Jr=G8YPlMP&5co3>X~Vx76|oMk&wWr~k@Cv7bh5!COLbK5o1V!l|t{^26!bV2)V
zsbW$|D_h+nk`@|Xi~Ke%VP|2Ep#3&cw%(tCCFXrf&)hfO^DuwrzVV<FbIz6v0(W&@
zy1mG{&@%mfnsRH)^EKUpyM+pu>TaJ=>7MO5BeAQMZAQ*QMYYI`mQJx#zumcgH=a2W
zd8*j#-iKv2>5p|x4n%N2oxWA|ZoS0fuX4UUIpVMPE_t!!ob>d*6|+9~o_74Qm;1}U
zaGpy287qx?rXT;ODv>m0=1LA_Uy0-v&S0M_{ZqO&9uD~HB(SAnVbx@1gM$X%QX<Y@
zCM{jGdVbbNHi?vvZ4x;P1=}JsdLD|+N}9FN{Nlxa!##`6xJkyH@_w}F-Vd&*12v0P
z>Q`uVR{oM)w&?TQ%s2ie%lGO`NnALQ`{LYhTPvKuY`(zpTF2yAl5*_Dxp^jg7B$~V
zTFB$AqsbDHwXmiy$y4!=8F%l-%TB>Q=9ffcAL<>}-nA@~P4w)Wn8#9mM`zAXRndvM
zUpY-h;#qV?1FM)+(!y4^h?IqYQX(?CZn~XwY2H<T<U<!*>B?5O8JV7n$9S5T?Wve1
zd318uqsSD)U>)-^^{6YNVp0i9TiYzYt@Tuv*?K|3ecx3nty8yedRKL8WIa#aJ6AXC
z+{R;u9lLhkTQPgl*VyIT_f3(1Ct4iO!~Nx2c+d6kGb4Em&$S5Z%`!L^D!fc$dHVcL
zwaW9`Z~YY7(oip0<*Z_Gu)teNg#GKIv_+o#R*5SK`+hRz<}JMIq<m+?1&QlA)!rL?
z?0J1mt|<5Z>B{@EsqoCvo%h5Z&*;AUI?P*2B)vDLV_iwt(bMgkVyD_Q#iSnYP`xWr
zt!r|WMVYnG^}dOhZ_Fk2o@Bka<&%4ogvDQZCYL|*vQ1sc=oej|(P1nm^>9z?u^kBu
zHO(a1)t4>Y-qS2>`%v}qgBcb(IFB9dT=$`CqxHp!Yfa0<Kis`*R;IpdyLHubT@Cq}
z61#=>-i!4X;<?`I(-D`yy|wK|{z5^%h>RX*v00Dy*-0iPMOB<XG4loYdujIYIsbJR
ziur83Vv%Neb$9X`f1iDF67`zyN}U_sgbdB?P0nmI@ZUazd5-Bfbv5Pw9u=X9M|6}s
zr_7d@eikz0dBho>_TC^d+bi1KPjf%=M@8%CJyx&sS6KIG`LUk@YcHifzH#K`jKn9a
zcZjX|Zk6QlBkDbmi)uw$n!<r%;kFxjhd8W#Bp&zfT+Fihtj^LMi{yh9mf1|N*O~HQ
zZQOQN-39C8;+ZdM?Z52rs1WsZZq-4yMWOdn7b=!TXSCRgNj=`1n$M~BYPp&~?pN2x
z4~}U5PmqcEIEVj2wNfMJFX`ht=ia+3i$7v{Z(5{t?t>loQ{JA|Pi)7Wl1nbNCpKD~
z4(?4BTVA<fV*JG_xhvVT7O?KQcKsHMT19>8l-U^}*3vr#dS59jA72z`o3l`{FG54!
zA}XUt+KrR_&y3^J$8~1iGIy(U4gP(}i8D_seg6)o@Aou1lele8X!veqDnET<c0{9f
znoN7fwEpOf4q-8=hf7+I?Z~|u-K6Q}*&({a&#mrg)NR?vGn5O@<o71`9WmT*e9Cvt
znY*U-MT*xRyo=1}_$?;2aJg!}p<Kis&0msnhP*bZF-MPPCWtS7cI=VGuBb~3Dl*>q
zpW(BYIIgp6N57cVqlv1m9R0c`$Ar0kFGkL<>qtDGxwlgM>$jB~_gXx7?zZp9$9)?D
zx^`B@E3Eoty!X0*>CV|RS2Nu8m3XvsXJw1HTF=KzEt>W7UhdtzVr}}D?EMy3B$qvp
z>&ZO#>e{0TX`Avym1h<2+G?>ta(VR86R8{OmL!RO?b&xuEzJA;tO*aBIGK42FY^?h
zDsi(&J0#)mJ7ZD%yk!xLFP6r?KFZo)w`}U8S@Tv%#Kf8_$_LIeI1(%Dwj<Z+Uejy?
z*Y|Ip?_tTg`{jAq*GKgyd%np=m#+~nw@**APtKV9bEfr++jA1*zKI&nmPuIW-}gc7
zcdPqsna5lG{R~deEmh*n-4?DsV{+}hv+Kk+eBfT+_{ibgnb}tz46UnqZ>=&u^YPgP
z@%iEWhUZti&v<_|`OK$h`UgGM&r51luQg<hc%pgWQ9#}P<qWREwudG&UaXJe|32qX
z(&4{~zgz8BH=38<lQrP^`fAVKkFONjs=snH@2ToJI!{RK@MhIG+oRg&KX&^itW2*q
ztf>A!cXvbg;T^Z<1;@MzD68_@C~=GT%ajI*C$S%&85{^*FZXCxcz?m&)t}w2u2$di
z@@nv%PcIhb?|pog^Ia9!4wDsM<}7;jp{U;dVD^F=?<`%DRI1L;c5VAOFIeN)n#X&p
zIyT1H9+Fru_jsRqJ*Qm7SCRKNQ<v=UdVDjkXOeq$)WSy<KbJn25pzG+z3KU@w`^Ux
z^MX~_ZZ5WQRcX83zo%W`!Nzd@k4IL!SG>BKZ1edQ=e+8#BKkJFB)s>1dc{(0o4%l8
z_P-hT9qKopFJ?O;Q99q8o#*%7ss`pb+ar$a<sME5?=QTuTHWH&)nJ*gFOo7p?pV&y
z-Q81tSJ`u8&DMjqAtJ9MUe^}2wBF>tXJ&b<^Ud}>xkXKr-z6_jQmH&uoAXG`?x@`N
zqmD-x-OoJFcjVK3$@6?&+>O)!vNhd(=N2cSQC7LxW8=BI^-TeVb?2)16%SahmwU7)
zyuaY!>f<|JTou+iA*Zwbm8M?hHgWSQ=W5^S9eCus<n%lyk><Of=l?Eg+WIce=>==d
z_a_T1qV_#G%Xn1kyr#(NrQ&-mc?vGBZr}0bs&dS?SBC2<zOsbxt?J62Z=Jo-qS?I0
z=%Ahd%Z^9;pWocA@wfY3{WtGBzIR^dEjW^UboRb8tUI1gcE0oNq~N<BPb8{qBE2^p
zx?9#2J*E6^XZPv4?bd~bSKk*+ull$s{>{CeJC>CH3QCW7ciZZK(R`VtcKweWw`+@f
za_uY+2HoEmtW$V8>E6z(b9c-r%lmjzFzvU{^UHPf=RDZ=yQN$6F>|@Crr!^}`W>%3
zXROaHOON&0p0?|=T3R&!-AdufD}CP`IU19-_;-?y-_m8f%k`c)o~~VKtn>LK<FsE-
z1iWjCT8`RT9#f9rsZ#M~a`2e~`Rz0QzbVPrU1r~XXKB=&zi-Op4UX<STl<|=d6^ma
zU3a}Sv)wf_xi{QaZ@=+)vhtg+Cpnk>Jf(ZI-tPOgq;o|FV)c$n9=9#u*>Us{>$@UB
z?IXc=D}}R<vfr)r{y9<h*PcIxor`qt{r$DF@Aa0@=sTgCLhGE@JD)uCwDo58;x?Uq
zHaku%4$?VyZ<6VivbE9T1;=-$>j~fac2Z<}jnVrBwM7lmc9us<=gT~t<=<BrDtROH
z$*J9!!}nO#A1qw&S9oT%v5fAN_<JFx^R~Nb8QuK2eAlDwQ|?^x+kTvRe)`@ryE*wf
zd(CTCe6WxHQ=GPD&$@H#-~Eh_Y?TP!x1EcVuU_x&6rKH7&t=~flvcC&wew3;0;~81
z@4CVbhd8UEEnVfc(_dsiW&9Oc+41jFb-m>C^mEgy+a8<AJZP!sZMVogEa-Q$py#sL
zoCiI;%PoHI{4#6qfjuYKPprQuDtWNr=hfXp+7C`XXH%)ja_UM~OR2~^#GvmZ@o4Ep
z?=C?%JxMnyodX)ptrEE#IFfn9lGhv*>M@S!`WWwVHh1%-JNKsCcKPUg%Ik7qPG0;e
z-c?H7&%U*~FRggzv+Ks4d-WeqvRtn<vQM^~`EaE_--jdW?G<k(E8BcJX(*TWe#Yve
zr8{dMT;+UMU3#yuy0mTgmOoB=SA|C%f7EH~f9m}+YhT|-=8>L1of-J1@8HmTTBV`)
z{LR|X+uwTE7PkH{zNccxv&H7V%LbkME*nkmAK9RCUnHS$pXL!IrLRq5!PmI&)h`Ts
zp7uF)?}N8B$t%V8Osze5G%Y9N=7kGq^u6v!>Qr&aJ*(1?ds-zS_q>W}&$+KH7V}<r
z7EGFAUzYgwL(m~jrM2CXeaE(0yfxjT^`PgzL&3G#ond=c@0$HsVaMdh3wF$XoUmi+
zV~zsj<ARUc{wHXj`^n2A{-=#W{BN5;_+K`=dWCP&NipB0h3<Tp4!ZMMY8F?-3v&UT
z57SB~M;M$a6!1A+7~pfZ(7@+pA<K+8k2yBpuiqf@`7c{rWu0@w&N}A~%R1+ln|01T
zk#){ZGwYnYB=1ei%KIVudynUzOC7@d!q)yV{J+=E|L5v|$7`&!^6oRb*Y2J6BW>1?
zbN?sS2p_CZjQ<{ICVIj2cPdMN_kw6%y{>nrJ0z;EJm9*pnJv5{&UA;;mvsk47yI+B
zW2wz9So-3*^xLU1BJH321#}nG|Krm<_*?4IqKfe5?uWU&>lpI03R){do3#&}mWnZq
zyYj&6!ydNquJoU#9S;-gg4Q%FH{HQ8@5y_E1C2Go9sEC+)pN*y_BFJ68o!j&>iO)T
zl9RhVx;MAjR;q^1QG28IbiUE&om@9Ux_c9nb<MhXx89Jrc0GxE!#c6(j;&j7D6Ls}
zR4lnvcN@#<tc^<}A`kCebR*O`X%Fw~*zVp1;kssB^S0ioun+Fmb@(2Yqqys8Qul?e
zV$nT%TW?g{U43-gqF~<o=Us{EyqzDPy-8lkCVj9yDo5mf_C~`!tB;C3$kp8@ke{{D
zwIa4#_t@&F9L~6FN!}k9O{ibT^k&7w7*<xB^@;Nt4{nai5t*EIQ}ghVs2oPMD@ojj
z`^2JK3b)=!>REeqT7r-6Hj%~IE5a4GU7rvUabQJM4vTX3#=xExM~@lo5vz}GNCb@o
zuRSW3=%Kq!qB(n`szgY)?$HBM=R#X@O;yB14Hk$=JN}Q#5&4_7(eTUKha5>cYY$FM
z@aA17GJ9|H5woTDm0mkXZTVq;q<ud3jGy~Y*9)Ebb^rg;qyIzy$e28z{C}~KfX|Qq
z`izhBrEYD19r5DErUqV5Q>BRcf0DcF|66x+y-;aqkx$lK@<XAW=lxTI1J;&2AH(>R
z_e?x2vHq#SL2XN)k4EdBO-!0QMboaNQnB)<z|);FK{k_}+m;teJm{2ZtI%l|lslQ&
z(_S>=L8HvF9r{lvCaw)x#dyslu;XfwNa9qHqc5~vId^#kcAevl`t!UfL|;tu-BB)u
z9re=xw~PIEWn%5|2yrrqQ5HFDa>yV=nXxTH<w!!Wi^P(N63nKP5*plszJ&L8J?g6x
z_`~x(*!+?D&h>5@zcN}DZg*q)a>=7(o>b=rXJx}(*(#3ay-Q|H(PBSn5NErJ;c<vS
z(i$$Mo<PT*i&`EAUaXpKrzA6lgm-M6=vC3%_pg5CQTr218f2}MZmd+cE50CjI8T=K
z#^S{S)uked^ZSzCTn}tww(=?ru$HsqzvA=fm&Fq0ro&c?W-L&)3%C^6;AzEW80jv!
z?1jd$5Lwk3%NC1V-ugK4#)^pyvrUAOHoGb1T;zCPW-=*Zwp&n6|G%9_?WcLZo_}<`
zthj;VRmp=D_054j#uA5bY`J82Rn)+(i^FaC)Mp#};)|P~Oh{ND6T~yYx$R$(#De)U
zZ7;Oj1%I7L?70`Dr{U%)c-TXe%fPA2iD&vEj>Ahdjs<9X8Mt%>@vIB`yQ}J=*7qPr
z$Mai?<hm9#ER|A>SR~kWR4OrI$wUTjQ=z0rH>ESzJ$fp$*y=T}t!hZ@<ziYB=GYOc
z)v+On)i7*@!oecZB@t@R*LZG;(_S5(Gf`m8im-)3nhE>*IG(-iNLtq?@$7}hfgIV^
z8A}&)7?+A9w@nM~dMcF|v1}rPx2aH4r<;<_HIE)wDbEc7%9>&>Q?obiYuI^Jd&|58
zzpYJIK7X36)6VjJ)#8=)RdIRcPbWO=lVRJT+pd^*GO=ZLk;LOR8MPgH?V9UCkDGlJ
z*}T-}quAe7PoA#*DQdZ7hfX`s^d|-fTrGJD9r={!Og}8~{E5NANK2nWw^Pc8bu|0e
zG+drEU2x7M=dQ^`CkmYSm}RCP7C8RI;837t&qe2Z`AzSNW-OXrvX1%8lq2;E8rBtg
zTyW*nv^uTmtZvEkA@G!|z@ugvw+j7s!+V~pqDgI2mUCQQs&ULj)5{>VE6B(5|9!Fl
zYh||P<o(;aFSYt!(1YAh_ECQ(xBU$5FTGk7`Q*+owZLEvJH_Aw+0tqisfR`MC;z|q
zXusDE&n>>$O3XrQ%oLAm2^*F4{>$#J7fZcyLOn`f$Z}c5x+A<5YEKt#yz}tU%A7~F
zZ-iBsRp`u}a;(Z`(&ZCgFA_`7oKT!E^l0CnP-Z!mseSwQZ4ABrs88K%x?8ez&XnyL
z?Y==be(B$c*()Z+bwkOOC3<z($LLwVpG-*DFB2p)!MUxuNaDc+nKlcZcEP?Ai9MV}
zGZN|-$$Waady0Ek?xYj97o9BfFmUD5Ty{$Fu!$v)L9Ew|#N8sSHxykJ%e(?xVuM7I
zw~MIWP<GY)rZ&|oz$%>e%mu-NKC*#lE(spak!77R`H#JBfKzT=-ra?@tL?Wxy?)_N
z*4~pVR`kzZ^>yRQSWW%Ut30O(9ITNI)VUyd*h!W(qJGI@f$ma~M9;6Ea(_i9fA(KH
zyYAre4M8byxqc|Pvb;ZhN;3QS`V;9z9v?#ZH0PaAJe+LF^TC79*=EXN4*n+w$7(Ho
z3jFzk?@ayV@zGD{r)Z@+-_H!&NltbF)1B?yrXOZVeqwMW!?MS~i!Zrn%3&7eCk979
zyqd9C_HeXj@SgH|8MVsF_ZA_1nr$Z(4<}gi7<lkG^GrF+!TiL)t=`h-f<IsIm#Lp5
z9`sIezi0hz;+{R_Z*<#5_CA@gaJdZI3&nQDU8fUU@`@xLFP_pZuzqQ=^uwtlM=La4
zIpaJ6yPiM%fBn(^>5HeyTA7$_Uz?rr=D_WOJ3ar>yX(WIY${M*)l<Lo_SNo_CojDc
zYGPnHz3Q%`pvZ=|?o$PFu5%pa>os_D#iJosigRPMvf#H2m1A+eDmRu-6!~2r!q?Ql
z_iFQl&?gPM1lOywn>rPGDD$+N3O(p>bCS90(UL6HSs0_t>6f8$)bp!?MA!=UgRd`W
zmMX{fF3WC7YIBoF%ThU@)7w%%W9>waViTd{b#6juE_-zSeC5azu~Lp@eG2!L-U{33
z6@p!^Qi&03CNg-N2qmp_Q_{KY(epHm%{eE;vBg)bV`C&M=e89JN5d-3j~;w@`Blpr
zj~^G-+!CMW!MMHDrNG-=M4p+~u;xNw!*?sLkACig_g-lnla^JjSi4wcJ=52U`X3j9
zPHV1D*5CTJLbZCKvfYNOf`^S|Su0j87Vs|-dAPAJ$>vgEQ@fSdM+a-UHuqZ}KfEf7
zzuk9zd$rXg;S^az9)44igc*HKJXZr-6s@`pBiuRJUTPdY5MVoxfq(1cho<=r+ho^Q
z{VjF5=<m)`TPm`kzt8E*wZN7*tFDW|r|UC2*rQ@Q3*wax-({*CjO|^rBY1A0>Gt;*
zgtY6{lr$;j-L;ziam}BNMs2!WOLtybCI9s7>kUzzuQxtBerjV!gwK>~TGQCp{N8A^
zKTFI%QuK1{`Wd$pnuWF>-u%kV{&U_g6NkIvQ>?3$@=n_R-6$n!^M9kwGyy^Ry{8lp
zx?3)JQU6~*=)?toKISh|4-3>jF>uPa?78U8C;Vl~VG-MZOJ})vi3jOboW2nI=~LLk
z*)l;TQ=QxNiX;|nmT7yT(k|$C+PbUIjZb*a)WagrmlUN`Xu2}Sc?!x;@(gS-4-$F2
zRz$Tz-Bt5mdMtDQtD`?&?cw6kOR0606e$Q+-Xng2<7j<uufd&*9u2#tI1Bxi_oQX2
z9AobN@GMAKQ*I@@V`1Rd55|97lv(;soeGtr@9PS;O_t&;@KRRv%T_rg*?Yud^+cBE
zCX*i3Y`YVq#54WMVy=QnR>gHI6b^-o9@(+1iRF6eghy>LJibXe1)8s`PqjQqy4ISq
zq(Rt9$zq*ySF%-olEo@zyR@r;9fz&D3Ow8m`%=%Aw+YEy4eU~m?2dC25#O@p$JfG$
z+kNp0?IkXS0q#8PB_av?`<&if4s6+L)wR*xowMw<#!<bu6%yyN({&D5_O@887Ob0@
zrE)B@SH)tfpdByAsneoMs=VJhm91WT=!a>tL6<jM@{T#WD})N`z29|-2*#WZ`{)vK
zz}8Y_hkm;V|C0$17s{|jsJAO#JDu3VTO^UZcnWt{cF>W6*e<3!6BY@WFV#4ttJzf;
z-6eEq;v$jq)uHisGPM#Wb8(o3&+b;zNa%Ot_?Fd@G~Z3)Teivp#@-f-6@qbJO@tnA
znB~}|tCetLRTIPQ5P_uK^;}AC0vvnhYI$t%X4Nb^ZJ8~!_WGTyw;FeUA8N}I)tbnp
z_PQgXt50Lu>yE^>KBhBQ0y`qDx(uS-4WDILF1@iruuV{k(;!S)@mQwHp^DxkGZsu_
z5jLHa6tu0v`H1dLWl){_AY*G&M|4s1s>fo5-gz5jf_Nr6w=FC>kuFtKFOjrE#!W)8
z-O%k+VpCwzjHHs%oZ$1;(whByT;-K3E3@sw_%x55R6JZ^$z$Ni=j=1(FvsL42FFq?
zeGFXrf_<ibI#CdFN_qZ4-^qJwzJ2ou`zaE}Cpqtg;{3)_i5=-h5)XIE9IH@l=Zrh0
zIN#R$`7HmrOME^?-poFEIz1)-N&SRJYh{e~Om?<=J^iqN{1byi?v_0lJ+)Q})GpO<
zve)do7~dttGeODj@}G@+uJ})hE}C1fbAQrggZ-}x_eJS%ei<&V+xkg&=Q^`9&$-Ne
zF51pEomx0KW42x!o63$9r>@g#DLaIwrKc?^@m}X{7OZ_t#CGZq#=T2yLh??2o#wlz
zzF$`OwBgYkZ!{eRuP4XoES)&@!jd<g+m%F&&z}4g#ydkO-0IgU-JL5pE#+NyI??*f
zghSWr51x7R&_yZl<k?9|O*cbV?@-<D!pAx7l%lJ;Wy%YkcE(*N5<AuvNi3W#bL@p)
zJ7?92#IAWka+=RPl=8c)PAWR)TP}H_+|E<`)WD(MUNf<6XOKw3JdxHJ`mTav9)Ufr
zK~oYYiY$$g34i5K=Dluz9|zluj-&;B5^OIu4m{YgXO82O=pyGwUVA6zpPak;+VMBj
z?rg}KbW?ZdL#ss+3zgd@T5%b8xhuN8);M(V)zj#ms^!~mS#Q&R&?6!^=Um?9juj2A
zMIIX>_%x@TP^>>3WXZF^htFAO`e6?3CkDs7EPXb3@ddA$_Q|8rOX#QQPB%WzYo`>C
zT3Mz<=(jVvol5KoERsmvB6Cbaxt(*_sl=|#Ai19<(L$B)D;)WL<~Z>E+z`X}b4Lu{
z&jT@hKTpK){k#yv_wz;!pK#8k!y>bn+OSzDcJ3*^qtwn4{d7Wo(rg){oau)dte+Sh
z@w4nHu$*v6B7Bv0-diV`>7PUr=ZPGhq3_Bm<`LM{dQ;bO(fvugrKW@{YkNiR5DPhW
z=TV*$<KxZCcX&4>IBm7oXkzNiZh6q+rs0>>@^Fe9)0}G_9mZ0f1!2mD=Q33eR`xF0
zu|SUHsLRzut53N0epnZ!T)$`jHIDa7Oobk-a&wBg?9uXCs<Y5pnKLg-<*4pg1&L)V
z#p2rYvRe{nx@k<yZb@u)V_I{?qa#$Rb3>G};k68vgHgRpB9_a!G%Q@z8ouePl;Vx0
zf?ao|5^pRPw7Y)QqvNks=LUae!*8o3c?vyP1^HGh91|2(u~^k4a{S3%5%&7_>#MzW
zU7ZRR@A3&%VwJff=(s$qKhjBLZt0N=uI`MxUTL_P%euZ;w^+iqWXglcGIMoj&3WnO
z4yQUEEt^*PQLks4?D`b_QkRcj?mX*DMIQ9_IqkU`*ivrQ^)b$!)9!`FQNgzr5_y@&
z53jx*m}?z(zwc$oqaA$`elIi*c*?fcTP$77vAk5|aZjJnoU4Id#kW4P>{u(yzrOPM
z*0&W`cP~)x>b6SSv1BpB^iq*WU42S1R|9*pqx_ujTzuu#Vk`CVYM3(Tw``T8jSGx^
zYTlc4TOi!Z@$0lZ$6iT<?NZ&o(yCnR-lW@Wj(oilBpa2s{mC}1X>2*G&#hmz{mC}%
zWo9?(7an=LVe_uQuhZ^)ee*4;aN)Lw!#;hSd>e~|L%O(ctYm7E)mm_49aCGb7RQEA
zR>f^A6b_|{9=WlkiA6eeLQ?aj;;!bd#_dwCLmf8wv5I7e9@yZ<${4n~;d?r2XJ*-x
zIS(6z*l(;qqWDd-uw}28Ome@F`i=UvM>NY)3kx~dH?({Cd{8)@p!sZW4;RO}l?{(p
zas6m;W8EXSTH%PQsLPHOO%mc$Y69<sC$&|3$vjvm^!eAiBZ7I_g+0+;XWG5I<~(v!
z{kJvNu_pIl{L>i;{X)TSLX+BRz0RCpEYdCi%woY27WSz#9!(H3mI-^r;Jzf{58J`)
zdR4WG#YaT)r_OjdSBSkr|LCLFzvQRPc(7O~xa60)W!j51M;Lc`Z2aN4b)A>b1>a81
zUD}4u{HlBxg1e3$e^9My=$Nm%?8V|Ef2t;a(%;AS->2&+`<-|V!-LwY%PLkL;W<Cm
z;y|#b*nGYEMMqfrr_OjZQOMXP<Pih^zpZy_S7i6s-wchs|4aXo)}Hyfp*@oC{$=l!
z61_k5+07k`nVN2EE!eS?scp8_1L0)Jj_mF4_iHxvuMK5C>L+UOCcv@bZREN7tease
zC9{P-XKoY<&IwFvJL@I$V3$a@O<ZtN(`qlDLf1}7J@1Vx{HITu!5=YY#=|Bdb_@NZ
zi~h|CPHNih<x^ki)+y<yUDyyDr1R>$r|PrK$*RwoT~yO7mK|a2^V+z=UVZbgz@Ew~
zuQQi}ljhA6`ut@{#FhEVUOoj8ot4$gj_|Ngo#DR)B=*<KXM=xdW%cSKHLsQ(shROH
z|LN8V_S<t`+>nahw0x@Tjh@RlMRn@dgv8X%4t3bz$@+&Wgt1=!=8A^+OaH^<bhrZk
zC|;12QoONJuq#$7@y6PT43|Zf^xkJmDb83W*rh3zIAhI3hRGsIdVe~l6lW|D?D{B`
zD6xDZL$avSsv{D;0zKC_j%xH8^jz_15abe^n!Lk}qb;)~X@#3aTZYPkgw~EMBM)U3
zW>Y6a2W6hWra}wmJGs=i-drKr#4EKhV!dEnsTAjiFvUf$)?DN`nAIDw<`TzYuU?jj
zg#sTB3!XN0+Tf!s;%$0pgNrhwn6}HGj@wGT0y<YXjxzNcoVo7NP+7E8L^O3B7ss;|
z4N2R$B+^zY9Pp_$KYBlP_Ci6sy5Hhc17t369IoqSxv^Ye;{Cl+50!m;>jU0g<2bC>
z%W`9-K;XvmjFyDmZW`M%TM}2hG35kythh1T^iZ^aZ$Qo!j>BxdED`Gk0ykdIY)M$_
zrg1H!C2_0U4*@sDMM?43Ip$x_Xz|Z6Ih1ZD^)OhvwWF)nQ<+8F)Tz)>ndiBw(1STn
zE=TQF3pN=`EworG*cL3sSrDYSs6J`_1&)J-y#X?pISvQ*eu(S@DO)4h<Seyt#u~vk
zPbp4=kMT>qH1(cyYAHypXX+}{N|0FH#E|^tu0h~N_w1I0DQ+5WSuKezZcIGk9V>1e
zHgPftQ5JDFIb;x_%*dwcGUbRvuK>>lj{Ntghi+H*e)txrxF|{gI)q;j7Es=^7o@e@
z1gb!J&t8zcsFK#VnBEU!@ydHnUgyaFY<ft#wzZ?n)>)b5vZ<4yuQE@tsZc_flgrV0
zf7fd%&R8ngHB%~a#zH|mzmSd<H!hnTI^HSu@Uctphr&f}KP&<j7bW>$;gDC)YUvj?
zg~-(($w`Y)v3Y2y^<c4!=m*C|Tt6fNS!+5p-m3|SR_}28ArPo6GTY?PhCpRTGYyxJ
zH(#X`BbEzxZIw!lSUizoHOPrty#i~la2)08HPE^4(U8g|n7SuKnZ?`0X=8*kPq&Fs
z!a^sPqj3uan|?|yoUvT6ZKo8cfxF_Or2Ugu`_?OHeT(i5*mIHNaCR?C#ZrO5jqzD6
z4_e(c=4H1$oax476Y5bY>H+QneDqM};Wrg}(0He~Gil#?rlxAG1v^$VwOMO%6hyNv
zYRO;O@L(C&4;8O<8H*~~S2Xx9Oi|z}pTPBl#f!D(-x4Of+91aHwkI8?WXFpNd<kT9
z%@;MO{}SZbFwaOJH<e#hpd_5p)m+q|B-F7%&q&~GO1h{(Nf6^v_ni}5rGGlIGT!^|
z{Znr8m*UFOMS<4G*DxK7-0e_!OwVA>Hf~10jh{ZtZwiRHYw<FG!_Pvb>oH&J!x_$;
z1y@#eEc@Q!tSIp=K%>o4q-`Oe>H&e(O0!-Z<ZDfsQ18rXaA8$P+Ry*#Z`R&ZdA`-;
z{_N(`w5oe;FW<ei<xPJu^Y(<B;&-dnUBXi9BPD;jrisnDxBGRxW*QhgTR&sw%#R*?
zTc%H&BmOny*Wb0Orzh#?dh%7PIJ3AJ9640bW+Aamb=7x?Qv#K@4P5To^Gr0KG;IZ6
zs)Dl)PeMm;{Xd?<3;y0A@|UM8GSx~XEi`w|`LpHAk%RoYfwGs%+c;{HJ6hNCZ2Z`&
z$Y+<``D(A7p-aNtZ(gDG+oma+T{AdxFiSTu_O4bN$C{LmR#Bdf8|NtUO?$aZ>#D5I
zRpv(3s)WRiayKHDu=9z%+9bM`Q#YaGKuuf3jN<~*#gdN_`s$~xcs>8DKw~7&MuW+U
zW@!dT4wmQ!-hF7&#^ICN(W=R_(Qu|BpIT<;D<^d$mxR@e)y1|M966TOc4Ee^FCUV7
zPVlU`%q*Gsx{+tgR|mIGRSM~^tQM@Rn=Hri<_a_S?k@+9<=GZ&aA&`=VpBLj%eR+}
zt$(W$9yiEYSgc^qT4A}Qo}H=v>w!apwi9go<t!o=GH3mXT*=O~`pbbsS+*NC`tr-<
zTxT@>^5z1wr16VJ9?35Ujv3e%7=*B2Sz+wLufubVS-SblfrAdR0gq2H*iLx3L+*sf
zK~1~2A%CqK_bpZ5zDRv%$_7#EX`d%u3O?QXm`Ck6Yoli2!9!PeBqT@0Oo**djVUlx
z)~lEyDPD7?i<>d+c&k8hlI3;-tF(kv19Lr&Gu_-`&yKT7b3ba5dGV;J+qLlE;YT|j
zBzwkiY%tMli4Z%zv)9m&*}6G=>GKAzraAh@S-JBcHT7;UJa};Tjt7rwV?KOP)cf&6
zLY%3li(Aod`n)|IES9PrPw$+3;C<$Bz2FZiah;lOZnJ&ISta9-v5MP2Zfd{x@$#=1
z!rFo2kCTqe9XsAv>(e32K10Hq&v4}z>88BW(!H}TEZ>s9j_>o1FX#U+y!?OW*{H2I
z&q==dx&2e)fqSPKTWhB>OaJa>zxnJJkKF3*U&Q%X<U;v$Y}Ux!s0gwsSoFC@OJxBc
zi(Pm<pH9t6nHxW%Y&y<ue(V2~+4563U&{Gt%L$2jB^Oi{edg)*lal4&Su1lQ@}hjI
zrU+k^>1n++Cl(p&YN!d$&2)Qu;Lw*-jXkOVRJ7L>Tv#2-d$nI_mj0Zz<x`SM5+0_P
zC|+#rmH)rYPgeFz*3{2EO5J|8i)Of#yy~3F%sp9)z25AZ`|>`%D%bO~Z2nySfA?j5
z_KM7N34iyC|C=<q<xzZ5P{1GWX?`E|CBKOOFWR{3$Mp88NB7sf`ThO>jhFu?)qh=;
zIqC1KCH1RiPUeKlM$QN*O-Zh~I<dJh)Lrjbm~5p)aOsoeFZ)k&2f1(E`R&=R95(g;
z62dHgM_IX-KW^$Rt}i@z@aB#Ok9=Z2Y|z*HapS+c<(ZHcr@eB&gavhDmM&@*4*62>
z*Z;r&^8e1AzLIl(B)`nJY}NX&tM2=Bf9i&yf42`l`gC7!+LZs_Hf;H`y+5`7&-%Il
zmK8tzxH0bQ*^A{CRZpd7TYt~WIkxrw-`eH-uR7f{PdNGh-@?oFfgx)@2Gl=az5n-d
zzxv||PxozkqnEBSGw!W!;rG+2<y}*MZ`!n*XIXC1H*YZS)27`#?Yc$ZxWT-xO}lwA
zVvD}rKlM&T`+IC^x#T3PCvU`0gLt;7<&q)4p1cVM%hjfqONv!Jc~cIS<4yg(VEUW}
z_49S>-}r4Ts*jH^<FEFd{N$$ml)IW?@3QN|b2d2b_0xLzXTy~Q&X9Ghz0<>rb8jWc
zPd&Hh;Hlcwo3VaVUhX_U4XpUoyP`?%(r;dFvOapMHuh$0nZlNX^K^CxueoDB_3l%b
zZnGPU!H%7_Y4;qhi)_`aKvv$5E1N!9_Ey6A>3225>fg1WdRMf|N&3x3up#v~W6!x{
zG}{K2EsxmwUU&CtPqy3*>0p1{UCO4t{KJzw&%Jo3IXvC9xg_mt2xIPs<KF4l5}wxW
zc%xT!bIU<FO_1&3Q;qbZMGE)DpR#B?RhoSA>Py$#x8_dLZah_5d@}UhhLe%`(_=V8
z)<t{QuZz>abu4cxh<Dp-U7h}|eR<PB9BZ$2j{3K@<xK%`*uB>!>fc(o4y=j2PF>l`
z^{RFMyg$F^m;d5F+8lRtR+yz#nD*VJ>U^vElSM@uk83$;%cT@-f93JO?`Wuq(Cz58
zE}d^zs-!)1WQ}HRkG<;kWW(l13Xjj$b8X}1yS;kqiNxrm2M;dhD&yjdUN!YZV)4;~
zM=x`gaq~%o<eZNlJpA@lV}JD2W`^%t?27OH@9x>RxLGaq-S>YXr5Xj_{fpj(*qwPW
zlk0`n@z$O-!H!8&C7z}}mS1hlI`5cG_tg!m&mz}!uFcX464Jf1^4!GU+gIE9-i6EW
zs;>yBeev+<{C}G+&!5WA{rt|K<1@tO76pIRtlhJw>@#<s^s2jmnr{Ef+Rm_k)!nA1
z+qbf|FL<zR*)828v$M8uc(85PEnS@*Ykws#_xoS{oXvFQ%-CPS3DbV@&8d2_yE@sf
z`*Z!D*ya1*-MKbtYt_}#m6>_x%)YM5elh3#wzSmdwM*;QyqsQ>ZEkt~)<L7jw_E>T
zd0Ac<cHi{+oeMW6Xshg58&-Pi$byZ!)2DYPWUqZSWvhkw-Z`)M)$}%e;lK5HdIj6(
zvusS<XV}EVX7T3e7)hV#?EI*tqhnYkZQOI`ppSC7;pr2$XUvM^i+gMi#3=I{zUN=U
zc=dU7)~nZ_C36<`uC719Vz&9rA+>2YZ=5h<myURrWP93XP4dkCtvcKrmVMh_@=N<r
z$mRI8s$Y90*Cch!VY~h>cKQD`%91({CLE~Yi=1&>QM%ajNdjMc%$c-LdduJPOq^WN
zW)X2rK-^pMQ6i^vjZT_JgDVf`#sL2!NuB@A^ElT0Xx>&)v}u}Ikw=1XeY;N1vkASr
zGDRDvI_uT_i<@80tvz$~rj1>Sd|}2%j!LyvM4T3oe=Q-DVC(FZ^W*USvR~d#n~W5$
zhQ;sOr(TsEyDPnL@3;NszuGNMd^@x4+EtV5*SB0v`TR3RuJ)gU+tx+CUy8qEmxO3P
zI<%l->$m@Jzr=6dqkI3x&SJBE?X6n%QhkvndEIlOH~a4Jd2jEz_~0+0b{4%$d8;~%
zEKV9V3hQhxn3HPOxADxuz-c=z4#hULa?5P`DBUhI=lO*0Vwq0`)12+j6=)pD<ZHEX
zjc#>$#k^l@+UW)QvTmz)9Oz{eo_=t{#M>1Y7A%Wz5uf!WG4|Olt(mWvpN^Tyedo&D
zJ!k55@0b=@dR|Ihw|2%(=dCPePm6T7nwIWQDVWgC#9on+XutPl)T^k_)Ro)K-Wmrq
z?loDr_5#noYZ4m{hzoD~aI+=1#wd|p-uK4QcBy~6blw=oZ0)_v_9|g|<E|IE3*_pg
zik?1hZ)E$Cw?J{<#-kI1jeGuW(O#d>$hhTh_(L8ysrsD<G?cd++&YolV_3wV+<WJ!
z#pS1w5*dfg*k*2MKB)F4se`jxBI}S{+suN7hiWoON90fR-oLaoJv-Vrx?20#<r6EG
zuGCz-=+pOE+f)MWZZCMTTWap&fER181&YYt&yZC;`M_nGBh&FsyG*z}(+X}lu*zj;
zT$c*9TUA`W_GE5nJ=Y$i0B(KWE62E*OFzUE8;fO>82eoa$&%#uxsoJm?#lYfXLf}k
zw~c8cx4+MgW65tzHRt56JE}Zw)up<O1x)-rR}R%OZ!J9UAbZC&K)jsi%3&QT0g;tl
zh1rI|#tdQ=A(Mk|uIkDvn#CDCBkz#extSZ9H><sQ)WcbAvG!#kcfG~^TWZTij59n0
zT{U;+{jBo2en8G~?TIU1=QFqdUwiq!dZKTwZ#UPgt5w@|wwVYwYL?VZGB}w~vZ_~R
zW>Bt9kI&YPhDy4p#nhJ1yfI@++M|S|S)JXSnQC&!me2elF+EKvA!n9nhoQ;6liye5
z=4-TUIsEdi=*2@EQx9t1;#$3<e$EuhopWaVb)0<g*1X)C$F9v<cI@2Qn^iK4UQc74
zTw`W*@Sy#K%&dLxv9)n=ht{{QmROek?$RRfbt~nZC2vew{hRgoOJ51cQt^|~sU7P+
zsOZfpGl(r+7hoBcFyWfRztGv)>+;Y3>)N~PQDA*&S9<@tiYxI;AKhH5J5SH_=do+M
z>SM02GnIVfwNc>4%%sFb_pa97xAhmMhNdX8AMIw-Dmdt%`zLLI*}2y%wUQ#6nYWrs
zTs+3ZCb7byo_Xtq^A5RRmS$_K-kS1u**)+3>PMXtO6T4@bSUbcQ=jN3u|vD8%%<<;
zG5bGnIn!*OD~H^ew{Cp+{Z^W^>7Qk@@*>x)zx+j}-ss56(@$T|dMdEx-*+{=4SuJK
zW2I+H-+uM<?9Q;+wpT+$V-HujhnUUwE!|b8czx^EWuZIQr`_3o{m-P(nyc?U4d%XI
zc<rrG#7V_Q(f#VH16@@X=S<_2Nt)T(th2eWA(ijj<}*j_rd8fJZ6seEA(mi%+Ud=s
zt}};<&YeA#pnjUAzHGypLuJ!$-Z*B&9v%5CiTkvTPx8$El{(x8t*MG?g|2DIlF!r9
z4Q8e?UEZl8tMhb1x2nvi4KtkW#0oVI1oE|7EZVIt`by3H)GwYiiJb?0axZ=D-DH1b
z&Fi&K{w&^G>|Gt4Ef!sJH>cKlo4da$$J+~+j$NCUV>kbxPON|E5~ljiyjKp%FmE;d
z{(V;5ntdzNbI(tkbuH}8s>`wY!TvKJ-`(}ke^FA*miB{dTMxW&n5(u%^YO2kVzJL#
zN-tgsW?h@5w%b2E<>s=S*u^rxH$3=0OL$7g!`H&qrIjnpCI<S?P3w6zXYI-B&#JbV
znQlvno~u^!X42H;mNna2_opvBP%Bp-Rk1)?n%f|&vtq^S?aQXEi<Mf`QP!+?Ur;aX
zyj1L0kLG1f{}YexU-_hdg`e^LNo+f>9ecLz*|wU=TPzo?R>%y_V015Cdf!wkfx9u+
zxbN!U(&hI4vrHcE&?`Q>)2#B@tXpc48}&<;h8qf0WSVXGa4IL^@z!<98SkzJ1^1ip
zlCIaAzFIft8)vNf#L1JtEn~8eT>dh?cx~X?IhR7CLLQa!&Na5kJ;apmbK_8`@V3I%
zqZiNq^1D7uS?Bdfqu0{0*Hpz$>qVa9_KEQ0@rgLc;}hY>TavqSm*D$!-mdaPj<Ng#
zl3T7M@7DX(w_wh{XSbg`c{_RCQc>McJ8$W1yY{!=ul|3AK}XmB&+q?-FaMurpf&gH
z>GwO2gshL+v#591Z?o%BOIB~0F7md|^vacI(yu>+UN;xjiFy_F(f9g#@q*Q}zRtON
zPf=B`;M@t_Jy*}};@T6=X8gZ(In#CNimZp*8gK2mzu@KCs1JVI87>RGetz$D(VA}@
zi{u_U>)!l7J%mkL{%gI%gUNZei<f#Q8a~*ZcXT1g;%y~f;kB;JGjHnuI`Z^@&h6jf
zcas8HxUYZmJ>PvkA+^Boy4k6i2YV)--hKB(Zj3<@yLf4Bx%c#>%m3F!r{ug`#MJmn
zH0EFJtlk4tL!@uYF28kS$F!`+4|aX)+I3Cj)#LWO)`c#MuN4Hpo}azyq<Q_euSfjX
z*6-UE>UV%U{l(hXa#LG*><go3?`R2hzu<nIUv#BIJoDBI{^C2fxjg<Hd-uZJ^_i0!
zo$KdLcVc3;NJ(6vr_SZdTH#*a+vUdp;LeiS!bjO<m7YiM+H>mH)Op)Wz879O|6D3+
z^Zv8~PxDP7JS^v49eZ<W(>qByt~t*Zw$@MH^>6Xk^vyhlv#$8Fmdu@d=pyU<3%>fI
zq5J(G*ess3XZ7ppB2#5e_Pu`h``Wy<OAVjTTNkfYEV<^jP=0vsj(zHK7u;J!dgP?*
zR+NXFIi&oH!*8Fv;=cb5+HtvWR;b7a>$hH+@}g62<=nl?WrQk@DRQ5;OnJ=OF0<#!
zgzoSyHuWM}Z?5cJ#u|C&&8Y{Lxe~5w`VFaK^ERD1COplu;*61ae@6Bs^EEj<M`M4R
ze_587`$i^o&8h>LTeK%8M$Nq?G4GsWqh#q5#)D>GBPAs-8Mq`&Gu!!M=lr8GLJ@})
zxxFn@k|o<^be>P>7M1z5VUn{QTcO5*1in^@LsKTV-sIU>Z`iKL_sr<XQJc1k8RrG$
zxg~@W6rG)PE(wWB@}+hhFldXAI42;jEb%BoMfRuCqDM=kdKMYCZaXAaoLH*ad{I-3
zDLF_-r^k2W2E)EK1y^p#%e~ikUf*VZ#oWxqMgH1>77^~oPE9c}wTqVCDS=YC>X&^l
zY3UhszGK?v`a3$SUUB_~S*A1BEkEMRvTVuBh#9lek{&bPeZG3e2|qtSpCFwlJgP?z
z9hxn|Ev^4@UED#XTfQ}k3z*LHhL~yo+T%I%mV~eT{>)%&Yu?_oy=!AP^xK^mP2Bpr
zWua+GNM}N}uQuED7qVCT1b<yVzUkJY)|WiL3O$aU*mc=eqdEG^c0Jd6wSvynqNGDc
zmWqipo27M%KTb)No44`I@#tx+6~{LTynmTo%9{32?Ri@2hu&1C`;lo6)lcXANtoHZ
zUZ?nDYpR^x<}=5$r?FNX-6W8_BP{JPAE>nM%5L0tz(dcI{re8CqK^}t<>nQfIG)VM
zT5)8d!1t};)6SOVzHAcQ<+tcVed663exB>4LQ@S>XK-@!7$$Nz``kF@kUP`+PEbE%
z)b&qw{_?p~U4Gl{ExkM0<)YBL++P!XSh~5@%OzuC9#$=ozWZplqj|;&*_l(!n%5bp
zXG}ln@jWf(;@9s__bxiUYvZyVrzfAkc0%)>t<}R^?flPkE=={woc-K_UsvYx!DG+r
z^?J7!t+9x5e)c}scGoo%v+G-*M_&!PEV#MxK;q`cR_8QkY4)>he0(!_W8RocSKL^x
z-Mr59=eew`&C}bNsw*-Q%XuSvbAzkhOXBW^-PwLKba5u@#@4sVC8>*37K&}Fe{n10
z>Y;Dvq=dz9Bt8+__PT1$r$gU(c5R6Lx_Wwc$;<j(ugvwg^ISP*yKBSUYl~UsUmgmJ
zPQ6+c%^Nu<uRCn*_E(Ju-b!tnwbJ2hn@--#ReQE<stvw=WmWjL`>$WE-?XXLd45G=
z>hE3aidKbfTmRC3-L4fGO#Q2O#qC_`Eg}|oQC-e<#S)``VbNEXroKFVtHylWmXfI-
zCYi-^iS5<jbnjFBD*YF;VpvkUkL2Hy>duJXwsh;q|C29I`n=MN>3LAD&K%#Z8w=I0
z9y^$sYihFX*^{gDF2&_$uKlxQU46&espqeU1!u0UY22n(w5e3&_vfE!%#D?4%-qFi
z+035J;FUSE?jp}o(dJ{%@@6d6ZLi7AcGioZ9(wwh@z$piQP<`tE?}y^%zNdK$=lvZ
z=cjcQteSXQa>K;v897hP)FgK{cmCR>Srl3&Tp}2jn4GkSPt;89SfNJ(ce_r`wW+C<
z$&VWJ)U@(_axSrUO<h(O^!m=dHEGW!WsCzF7qewO>}b4Yv8MZT)aL!$(ie;K<Xw5X
ztA*8U#)j6fYB|YsIjtkI59wW-QNN-6>aJZ~W!YDPAFM8!dgs>OjXRCQvM%f9Z(nm^
zS@f;Eo6BZD5ZN9*t$1GG?}%m6W?r{-gG)|FhThioF5Mn@wd}M|?4zY`ryboTw`a%Q
zO&;$fZsbKqERHh`X=pwFDem1T@wxw!w@x~Er)|f?R#DEQ$Bwi-N{DhQG*n(#F=J*x
zP5l`!4aKzMB46EpXm8Y66|R@$e(1!ZJuOL(E1U`oj27;&m>gj9XIel_jE@GRnd%gQ
z+tOO1lV0C4y?$r)w&Fy)$nL~gt+ktvbX8x@x@ETK^!<`w-7I-4*B|ZBo4PIh(&tUC
zt7oV-Ph`_FIOU+*V-_Ht%yZ@74ZW#pvzNTeIySMpzIU%uMr}H?SVa0Ev1_w7^qQ)@
zN!ZG{J0kUvT-dA){i1WV_XZ12zc<TxA9piLTydP(y@C_RqWLT<jw_14w|w%DxxJ?5
zP0Y+yQzqto`LTrg)pe!$UmYHJKR+esWqKkZP~289;*0>dw?tC1rn8Jra!2>m*ex@+
zeF&{LHQV>HvAO<z)q_Xvauq*TvfEX?E*4$TFW2&7HS12EZ&~Lj3g1|~R`lzy*@ZlU
z5~l^YlO>XpO`K(Vk~+F4{_=^wv6kJe>}6xKX4QkFO>z}8mayBYy>e{etP)77d7dI3
zFTeLgTI;%PSAOjCd49pRdupuKc|EZ&=W<_}79WkD`8_K)d;L+Z`o$A9B-XwQ>J;*F
zo9k^K7Jt~eack@(=h)|$es1hbWqNITGid$c?x(fQ7gx;q-n+#4?X8`<T=E~bHaarR
zw@7)&+McuL$%N)`nWB%goaOEnoH!oM$69fGp}_mqao2(zUdeLsZWS^+>8br};<?z5
zFUsc@TZb<@R2VY9S1iYDVp~AH(S)_-o5jSYoiS*?!_BqrN^<wL)jyuKM&9BPO(-!m
z=4A_vuwB@F(IH{c%>##2F12y9W&KSy>a0@{p1Nt?GVN@^sb524&nbuKyw=>+)X9?)
z>3{W5Ql$U&saq$c+WNi@)p;#>izjsI*PLd@kZYGqT_X(zW+a+zFbEF6wkY7*=6VmA
zTS9v?7jIpvHveeO-KC<Zl$+m&zE}5hXuLPqbi<X#uMZbG>_0c_&Z_URCk__%z4KC1
zGYFr4o$2(0Mc;Y^MRpu;=1#B3cr3!7dj6=hxc<%6Qzq+t)5<-QKC_usr+DLp)FPu}
z&yF6ioW^Q#c9Xz#i?q~&nW;r$r;ND6Bhw!1)Ss4_v-q#;LtZV(Jr5=vDCUc-IHoA=
zZ~5c_XM2pzvkC3)GM_$fFy2{yI=}5?!oEf>9R=aFTC)x^3X54}91`Q3xuN&4+M5R*
zoVzWucIa_)^Ikco#9X@JhC{55VF153@0H^m%)A@UJ2=0&e({m=E=e1MfX3fjxU~Yd
zb`<nCXW7?((c@=*_u;~!T)A62jykiO@67JJ^_i1d)FN|%SfBKY-ek5{36_!z>euRj
zI&}Dmc}4S~*M;jQubdurIxw`LchVz)pBo+hS?o+gzRg?Jc_!!jrF>_z62HxYH#}A?
zdUf3U`N@Q+ueX;L+e~?^%AY$&Z9`W4PZ9pqzn?A~ij=$cV{LtRm3al@D)*!-hn_vn
zNnLAvDJN!4)W04T@oFidN$s_sCyzHS5qS_0H0g1o*|hGA%CCVo;#sC&y@HAgbTxPG
zm^j7ej+d9+JC&sj<&%Rtszp6(W21D#;#W<H2t4&5<<gl$Pfvx4sYvghJn7BZ$&<Rd
zr!La-u+vkU%)h~2v(;ioedzoB`rfZLJ4y0nc04uvwc^W4uS{`M>GY0g3;92Oy*NLE
zkxfAN$bq(sv_)FA^DR18T~6do4_&F;`7FugqK%F6%byjKFI+KqvlsMzAbl~XMs-%V
zzRTwiGc)Y$)J(qSuIBHQ+8C<1N1=`Hp5WQT(Tjdo_-<iX-y!xW)hT$sbZxz#N#pWP
z9xJWpW+BzJ`)q!-SXfKAzKQ8knAQH!h1+0Ch9aB#te(|I#fpVVUsW&Fai)J2ytL1y
z_~Psgxw=VTYW^rhb-d^Ft=;y;d=cjy|0xTW8`aK{h_Y}uVNdUT_OQe$I9|<mmPO}!
zzoPjPQ5H@n?Cl-T9x_~HvQdfZVCSs&t)1}2>|)Fvm0A6-UAPNP7p~+Fm-oFAq;c8W
z$3&jJQ*H77itj7!UhOeYT-KFUeCf=wbxWQkqz8T4sH@3(W7ZU>oX+`1zuLZDtw;`w
zVoUE_*sATad84`A5tsQJ_qn`@d81q=rzfzR;d!UnqZp^)*>je6s<Cq~vb1nC;XmFX
zR-fSK6#VzyKbLt|#pm)1oIO_Z==B4Ijm3sjZ&c23GLfI$DV88~(aFan;}!D+>CR_K
zwij#Gs75uIx^Qo_TDWrEkAUeF&7Hd*{XBBvP|>2B5pE{z-koacnJ%9<%*e146VyH6
zw5T;=LdMg;>9wou@>cA6bJk_@QZEyB=?*pTSs8Nm*93j1*<DO|DXe>B)vt<Wi}k9*
z4+WIoJl>i1nB$_1jM}VjXP3!)^)A-DQI2ZZ>%zTJec?*|NPp#72bLT;>gs&7C@CW`
z{ZU-d{mu6rP1tXDK1-7EJbyf55v#=H6Fv)!jP(;&9Gf!JrP!c3LoZG6?BSe6tTSd?
z6t3{Iu}oZH*IB=JMh4$BLEmErE}spXG8o;i9d~0Ft?~2pVhR?Xr&T_CQkvMdqv=em
z!tc!8QnbNdbEn0ODK;{`UUq#)ixXETW@qma4~k;re>JJiDF4XKV`YmhZ;0mGZIjwo
zCS=Y3{iJ2h9o49YY!~iA?S(519>`r}Stoq<5bvU!J3LL;w|73Pf5dmOrbaQUVLhj>
zY2)3_qQI|rtpoK|9r`X{&0gC%x4X{e^M&~tc5DK=2OJi)N{H-lev|R)lTM-HtKhxP
zLg%t_YCPUB*(gSJEa&v)&DZZpd#rj<W{=XWc5|1{AI%o-+*DYjGV8$dT|Zdeoo}wG
z*psz-VUFU(936#O%|Bg=4eR?e<emxnviW^%xZl^dqLb6v)a9(gte%dJXUSX_nS9iu
zIy^gt-47o>(XvoV>zLr#gAt2%N;sRyFYXje2yx=RvPJ!(r0e11en}aL78i4PlxH<B
zbeU{ua?$3EYE;8r7w(PP3tKnkzEzlYpls1ez9|`I+l0;@6kD|OhK~vV>_^=7PFX(_
zor8oT=6?uL-KCS6mKYnfX``8@WyItuF>Cz1<ib>!GH6c<>UjFG$5L|U|D`U%5kmP3
zye2b;?BOrE!mxFQ#q9_e6ZZ2R>${h`eE!gqVJ9c7dq8<nYsHKUR~Sm?XE1$#G<V%4
zqaBVsx-#AUTz+3xXkL8c(wk9qMd@Ybj(T4c`RyHIkJ6liKb|dJ#2Moq<00~Jj&hsW
zI-#@2L>F1^@G%je-tp|A(#4t>g{X%69b%7alrCR9d(r-4%9Xo?Ru9+Y^(!7ZcQ9em
zP6-zie&$ZGBnPKp?TWu0Y8T84?OiS(JKxE(s&FfR;kJ*HZ=5{ov*_fC%*W+P&mT))
zbgJ>J&&XQy*Ttl<-(~ZM#tgH2!e<X!FWOn*Z6e7naQ1liBG!tj7p^$nFJ8p?#ZRQf
zL}k8en^A+}ES`jpXAiV5+JH(QV@_Y+d+&tK9z5m3y1H~<ughfPlNHZbOI_<|nYnAr
zOiyF!$CD>Db9$aUzGaC>LRC!A*`%@{Aq%1W%0LFQdL6smPARWgr%7*BG*6~Yni8|e
z&&zI}`cj7e$w3|Ng`Qg{Ec{rrlFi5>PCfAVjfp137t}R({+Q_#Z`QKp$>ZuEt`EAJ
ztv_U@Uokp(?T6%5t%K8+q$HLGZQ5w0X*pxwl$ah+9IB|wdruB(aP`zY@@I$0i96>#
zy_mQksmivt)~ioWGYatcGMlEN%D#Bgr1p=VCy%!*5lKi2;wn^{w=v^tVolB7h}KH&
z$&;ExJx?Cpwd6^nbI>P4HBDBD*;AZ)vNh~YF5S4Z>|g3<UenT>CmhO>d)agfmTmlV
zWFcF6!HpBFe3Cm`o89srH%zp?k^jW#h<TCZJL#SMwr+aM+1%>&mUAw9H1%Q2$=JQJ
z_fN2vN$hNW>z0>1d!ltk(i1&7>7DKFZtos1oXB30@I>+5?5^v_G$-$#d(c){d`I>Z
zv3C+XdvCkFdoXR{?j4y=<nknT_P=(MdoXKa`;IKFICgd4cYbW)Juwe9O^n`=^2AI}
zYG*UI+q*~R%HIp_ov58-ELz{+Ev)wD;SSE<7HNkV`(_n%DEB^<Hww73+U|D9W#i_f
zFWs2BrT(WculF*Xq?pXUh9#}!z^OxD_#$r3xW=f>9&DZPG|7v7jg8N{hN=CYvKzQI
zY-J8p+_ofqLQm<X$&-{%KI4nv%z4!?wY67v!={Z3nZx+5l^^h8bCKQfX~Q<=`Y^j|
zB?q()yyA;!jhGteXePEqUG?~)sj?e{A}%s&bDy?ONKKApUn8UQwqa_ws_ceO25XqZ
z?Apo?XdUR_i)fXY8Ry9NY`MCs`{qE|4MGxk8MV2StrAj`bJ*9&^t^7E+WirvXCZT#
zo!T<-Ro#^@-Q=Dvlm0nla-K*+*{;C)j>z7p;j1%x7PYUGFYz=K+;M;DA0ETxNe8!v
zNH?xtX(lGO?ADEnDOryn6t3#+R$pl*H*eXk9~INGgdbF{^6e1M3{89(7iCoRq<UtS
z@&~P}#}2&?k(SO6%9YvUyS4D6-qmA=e}_oR%LnB$)%a}X{HVEBE9Z`{w_9J~{NP-c
zef3LjMf{kRmHaq*Rd4hAm1cT%OKw$2+?tX0IN4*BZ^OZrW{PY}zb5j%Tap^tm&SbA
zPxbgF#+7Dbzn0&+@nU+`;|2Mvdb{mbn#olyzxCtC%xkk^@Aw&iEYLoC<oH>W$qeR~
ze4Jv||8d%=d41<bzm;qEW^teY5gxZpBK;HF4{<ijAN7Y6#phc-c_`eTVt;rc!{Hq=
zZ4yzH2kT9RvY0CjPP4FWJmYl#)OlIqGj8?Q&L8YQC0!zP=IGA)hcntg>u?t~CT8*f
zkUGth_s9EZxV7iBgTB`;9yEWZbmiXr^tP3?ciequW!aZ&Po9|(|K0L+MxyoU9GQff
z&CNQ+g&nDKeH+izANN@H>}uTyiD|78rwzV#9OZsi^D44?y3az_->2UHn_0Z&LznNB
zW7*RzD-Iip=SMz!D0{kQPkLm7`5V)giqi&L@BWyV$|V0UGCt$tyeIzGE*^59cJs#(
zBlh``&mM7~wy8;-*`Ke&{b6pZVx56c?u>6~T2620d`q19hC5R2c*?YT%NOU3#F-<W
zB`Tb*;YpgwQyn3e#BunF-y6|oXRb_p6S~aMwR&@Tggf{3h_uI6r)BOW%$yTxSiAn#
z?Y$>|vF<p!NnpF=vTqgdjl|_`FMV$*o$^_8+RYv3joA4ko;^}LZDW%Z*>IdE*!r-4
zq}qp`RIz!R&m7~PW?6C4NZfzssnGiB<Le{#GpvskOVYdi>S}P?=Log+dp|v!sB$_%
z^L0j|-RT^igqh8)I>jI7Xi99IySL-(=Z!N`8K)We#NLmp6<8%VA^Mmi`)SK3Nv!QQ
zYo1K#50&BCFw0r-+6(<NK^s3<P2*gXKC_iqXY$4kc7A<z`P(Lbo&4ASwRn>7<K;=W
z&erP`Z)i=`Yuj|@u)(yS66ZECC`&AJdN@%>SYm1aE0NO)La#FtO-|?ZB+hK!s8ej%
zmnwH`v(5pQX{|F(7>K^}OPhH>WLd(Zf^xCf8Hoy)XNByr?nvcZwkdPhjAKUpmm|dz
z_)a^WNt>~2U*Rv#b*uKL|N0#pdOw)2vf_}UyztKA`jb^p13Etbiu4JwZvUztJk>aA
z{-Ra017(T~o1Ep^iccIb)RR{1dvV%smgt)cyH_5lw{Mqu^LRq{UYSoD+MVsniZu@C
z@wMJq>8`cv{<8hgUhF&(J!f|BahXYh674oN4<__i%WxI6I~$o?eQw9KxBl|(l_!2%
zwadgjozSf<^QoYIrn8-1p~eAM+n|RZBl&LbIIYP3-SWvJjdq(k4<__amf^Y(Uf;cv
zUFY}9iCoLs3p^4O+I4u=?P<{N%i(!Ap?RT9k)cn2$c5jb^(VES$*z-EUo>HRzukAO
zM}N;P&3Me-F7xG?P|3&1pFe$==xpa#2$`r2-f_6fBc$qNRcyWU^F!U-^PgyB|8m`H
zs@>eKBV2L7h<kTLT5`Hx)#>1#ttO_S_q=wl(Jr0Fb}?IXy_su#_Jxg)sx`MiUsN9O
zQfJfI8`HY)u}xi~`}M}G%gbWEHl57=RUE!Iv1qbp?+ek%(!n|@0`t0)l(QDT%Bt0?
zI<!XW*uC(7drux=t&`Z<TK_NB_s%f}<#K}yCt`Vwiujv*?;IDnW%A1Vy>7hw?U;$2
zYf@*nn(A!cI4hMeY~z`uUehWg4jRd)M~Xe*$=&s}wS4ycYeHX(J_qv%TFmUW;`TDS
zaagf&ugs<m{mw>P9!+RwmMJQnadLO7Fwe%qIf{IJhAw?8c|I1jDBAHEsvM|yY-_o(
zR(&T=TiI3N#>CjIM;FJH+s$@n+H8@MsMely=D~#Kmok$!tE;^ib2B({tf0-}#c=`g
zTFFNX`JHRNq<J*V_pK6o6dnAf=n+fc`u=NS^Q(UUyt2+}`n$-Sg|U^}_O1PK_n7RL
zu<0B0q@Kz>UF7>x<+Q1}Nm<_c>sw5p&8q)s@qNG5U-P8Pw{G0osTpn2Iz@W+$B@~b
z-=_VVwM}PUrr#Cg)p0vk>{~YT?DOJEpO;d$mTNY4?$i1_htJbBMq*Rk1;O<vZhz{X
zYn}eN!m~J{uHHH>@cX&kvpZ{|r(fchi(ekWvFGC42iK>)_<E+o`*VE5QJy`QEvKLR
zJFlHdzNr3U*j_%d<0d}uyqZK)l}`KCu6e8cRz|g#X|unKkcGQ+m|$u_Zz|LE$h3!S
zr*rP4%xsR<DK4D7n}z$iWy)i7KFb{k6vf3YpF9+5uZejU(4Z~DRTz4G!K!;4R-MNz
zLSr5z%<hb~$a-Stx9#Pvl!wj<I~MPbj9QxivuxMwB*lVy|MJGr_40F9>^`u{e1hDC
zScj|L9{mzKdxdv#>(ssE`TkP&=9JT0uUxxwGQ0Zv8K=hYHd{U{ocToa8mDZAX<_8b
zwNKo_@86xm>$X?<N3yX$tDWg&z8y|a=a{A+V5;@K;bbqo?c)6wSssH#apspXYkG8R
z%wncbJ(w#jwj=qF*t_~!8+xnN-aOd1&?%?JFn~Rt_sUUo=C2>_I@JC#2#~xik<oE4
z$M@-#BZW+|PqZTvZ1yf)UBJfwV$Y^7?mOm1+~0fd9E(*hFF1bUX~gA}9X8yLeZupT
z*Kk@(Bp=dqo4uhuQ0-0f5>EDtlwBJH_-A{}zjg_>b|BC6B3p2MMeMFuljSmI1$T1U
zm;`Y5o0NyoO#5)9g>~M{4Xw}BavryGT32MA*wK5O?bU-$vrN%bZpjC<g~cjz4~gBI
zv7vXn+M9$`%a-dVd@cKusS|2yd)I+AO=86yf2kF{k!-IL%zBl(wtTSqVL3~0^&hLB
zJb78O>Lj`E7$kCE_q}mUv|hNZAoB8kk%EV=UyCy<B4*{wESl(WHRo}GcA-wIgu8`G
z!Ir6ao&}mL*;mw2X`*!MJ4cr5vaqxgyR{2z`fq(}b28Z4a4o=vTh@bZdWK<0VPm&j
z-otF+nTnqGHtXKL5%uFxx$QoaiB}&rdD=z)X!()8OKbM~!0`Fgr+>(Ps3lhaipwFY
z<fQ4<WI^V|l|R&PaVbUo;rpHx`_MDS;;qoGbFm9Ic^?c~+xTa-+4Y$9c8b3P!);!z
z_xf0KLA3wZ>JXdvTaR8|s&@azs(T;em75=la5rw(6x;OKYvYEGR!0vVTrI*at{<#p
zv&UoOhmUjks#Liv`)!Q_8ke(WJ?v~eHL?DvgY27yuNhKbC9Q9)x{<!X?%V5?SxK8%
z^)GLG&|#Lg;f@0<o8*etgKSyJ9gS8JUdtDNr~A#K+LlIe@c3`sV9>OELhQ~r2?mqS
zr|LC3*PMLD(kHp1^)Z{4`VO{N4|2la>dKc&`I_F{B(e1M)~6PM(@$SFJ>B?OhWFX(
z9S7=VbkCRO=H%t9o-TVkCimNww@Y6*9kX7v>16M+#Z^b5v!kZ|PCB-?`mBEB(dieK
zzNq2f8Zq-A7a!-ECBNNVrySIq#`z{~W-GJK=E50}0y1h^WyEnK`P-3V3GAnxa-Mdb
zIh1wIm+iyrNVN@fQpKiiI&(~Enq|ZRBk|9X&l2n9PS?aFL^j-y5PM`3o?>>bAg_!q
zJwmNuR;rla#xut}r&(H@G7@)>c=k}?bj_QT$cBHuGTax}cWN53OYcyb%k!(q(~7A!
zB5k4h>6|}*T9f(eHk>(HKCSY{F(di-NU;apr=4p4wQ3rPOYc-FHvVx$^(9wL%FI@N
zoy{NTrSjdY-+1OI%Q~qT{>PEel4VXa^`u627{+LS-q5LSq~CloX41R^8q*>rP8vxo
z?^yHW#+g!i#%%=}N6dC{g*e<znG)as@Yd(6=BFN(_2@}V*!=q2Io-FuYa@HEern6{
zeZDKB&csh#RkWf|%p^`+)w6roI;TsVyB@|}TGpoVvvZeaKudl1O8-YZQM<(U7oL{b
za&=3@Ma^Xwa(8TU{HSt0`i99Hjb3Tp9H}zH-q|%$ep8M)+wm@+aZK5c*WBx|;rzB}
zpU0Wq`wrZ`y+~x9;qr`rG43V*i;wM(_I~oe>ErurL0|q~Su`_1yE%;W{gxNEz53^!
zD!sA(*EWsJl6UI5zqV;qeyo3zzvZR*wrwe&fAYNhcPO~Anl0<$zQ$V>2@Ck-q*iph
zv%Pw_vGG^MukLl5W>tyJH>|k7=(YH)s#BAGtyueP*}S7oe-1pjcF6a;-)%nc9d_5}
z9NipWGWF(_&AO|@PGo%y3l9aajhM6G;Zglr!c$g6$(?zyRgeFw8q@lc-mS&k>eb?B
zzrJ|u%8jP!yN=yb)n2Z(Y3H85t*uP!D>EKm)t~iQEMei{x<%6WQm3nV|7%?LMD<nB
z3xoHs^)wG1owfV;4{_@|yLSb3f6(_mDw6lwXO~;>jzgP*jq_d>1^!id<QvNwV&AzV
zaC<@MUyVm<n;U!2rZKZepJCJ6HjCFL$5_Ipp1+3g-_;BSgD2Pbzx(psvpJ3D>QB?>
zx1?s@&dQpd^7!65>*Avh3GFuz9P-=T*c+S1%)a|9o8Gq>yf$x4rGMO5&K)zWdh3-d
zkInBkMe2n|zi4p#x2khr(b_;^`H!p5ZQgog7yI8;k}gFTH?#7ZZvA=8YR=WQzr;Xm
z7z*9CuZXQb5I9?6h4j%=F$?xjjDE5FY~9O;UAq5I1vmc9wb@#5!XZ~C`?ASZu`gFs
zmoHrx=d$;Y<6WUr?=|aRto2`DF{vhBk^j7B)ck|ywgNxayxttWOJv&a{V%MRDfJlH
zc!uu;tz%gK>&Vj`SA+WHb!W}$PIwqq_o{NE{fUH4>z+>8d7$1#dAq^=6S+DDMeN+A
z+o$ln<}!DiAAfRlL)WUpO*O3Dvo7VZh%LVr5iuz%IXQDxZ*%G`?N?F3EBYC4O`8r{
z!LaS?k%CzF3zOF8uFcl7X=>ki>zIOW%9~cvTfyEErA&`YF8WU7>M;-C7A^^l_P(OI
z?#kEc`?sy=yyp^|raGxUvD`T9;&TSC4Xmq6JD+9WdSYPWIbF1sMXmV6A(6XJHAU_=
zN|%%;sOH72Ki#|L%P*Z}uimY{wry5T^g744(|xbTre?1HB*4$g_xZvh!MnLvZ*AUs
z<)Q!OwADvgm%Ywf7Hhib*Sr}Q7fGJD75zEOxMJD8Ktt}$B~Rl!^!hI<>Dbk4G~B$h
z`pbj^GPXhf9A}<Rc(d6;Ww$op$$4GQn<g(3kF8Wm5Y%1!=`(}+%O;<ni4DOzn+s;8
znw{Ht=3wP5ofPS5Tcc|Bq#5RlZDiLqzvRxA+#i{@==a~;wOMP<C2;EQV-kwE<s=t8
z-6Ql-`Y(oR7nXe&mWy(!G}qlScI6lQR{wlLE2GRN!wJrOY=tL|Ch%2C98#2bwiHQ_
zXm{dC_quQ>d>Kn_O>#%`d!E9N&5Ck$Mn~N9)$$pC8K}6#zg_CK{Y>4hK#>Utq^+EF
z6>jb=jx$?Uc;a9Q-_98a75R-VpCpU5Go5)B&|&#yy1dZJhT@iOETKm%Cn<`#86G*7
z(N=FE;p~3AV}-#QvEMJH!lNH%&OJ2CkBvP@hlOuh#EF>>U9G)`HO2V)mPG8Zn3eSK
zVMJGJ|Kk!1ji?hdp0}LayKV2neH#}pT&Jsl{Mlqpv7!u*jT4wz-kwnI4oWec&c_lO
zlb}0SdF$5+^-r%F#U<*#=7}xpoXs)E*LY)r-q|C^>VKLDv+rLzbH|PeX^$Qz&Fbv;
z-Ze>o<_QnA=F^&DOyNN~Ix)T*Hx%j~b@DR~(uvXW-}qsJ($Pc5y?l=gM7s2=tu+d0
zyvml9*xPt3B6|VfHOUp-U)f$IHaGsd;SsKN`^sWD=*oz`V^0Lb`WGe$^Rg)4h`QW$
zc9-7b9~||Z+cMk^XQ=BouayzHvCKNQB}IAZ>~2@J+3KfL4yVlQmDef$FgaDP%DniD
zbNsZQ5+^n>G)IahWf%vih;1u&O-nWC@xCM^an6WaIU?<`V7Sh!h56HVRvb0rUmyAG
zG4E-nnuN%X{*vk259GXg&~@hA1MBHabU*Z_8l|Pq?A6z)Fa9tyRnKnYnZwo7epVdc
z#E>5;_J}n+g|Dp0^;yb?Io_89D~=g)`$wid<~%K9lQy&aW6bGNo1WyE{Tp?-4cbx_
z-HOeZb;rg`+$q`fXi`b4$QdJU=ZLgqfzvWP2{XI@>3qJ>pKAAOgU$ifbzOG^#CL{0
zOEeEpiDSFIL&di7b-m8!g634SyrRgD8{4IqFui#i&{2Df`$XFAZ6ybFe_1R~%8iu#
z`|UvPuP2>Lp8ojN$H{%iG?Dwe&y8cXxq_Z|g8JE`u75iB-8q-j&HBFji}yClB5!47
zm)mddOepOABhlPFThe{DsbhXk^q+>jO#xeV>sl<Gch6BM%RiR*i+O4Nwo~hVE==?7
ziM;dvTzdAa>PJm_E3|Se<gZNi$vpg<fnWE{^@GQr>Gf_k3cG#X=Ud9Hs*<&tIqR~|
zZ(kMLx;Krv(Kd~ld-qv3v$C1IPaKlW3N{|jd62k!9nY?7PpnrT-JWYBvg4>TxB2Xo
z+hU^mZ+4k_%N(71S$bF4gw^*R+W6H^f8Z^a)&6<Kx?Zt@Ee~qv{*&0%#T{c@B>D4|
zRAJ-yQwcJ6AFlcJ@rT{6_Q<c7KOSbwdTep`Vb1G|O{OL4%hzsltXw+tjq^5F=_%8{
zIy?w6zPTf4!LN%VF;_w>Glf4lty>wIpQLyFYJ95jXY<-et-fbI?>e>e))AZK_B&rK
zTBKT^Hs@;ZdfnJXnKvbCA6?XE4>_iu)xB#Ab7j=*qU3D9wBJ+Kot;wt%P%W4b9w&6
zZ<C5X6?Ms8aN68>fN67M>(4Z1>Cb1__@2Ew*4O2jTx@>k;@ulrw>KzGUw?X)@5!6D
ziZpV)&KU(X&Sc9<Y}=Oh`DT!ypLtPZrdwX(s)@H}B-KCRQ+s`S*@@7XzL#2$wB}yz
z+~xTFx|n6v)fLOd)L*<?e(BmP?_UZLoZBA!)StD^;o!EKbvug_J?^jCZIpT0Hu|%-
zcQyC67oTEp%)5I=vC&s%(+0=zi%;L)jQ%q5(4*a^N;R|X`ZWrxH%A?v^l(8V7te*e
ze_gW@7c`!VY-zNT$f;kT*Cw%|eIeVc<QeN$=brs{YhLcU$)+3Pt`~VlB+TWEj>tY_
zc5TLn=BsLNlBRN2N36_dy_mM^mRJ13Y`e90rDt0w?_9SxEVo_XxTtaIuH7rQ$nJA7
zXA#+cSp2mt_w9$$v;Xh8T(5CxS^Smg3+7vni8g*+d-3`??XEL9hfW;Y)l#37oa<D$
zL2coVh`9kaYrHh<!qlcPXbX9DJT-K!{qa1#I%XoP#O|IKq2G#y^2Da8h)8z}cQw0m
z9zA-f<x!%e(?>)5g)B3s2RNO{T^o|TF8g{+@%mfmT4zS;p4%FJE45Qp{zahhg3WL1
zFTd0m+Oc$>So$sPw_BGyf1S0cJ<QmmK6e4ra-J)PJeju^9&(VC$zOj*oi!@HO8%)K
zAE(UI39ZU9n+j(*^YIm)IO@n(X>mYN{`YO|gSnC?PaU<B4X_uxx0=1^)3vypoNL)6
z_at>3$Zm_MI4mHYFZt+!taHqs>*-N`8ebj!RK6ZKC~RBsF@)d7<}$PV`L7BGxNSK;
z2Gw&HN!H|FW@_Ab%P3HP+Mf%{)S2E(COwpO&e@aL(Y&3f@T2eiOzzq*(=!)um$Ue>
z(*M*^e%lWpT={Eit~E2v|Dtf@__mbrF!{Y+pPKcyXML$&Qv71x(c|Az%A+bBA3gqd
z>d2xaN$b_BQ_KV^JglA^;uhfkoYs@E-sKu+%$Y+4^}gS{((4ZxHU6G8=cwGoUFl{5
zJG`qltebm#(MA#T+Y%}T&CX)$iccIf<+I#zN>M!A;>km!_L`VS0S(<UT!jmDBPVWb
zyRdsUBlnk=(|jU)*GFHyt@eIGlAcoIZJA94-OgrtMJEpO^6lJlPLcn*#gk+k$phW%
zUv+Y&HZ^kj<gBl6nPa$0X{x782zTA&N)3b7_9C@2&eE4FUL;tR>so%@a9w~|R3dAE
zSexXE-id6l5=3@(g4Y5#&o!=WK6HCZ+Sc$i5!dEJr>8_kXMHLXGCO>NwJfXh<<Uoy
zHEXLLsysE4GA!a=-*e}fw{rQ1LnmTw%!?#FBzE>MkBX~&_^)30(ozk%y^JplrB}{Y
z(%P3-&z?C!l{vET_SOv+>+W27w#sPL9ksoYGgqtb&rJ~sjo5o)zS;6=H>&@*OY<y}
zd?U8G@j%+<##Y~yE7}q(dh)M`d0m-(%2S*F>7S{0(nV(+bYd2bNLV2DOnOCcCEKfn
zb&b1b9FCtbC;M}N-|k9{^!f)jQzjS6ovO6te=0J!y=dDxXUoF$g;Nh2$(?#>!~fJ|
zUVD+-d1uR=>WuqlZCzEEZKk@kqPykE(gzRxqEkzE%{p;=WA?(?2PG!WUNwL1*SE)<
z8tZJPIP>%8@+d0$>eR{P9AawrxD<LjfVon`prKvt*XIkzF67nUe0jbr;O&Cd^;c&X
zhjLp@OiL~cDl*j8tei1(O3fJ$FUDo+O9h@ko)i^obzACm#FjNBpt;G4?JX&feJ-6k
z`f|yWhao|q3XL>bEhbKJl3AbPQ~TIPYon~_=BBj7`k+l4^)+|im_5bjji;Agnfg+O
z-IIbk=6>vPov(P`&!jQeW%Guq8GPFW&ek7ITU7aDqJNg%K4IMh=8IZ?%=*9D@Bd1#
z?o~gX4+UKPEWT*x4<8f$`5j^plAVI@d)D}yG?sUs5wR2W<I&xtI;+{-rTF8l47)f%
z-2>i>S}P`AxKhqFU)4yWmhru!;g%0mGVJCF=pIO3)LJp+!j<&(@&dl+rU}pNw97Ep
zyl8i&{`uPXg6ZProzD`bK7KWvn!&hCK=;U#mSuKM<`Xl-)CA5Rt5{?y;cg<H-1#h#
z=VDEdU&gE8^NTyw3^tj@HC+7ulugi?Te%}G+2W!MkMgYUg)W~BS~Bd|)J@`fEnOxn
z^Im+y;A|rOx8vCY{fjYQ6lb;HbNT$y_+r8p@rPksj@Fxg)!gyaML6P`nEnd~(JwYh
z8Ht(~b95AEH6L{;-q?{LCnj|EIMbrm8S^h(5r6zl$Tz|7k<+65Bfe^FVrjx>kLfJ3
zoZ)FAZrt%K(duH2k8)JQN*8WJ)rBi<`m?%w<5o4#pE4!K$H$9LO+{7w@#IPEg`Ovm
z-&i7&;1k4UXf?0?`is{`l{?ioXvYb9olf92+_GVEhFO`w*@I<^PHvo*A$Lvi?D4Ec
ztP!4D1Xc^px^*_%+r)CMcT7x3a&_mzR$iCQ8z*M)nF*dfDz>QdhL?%_?GCX7^NUVz
zJTtO->P6qXFUnWg-&4M;HDBIdp-n7K;Ow#DMV1wACgT2`&mMAKtgo?Ai)wIp;r?i_
zaOHc+`|7g}>^*YS_2a?Nq>P7p7jy0?&T78xQe4=bA(tm~_Bih%)*XIZ1g;Cs>M~y^
z;QOHH(W6Bb$sUVth3+Zrw79*)!-QSD<JlwgMU@hc=N=|oTx8-=j_O#*d3LI}`HT#v
z_}h=g#cMUcrz;t2HAuS5$>ph6nbpkbQhafKhTJc~v+nVWSYJ4A5!fp%>nd`f#wR6;
z)!_cE$+LRpkDNPLzG&wUHxvH&&S#IgFEZ7rMRoXd`m$Qd3;CW77ymR%BI?Jy9Ri{+
z|0v9A_ID}%IB&`G$Fdih_NYd6m~;BNT8Y>BW-;ymE46=u#wANf9^E<WvwDkN>Wd4e
zW$2v~ID0sA(N7E4Eez_yv${(9gnb`GJaSs(k*;sJrC>^iS)ah!gNciFTDX|-vv)pw
z%y5zEje1l^EvN6(pI6s!RB-N9s-85d`L5^5qwAJDNlXvo+Mul2S|NMBb#2m&=`O+*
zg8E5IPX@M1oLX7F$MCjRTcz6@nHse+y?ONl*7E%wVh<EAI@x$+XvOVxH)-7Mvbmr=
z!z@qu>_Oc{J9l`R@SAr$du(-)DaJcPi)W{gNu#&R=7NbCjA|+-rk~C$&6*>rqGc@g
zQ(efJdvd3m`V|*$L(PRN11n`NvTRfLlj%K`-MO$e*JblYaGuvaP_?KvV)}(ECw8@E
z)HAIXmh5r6w)4n^Lt2Y&MmU1j&pb=wylA7N7S-VD!o5*p;mW5?l`g_F=I@x0vE{Dp
ze6ibETVg%t#O5f?;+ZMDFH7Z)t4ZTrm(3e{Gd4Zz5KB<L=#=A;k!29=Zqm5*Bdgld
z)PmU(Q4!82(yKe3C8%DES)(wk{i)06jXfER)9TesOlRCxnRTG|NUKZp&B~;VhgKJJ
zVw7h!uXZUeY|W6<6V^SF&*}U0X@H$s*NU45RxWw+ASI}%P)W1WV&0S*8E-GfKDDI+
z?vEskuk0|LlfiWO(bAP$czy{ub31pWB^z9n;Zd5^-RSb!pdrKVm$0sb{i4<vvoBnU
zxHdb3$^LQu_mzu}Ene^P`Ge{`NsGWWhV~N|NQK-}pA*XPsV{@?o`CPSEEU_Es|C*<
zS6ajx;bC&~vZ{&cjI+wK4%~6!b<Zu)G2CJ>JHsqZ@a(~gMLQ+jP52jgiY56t1vlS&
z;=;DrF`v(Hi{aD^y=B6>2Y42>&X{@ON`je5;iC+L+g?1nJ@pE+dYfIiH_XaVG*dS*
zRmfGJ)n4nuT?h*GJG`CCmL6-6{J0}j^wpP^nY*^E_B58hJZaLJdrO`q<pg~)P}BS=
zF?R}6kC&I?x+AKg{Qi$Cdsiib7HPFq=r3Q?-sySr=;tMi+mCymJbq<~NJ3fAq+T_>
zm8)*gm?ER&@1-|QwO*B9d-9|?Uyl^8S8ChqdGc7_5|zhqmOObB6!fV;P4lP4oGDLO
zEa&Nq+WB-xuY8f{6|~7fQ}d?8%qcQGUS4{3D(7E3Ob+_=QB#xkhs^Ylh7Y=$oHhO1
zmucAC5INu4#aE|dn>)uZ>ZD|KP*I_tX623vQ)*&-ycpl9EERbD`Kf!ogw*WYSN7-Z
zTewV5S~BIr{@sk+UtY)69rJ27{&DH-5mr0tovr?Ed5;%QwEmIsMDL#T&h~P*caPUj
zWUokmQj`~8+0atNUF&-^JN3h<DSK2uTson<$Dm01eD9ruCCUF{e2k0ugM05B7g6Rn
zxOw8~lIvHha=#evyj`>}_X(45&z<^170TNU&z+F%F)b2L?ulu$cYBvSWg@#o<`YG?
z8C};M^C$0CR%dq8Q)hF_OI$JWwnWksJ~ru{-HvYW5*JMTEs>xlCvNZieP6r0+MCC_
zIoW@t9a7v^_FI1Lzln$UzS`_-YHPe>Ql3h|&fEXreDT+GlbrLeVd{aEd=ZfrHyO31
z>(#9io<68xUlSwqx?yVjV%ZI!3YRd4G0rPJpmpT(7p_eOnzovjlWbl#Og-?JFCwzy
z3Zu3(zjeaX2afD(V(z?enA%<q(zBg8%xKc9hR}}NFGW)xCshR%>Fm17sNFbQcEhHE
z#mr%5>&g$DI=GcDV&{%qjN1IxRtZm&)7jTB)xQC0y8BY}$pfdVpdypoml?Gi_sVYA
zv|&ASnAtawrbfPqofbD3wfWnv5>%I0ylj2-XnTn=|MSbeHFLbpxeCngY8;uF_q6?j
zshj0j<>e<c)tDylntK1_!5JwAMpxYuTvqkAYOXXhTej?$#f)iLkCUoa^|ni{G_y-v
zc8jHc#?&m~q_U{ch#51c^~n5uw&WJa8Q-lN4fL-bJN73;nw>i+H%7;2>&FcmSC1Wk
z6f&E^J1Eyl$9FZCwD6@#6O-?33XyJny3$N6Y{{(~5i_$MCxou*?Y_FwOm5rqTR(10
z%Mwn=Ugg`Nn;H5rp(e_x$mI5%EaeTFSC1V!79uSz9aNtyv&MgG;YQFpjawno^4XWV
zE*#xqBFvwB*{7z*)0or1>a5C<6{p^>nq4$k`t_7sw^oPcZeP2qCPlH2MK5&Un%NGL
zZ=T)JiFr1mS6im2V5+m;x<Ze8W-^~PHajzx6=@vN`)lfMzvkv)BgrkHaR;ZSNUlkj
z)qRsbvzJ+?xL`tksvh6QGlvtW{j@l>iNQTWEJ^n8mA>a}(>QZdX14n3Y~DCCm2caI
zGe^UwRo*yeB>y`?EaAoeBAp`!Z7bDV&TT35d5|-EpP{SuzQ;FRa(U8cHVf($8%|1<
zbK7|4c*ZnViNl)&k|mdwwX1LNNqDsX6}Q?g-FZi?qGlX1lAav-ETNw3bc|2x%=S#3
z&xUhT8PhiC9Pw#ed3?(`!?m8do6e<i>E6nXJ*1ZP&}R0EUduJ}n|JCI8}_HlJ==Wd
zxYIP&h$EW>x+Ry%$^P!$xi4e;_Q3dzi`(Acb~|_RnDI2rigQNd`Vr3_s-CXdlN8x-
zU5EQ)TVmGlAF`)e?isTR+s^vdTwl87Lu0C$-KH}K)u-+JamI*0KH}M9?bA#($&nrJ
zd4gv@vX4}|FncHK;`Vdw#=ar8HTT+6&3<h<<7hu^=Zo`3{LB$z2@0p3c#>w^nks)N
zrshk|eaUMV4+T!UX>rVm{dnZFM=Ymn=A=Y61nY1Y&PvRB{a@!a%Q-_<VOySp=F%zk
zvc{)#{v0!6pC0k-k<n?Jn8ca=t97^wdQ%nG{a<ay7Owc%myh#KQc#HMw;9Eo3uZf;
zy(>6zFqUuUj^m2_-z}d!W^8A&c@og!{wuq$ZpDr%sZ6K8oL&9l@KN41i(8-SY~I)e
znHI{LRvB^FNIpAKEJ60P)0*@dS@HZ|9@o`>`F*T+&HUcAI@}w2Qx&%v`?UVDp5fKy
z^tXA<;?}u3n>Ti+@|A5qb5w2`Xb-8SeNtq@UmfnkhMhk&=G;GHv8v#o!08;Bw3*Gy
zI>m((Q|0tFoH@=kjWy!rCV|;s%J<BYj=Qqnf5o>VM|;uUS*I<}ojoMUchlmmBKvZS
zCy!Lx>uo^G93IPX74$eOo_jTMmd?EA6AtA6n$&eGEdJctL#2E-cN|n?zyD?G%3D{<
z`6_=LRFt>36j@+Cy;q?2i^o}k--R9v_U~J==;uR@b7v1R@ZFR+p~&8B@g&Kh-G=Ak
zg#LvxTn0VPifyka&Jwe`C|+x#edYf7i%UMpK2Lin*`8Bx^L#?HxJ=Q<NzQU{g(r?@
z^RZSOUMP_N)#I%2{bG*?&HI)tihN{KdvmqtBX>Pl>G>8<9vHXB)I6NfzFy{&;q23i
z4VE%ohT;8Jo)p-%vxvQTzb_+e$E4!T8zwlLi4~qWn8dd;;*cW0x8;*$$#y24=K&p}
zRZHYv*F{tcrpR!vslPTo#H3&5{L+j>-u9d|PbV}_lqoXoa+Y%|K5<-vk5%IILV?F$
zJbZQ43p^4Q?OU>_^Qq3cvxijpZq7KP$R2F*BuT5?#^>>b{+BXb2F=cjY5zWLpZjl9
zch%w8+<nWk*H2q_chUdi8CPT7W=aLzzj5}EkDS$vh4aoW*$`Umw$eADejaD^jO;^Z
z&t`0BzIpe5vAW9+zo;$dE9_HKZcJWfr19qG^|}9SR;F!mQ$Ky%V{%*TeUnwapQWxI
zwCsKLXpP&~0=LD#EY=1-ZSh;&7BM^E>Txrph^2w7VOb&E+9s=dpI*&cToqWbei_fS
zjF85qQdbWd^=j>o?|qf9(5-Z%!{Vy?8S4XUb(Uq>H496HK3L+mwZLofEsJ%5vND%f
z==EiV@VlF=>OXvS)fK7Pp+EC3uV9KcUDc{9b@f<U@2iB#ZlxOo7gya_5Lmlr`6`|J
z-cq3tHo0vra9w<B$ErZtn9D2l)@6tAo13iapKa?M8y<Vz?CP4`&t7lZ`)X6~SzXCF
zy5H-wS-9rBjC-ZEI#I7VxJu!6@b~>MzlaxJ@UE@SNaCNXXRwmpb4B=+^_Rc!aB<9e
z{qOtSe`btPyRK|W`+Sq_<|*Eg2NmD<zxk4$8WnzBL;tDRMV5JjXAc!Gy1C=--~A=O
zR(FM6{XZ|gV@_V#bmhr?DFsuVnZjp$(TPdu=<VhyEU52P)YCIQa@e=+$Bu&&7_LhS
zJ(|@f^6Ryu?H88>1?ML`lNHT=eg6UK63=pZzkB(j15@OlRxj5{E7)(eYg%Yywba!^
zvAtQ3{mP4sxPvqKa(osqYF>Wj?onZ_stO-h`Ti9W4-`e6Z8}W%wbgtJ|9b05+}X8~
zGLI)52;_^jIHOoE?VjXeTdVRv?7T{<!7BD<7Pq1khgkS-O31&i?DCkG;k#zJ)=Q&T
zouxLZw#}|p3L66Wb#yK;OMl+_eA@=E)wd&7UX@*Q;g#OB>{9;ITXyv?jj}x;v{o*u
z&{AdXwb`3YdH24$%AVpI(f80MZ@TS&F=4SAcVhh_Io{pcx-OgTZ+%sP&w~8^SB-kd
zj4FhsS(5`EnDXoHd9<*1dQP0~m&DImi_K5V{7Jc7^07HpZr|oJ$IYj){y4QsAiwl`
z;reN6lKb{Pm~g<FFS6piqI5hob3U5T-Y@g%W21><>E15$D`sZNwK^wO9LrLOOkW^&
z?bXUZ%F*)=-jK5ql}N8&Am%2$qSw&&-^R=LkFMz9KfSkz?e`Uafk?d#*H7raF)Na;
z=E#3}Nh^7C&b|-7e!q3o+i>`V?i-UL>D?OnS*N0&THSS6wdYLe(mazy?%7Fu9TXpg
z94s%%Je*|f&%?#@bYZKa%@#uovu9gZY}{&gU9R?Jas8UiaK%PunN0-~oa@c_jvm^|
zan)5m<Uql!ZnH=mu3ioI)UTzzujc=XiQg8=CbC^ZsbI3ASl*P!o0V3s&s=@*>yp~!
zb$eYW>8zW2dYwngwNoFmj10dX^^bWO7{#7>#&ng$Cd1`w*3(Nj9Z;Q{^Kg;hwn9bC
z=f*`$Ez6(2SmKp;>an4r_^CgNhT;={*4HNGX@0Ig60`M&%{!su=$ZEwpOvN5?T$I&
zrQdS>l|l1A+pjJO4(Ee*draVQEAmJXnLMwxk!Pb}haw-F@sXnfZ50xy1mq7(2qjoJ
zJM~<c;IzCTB6wM=+(Qm$9huaQ-sFw5CNJ4oTr%xxRBVE4<t^FE#y3{5GtJ(j85yzi
zYHt0SORxCCvP;FYx9n=)8g=)$)mpiPy<z+t6~oGw>bcaa{_=S<NmR4W=HFJnlFY1Q
z(zY1~qh(KQ-}W$e=k$;T7qiZ++@D>XE9uj9mp9ZSVUgI@rzsO`8l9OQSO5F&_dme#
z;(NiX-#t^_+`V+~%B;}X&mZNMKGaE6UMTa{Z%Q*OZ~eEJIXd6ha;~%Esq88~C%$j$
z*LkJWSidf0pZxRNw4!JJ$GsxYehTqSpZ#&8O=Dk!mXPF)fIv6nkS!b9yUfat`-_Pd
zi#+Iiy^@tF`Rjp061E!*t*&{W_~^7MtLE$j$3643mW1z>N!e&|ZH0Ek1Hs1{Tz%dW
z?%e(o?yDc{m{Pc<{$o$!7Vf^&Nn7R4KD*Kzxr1HoLqLKZzwVjG3ww9klo+(P>!leR
z?E0SeF(5&WU-!&|g}pCrN;Y(~>xq5S(Dl`dkccl6@=XxGsPm@3m1*L#FjxK;DUHom
zHxDV!%}FkPbFcG>)3a?a8V`ioZrI?<pOd40Jtf(Fji%Px@Z4>R)9cTFTb2K2+QDLB
zv5Le)VsW!J^oFY}zIgt%(T`Q^Oz~e197?zS@n5p~y@h4p?>C>DtDE0$O|hSp>bY%A
z#_5N(fA9I0zB?_=_Fz``&8zR2L^L~3FWJ4h_L6Mo<V7WmTILu#JmC?rd_IR!$nyD|
z;&VS&RUIk||GR6~e}#=-Yv-+8_p3g%bm_~Ph95PIj<Y(g{o(MlZj$jE<(-XtRm?Xo
zFPY3P>-#5g;{L$v)7)0AIOKjs;^=`_60QAf4ZB*u8g})HF74D`^+B+*V$Kl*B|jqr
z6F(zE9luQmMt++NyR@ne3fA-lN`xKN`17wP&?l!SP^U(2@r@fUqO-Masun+1X)`RW
zpVYXqa8hSs;iT4&g_C+YmwsQ={O`p3l@I<d7GEl;)*?AC_{ITauGtlluil^CvCOWy
zY<=*Yg|;VoOB8l?_APpIwI(p`>V+$-46{u-b>mpSb;{43QgC*4@6EPjZLh*^=+|ws
z^2^OSvF%Xh$6&5NcT=ma53M6O!xk+5vD0NH+f12y0eeR+&BlU&KO#vX8F#(b)Hg4k
zR`oKfBy963d*zEudqe6srmsDqxbt7q`KVR@6L<bgG}U+*xl^F$`u^21^=hx~`>xx+
zz){WpiPL7Mzx}7arZ4>UK14sZn=vcw=P&-8!@pfUS8yvSGhKKrYL|Lqk0sOH_@9n9
zw<kYcFu^~nExUg9_K@Vl)dhRFw=j7}ecbJR(9!O09Ov=n3ann&!w>aN37_@2VCIfG
z-D%r`ich;IMQU3L{akm!wbNyZeo2_p!jiV>UY;)b5$jx(Uhrv8@tE?<SzT4=DBs1v
zPM00-F-q&=yQg}obk!z>uHLlie@F!5pEV!U=YOvMc0BdJ<&Vkv^-u5V{5xA-vvfZ%
zkLKUpq>PvO+=spDZ%f4ex_z+Y(tSO*Y5%?%topLO|6;ya*MV^Hqe>DruF+d0c#^&A
zr6t0D&DLM$T_61-^4D#*^_TARRZag_c5(Ta?d|)P?yvo#E!Ma`xy#kS_(lAd88z&o
zzh>VLUb^4cM*F9(jsD-<$F48y^V#*i>vv~_SFLUTwse1OiH6w0Lx&gLJ+&bDVv=Er
z*5BL(#V_-PW4-F5Uqt=7?Y8~WeZE~FI~G@HO7nM}egB~Dqrdp~uKKDS(SI%<UtqpJ
z<Qw}V|Bos@_vcR$;;F6s^mLQGj*8I>8Ly8{?Lll-Z9!^QEkR<p+Jnq)wFcSLH|3iw
zkJ-bq)W(K?X^l<w;t&Joy|axU+Gf>h95v9NVq{=G#mG>7$|eKrDVq$nrxY0&Pbo4~
zp7P1ScFHHiwU$Y0Ri@s1=KJz4l~~pi#HQ9Bq^8yyBxc9GG{=W$sf-WD(wH9pr8Yg>
zOKW;^^(6Lp&r-ej`&dg*jkKiK$J%6#`lIGu3#YUSE)<a$aMENy?4&8~JX=3+v3qgT
z_Z!bzy7SI*^2g2=w%hgRNp<S|uDVi>)*oL>HfCpJta`Bao>O*0!~%1Ph!y5DBCb68
zUDMTJe5E7kikgMQD<K)Sx+xC~0{=H}dhfyaF(PgDF|)5b4^3OIc=FJNAJ<dwUyU~U
z5xJ<o{o#MVFA_hlyYzR~dwz-d@!X}q_rLPR#}EGdeA)41zmTn5-MkkcKgI<GOJq!*
z!YhC6p6?ffJ(FJ;ekfaX{77`C9Jg<$oOHfgmCTGzIdQi|$C<sq{5YXv+S<9z^X7-f
zKlL784E}uYlw<y{dolTuys)j<zwAZFkJWpAvG~#M(qG@b-~UU+kKHc){ri2hxVYD=
zrXF6tE?>P$;-A*V<Ok86a>Dg9Uu^s!-YF-2AEYO5(eb0-Cpl$2KJF>TzFs{tX3xZw
zj~^zhbobByx8Y6o#%aF}OgZ>S?4(|ss_wsSZ+?5O<GHqMqv0E`WaACSy=RZ~nV50k
zzI-Dm$1}NjgK2O5*`wP`%=l+tzEM*%anV{H9p8zP^Y7XDCnw*Sax5(&S!#ACZ)T3z
zwdEUcMod5UETKYbc6VZCj$GTajXxzOEn4fN<2`Za564Cmvo`<BH+Xowl8p@wde0te
zFfo(<d-;aU7w_cai{`y&o#&gF$?px`GPU)orqN^Jl<y{HZF!kFZ1uaAZJhaH(y_FK
z#ZuDu^_Ok5d@=Lbvqj-uFK$IlJoGdnm1}x;sAh~@*z$s(5tkpmPK}=$v;Ml}54+ab
zKMn_{KJvY=f0@DI`!$Q-oL9LM5kL7LzvsCL!J9H8=bc_)+N#@e-p*~~iXgW0M>cb=
zI`AQ8&vX}#J;D1OwfD#$*Vyy=m`44c?Z-6sG#}G2vpTB5XLU?N&+3SV+^yppcDMFA
zvK>FbZDmoTW-GkdU`}r!&z$Z+pE>=3Ix!uAIWawfGBI6&F)@9CHZh%nH8D%8`#Pt8
z@&0k8RM#zWhumU`9fFHz?2ud>u|st6jUBR!Ep`Ym-myb^am5bt#XolVvmbYg-?jN;
z{mw^>b0z1?$sN;RlRK)RCU;yz%<rg%ncr~@zIn$q^yXa<-FmToU%AYeWvq7Q7n<7V
zZF#Wxxb&mgr5zXkEPT&nwe`XY9@(9Lrf)1_lYg1g#$URrvHto=wST_q(Ld(;ZTtB9
zeO3zl+byQWAGzngc{ttb=JEfFJ6ARG?aKbl`04-E`jb5GU#Bq3XK0-}e)^m4KG}FP
z;rSUM-21PtF#BiU`|5$Y)YYTwO;@$|o384Q*L?oy>?(^da_i;hwDa;jj_UY+^D(bv
z&9nyzGp0N+Ft9wBnB>)T_|O%W_TEfRKK9GPGJ0t$7B**m3VzI3%D<~|<1d{bwFcG)
z4;rW+OiajYI(($wrq<E7=c2Su&o$|so=eg)Jy)b-dM-%Y_*{^#@yYoZ+uNwWX#dKq
zlKVc|`YIfiUYA<Md|3V^FWbL>e1nhdRn4vIU-6p#^T{vxV7m99EBD@mhwGP{|M<aw
z%R~CdE;Hu3<Uj6v8z0((bZowt@aX*3RFjXQYx5YR?jD<;RmxspzvyagP2}q8ACtnq
ze>{F__Kd{XGgAM9o0=cihn$<D>dy8*ds6GedhbmVKkiS~Q9CtRM@(yyj+xeE9llkQ
zbo4fPit+1C*0GCHJ#xQc{shkb!Ev6O3_{c+dHw`XI_l!<l<8Z+$;X}7bhvHugMtKg
zi<k&bKK}p3O^1*FUo<D>YQ3q62EX*2TIJT>hdxrX8!u<(sQK+xlnV^bU4Omv!<;KC
z^!zTYs+ce}{P_;IREuSKTbM#?9NPE)SmBpu;q?6OCL8s*nux_w7B1<!-|8P7WiK^4
z+-N@Kuan2Qgxz83H<n%Hy>t1M+Pkb$?(JK5^+reCJy^T;-J_LZ-#@sl{#~)Ao_l@c
z-(Ax`GrpP_mR_;qDzD9jS8DGvO1aIq?&_6}x_hv6?Yl>_!oC-TuKvAa5%>De+FjFU
zOU7J##WQ`&uEy@DyGK~p<~`gKw!P4Awe^feS7UvyzLHy(St@_I^x@VG;opn2n<b;>
zCN2vzH}GCPd&b(Ux@RuFGJBR)%KmxFuI`_^>Ob7Lv3RoVn`<Za%3h}MTbFL?m(Bmv
z`-i1%!eQf5w#k!yc_!ZrxOXGaN0P_?VUoneL#YWdT+=%@YQ~5iTT*aSV(y`*2_an5
zyX!P#<bEwL_$e{rjsu&W_qnd~D$Kz<B-oY~Nd7QsJ$>ZFlS8v-%*fefpm;MSxn|SJ
zgAY<BcPpN(SL1h{>}%I{vHQ{n&ou|PE!$|AQ~CJ)sk#XnrxS9u<PXmZv148x{L^QR
z*VD}#jHaGH))ivMemeMPjE?uy&l^mpo<Bax<ZN0(@vJvLWOrFi?N1EUn%~&EvPMm8
z$;!4){Yy7=YUUkFOAeNr-Q1g*qi42cV`aqrW6zTBO4rAITYj~EtLV@53o{;FyCylu
z{;JbLp67mBe`MX9&Kz}jQ)=ntAALvoe1(!;NVsinTs^r*!pi(_<jwgei=#dsd=j01
zs^{Ui*^l-fVdCae(o)%XY@zq$2oV9VVCUr7TqU``CU3GA+Vo>jDBJgYJgSoK_G{X4
zhIxFFkvgTFwMnuhTgkxry;}XpX-db}r)@61`Rh@s(F<p8p4!<*+N*a2%ywLV={}Eb
z_tI1B%iJwaUfBE6|MaO(ClwU<@AE8|l-tv}$zJT`u1~8D6c}?!<n7V4>(p=vE!LD@
zIpJX;=eEo;yFkUmmmf5H$$a9r)Bbz*!ybvCi^q*B1pSQm=uF#d7(adYM3cjFYwEc}
z+WmBo=nKf6-0mP^ER*}It>>u!`!6<kn;!7k`fU%`WVqx1j9I%6zCAu$;Z&F|pS_FX
zu6db)Y&@}t9Ab79ix`w}F&D1v3p{Y)p-;C06Qh8=nbEJe1+x-;G<55dl5<qf8oYb^
zjOQr7_Z}PBT}?kMJ5Min4i~UrvB7Lg^AFMb*3yMren>WcooI6SSW=Wt<&m#1Cz{+p
z+;T~YE8gW2&+PkryOK-)MXKaQNV4)sA96_9sL3T^S8pv*wJ3gH@yn9#^_>e9KOMD>
zP0`p_vV1ky>kGbZkE~O6O<$xsuSF<!eT4KpF;{CDPh%ObrLNrXJC{h7wO?9PTkd*^
z$J#mbV*NgArObUUoJ(DQuj^YP876vr{&Lssd%9cg*%w*ryD%O;dikf|?p+^5EoUzC
zimB6<NOA6H|GqY10`JCGG0_(lcKn$3<3@4BNz-k*>oX^dmj7w3nE0?}PsW3oJsFR3
z_GCOf6aDB<zrbf>kKjG03W66)?N}J-8*_by*gDfrexvq~`j2aiuUgKj(U$O^U0J$L
zNd1Y}ij{{7cd}-!dbR5HrkYvS-=<9|t=%^D#Xk4@T_(DZeXCVw6@CaUXkRS3`PnjC
z5nkhNleY&eCO&l7laWxrCnG6-Pex+?mdx2l7i6w?lU7({6mxL}n_hN^@N|<^t=$)w
zr5;^&S1vb7%;c@vPS%S0%Xw3y7hKsg_x`j^dM3Hcw>MAqoygAqcwzq2TffUx4qr0Q
zGkjAWT{9szR7U#u;_IjCCx=~qZQ)QD*T(ttYG_OQzL?h!ZXZ0<e)?dO(dCf9yEg)Y
zW82H}#SaGw9QmHVC46BcAD2k-uiKA`KL?(;UbNK7?DDEt+g_+mS33Xt!d{X3gG~Wn
ztJW<rP07CS_-#`6=}iIE(-uhtwtiVsa#?gqR7}PsFP(iGxcl#E>6jea!o=_WcX31g
z5%yDmyey9V__4ykPDiV!zm02iVN@cYpXQmPo~@M@7ge@;)^5|yJK37I;`rI^N)ywU
ze%l|oOP8xD<Ns?dlLFsHo4oM*T`tBAqV+Rkq?l)~%=qtFq}lcM|IO%$-_nDA`|I?Y
zY|^>HneTdQ{|c_mnk|2imRprf@s`>0e@Dje=b5a>+?-Fk{4Nie(fEza_@ZB;Se)DK
z37y~S7aFji7k&0<<{=xK-fjCOCf-U~Qj>V^pYlB|o!dtw*F@N?)mZoVQ$ym-+wq#e
zg9Hr{>m#)U+bZ+xO<j)6dfgYXu|@E3_hsEf>xH$~@0>X2$gOO@mX52}YdQ^dIs`T{
zMJoxmJrz_6OYBxXw80=KYvZ{(Io+)hb8NenHriBcDYvNys?=?GwXsJ+&UNCCjmz4N
z9?f>%_)FWgk>lB$g4rDbdR*64e;mB7DU>)vMp0=tyHI^%g@ocF*JWNUiBT3_Z5b1P
zPc-U|e|luE$8D)azH+WbLb@L!lx%hg3T<g{so35b6My7?+LvES|I8P89QnViM`E3;
zx8|e&Mn$%Q|H|IiEVaC$q!NB~wNs0JkkZc?O8?&8?-a6=ap~yz|Kr0}AHo0Ul~iuz
zy2YvLUDI@O`D5)}-;$rL?Bep@OC`O~J*HRSqTF}YL$eK?T&nL(<+%Uey(6Rlwou{@
zQN=~3d0s8%ku4qDcIXM-OUQ3m(qC0)eMxN5tL;j;iJjYa$ecSalv}9j<Pse-h2wB5
zhhT1DoD$D-B^QwwYg{DGxpZW7UlvNV5LH~H>*v+-(50ngn}wcWeOt1i(yd1;TqJ(&
z7nZVdDg5Qi=zQNmp!KCNE6?7;4kAm#MGU_1Fb7VM3p{Yamd9nfgrMA2mwpL3wnfe*
z-1}X=w6A+ucP}J<=D{P&G#-AR;=<!IsiX6xZ)-A3p;_1uvzxD%cOB<w&7UM2b7!0Q
zK9{lxmE433mE5Egw_9JD{-~d1{jqee<d-lBXZcpi7po0~|B9q7+<z#Cr)KvC7tXY=
zml*F%c_bJqVeF%u*F3AxV5PsZ<>8X1Zs`oRlags>wZRV`rR^$Q#j5(@?4OllS$>bM
z&2o)#ypyn*Q}5STnMuZHRCm01`q|^0!Tbk{&g&~!#=8laij^NwU3Kh{-wvOv^$~Xj
zyF0Wyq>}{>Hpf?~SRPK;`qFhW??Qva0jARvJPmuE{4ooCEv=)!PT(tVm}I!7<Co5C
z7lkI%;~bAJE%NSBu{^A?HBIUNMUga##Yq!?MsOMTWNXdwF;BjD+iHs6n!|GzCciwg
zYi9R7v$(UdCEN6`+;NS6?eawLS5&%E`NjI{W~+C0&)epDbkY3Wr3Q!1M7!g*%{|0p
zJ&UV(v&7%1;`<F62@*5v#Z~xsER0t<o36I6kkc%2>%ogG_rE4PzHHcjWRpeJuA}kK
z_`SR~RD3I4BC>pvO5H-{cK_`^%KkO1I^x`()NZ16*s(Y3L4%v=#`wiiH_CS%E&ud8
zG;*z|dVTWV5~KMorJEY<tY)59vzqCzv;6aW5wp{eBy!eYk}(avvDn1@r9#g4myhPg
zP2o~c-0io$V~gqihsn#Q?6mMbz^7S!?asB^OSkd)aaKg<Pfn7v>v>WeyZDo|%VMb;
zYXW_JuCEYV=J~O2j$4|6qxxsp9=~VWQghEtH@CWZs9@#V`p@q3il;n#kZT*A*%uUj
ze$uU7C9f=lQ>L7^lQ3+m7TVo?Tw5`sG4?3)LIF{BC+lu@r@**I*`v-kR$SP`B-`!1
zcu~;N51T3;1tzh@X`DF{+!|T2&Oo|f^x1>PLos)PXS4?%RsO8RJ*{_b)+%Z3_=9^N
zRr&_5dHUUH|D%IZf?f5_bN&@w<NYe3V0^FHE_6oYL9WNE!&)OHwA}Y^eDu}r-fBai
zzB+{ueyzKUSfwgi+uXGU?reyVddtDM=79LcK7oLXf@bdBK~F?yNa=b7K9EhU+T!L@
z(jv)aY!IBN7N&LP5LfHXh;;_+r$wG6O*mx3(^JMG_L8G~QK885-pHQ%iH|j`4#`?(
z-xGXys;9i=H<$4TpF}l3%`=BGEq@*DD{$?rTd90!nzn+~p?QxybN-pHoh6lBxpej_
z*YX(wHiyDhF8;ZFUyOhAu@yC*8{fWl(h;58E!_S5zo4PTHCfkZ(r-T3-Ffmk@^S7*
z!P60Q)AzONY%gG8zqRq_^V7E7I_33=b4@n=xaavq`(nA+{rMd=%D>h<>azIL`l2Rx
z>+A<frn}YuFYumm{YrP}-#9OU)!%E6K8^q4eerwnjU$aopV@b$=I;Gb+Uei@Ucl6O
z-^##+jf`3*7guvguPR>KwfnQ-dyNT+Tex_8f);j8d@r&}`oM+0S_|C|ww$^W5^mgT
zZS|L$S{1h>B!94Oy{#pnq}UT!A(Je^%$zJD%zRJt%Tfo;dsmXXkL$V`EWRPgtx#dX
z{rKL`!<+sGeD-3S|MyG(sej35wa*+lGN0|l!FTg(x4t=T`sn3?ChO*Jk7jN(NXk&Z
zw~-^@^{trF<lNd|zUxPflLf=tUq6~@cy86%L%XNf)L+Vp4E<ci_WH;VXAPd$$s)|s
zDXpT#w<Q)E<a7n{)aWfUT<9XV!&)ORsMAO$>k)I{yi@%9W%GUo-IQkuDrM`QEzINX
z6&Wgh^nTsRgq1g(pJslrxFcN15$a`ZBl>>Bv`c6CkLU_NZagG8&0JI~qH9`3bPUgv
z@1m+dIPE@G&!|7TU`kR@%~|G`rfa^;YE3FTseN$If%VO<kM?fWc)alFqo9=T9n;Rg
z@)o&u;$@9ybezbU$(vGt<~;Y3+@NsVl1udFIkER$PcxlA?7pyhQA1)Bi-e?4C)2*I
z6|c2Z<FyzzE<68Vp+!57V4Hj3q0N;#f^F(cXPn~KUDpvP5jM?As9xSG%v4<>Y<k?}
z`SZQGGw$fQE?Uv?<BN!Qzs6s|DvdC~Ephp^tKYmf%3ZZ`t<L&`Qii%NTjdw#uap<P
z&UJKC&osRW`K#@MB4=oL=O5KNbtzWM+krbZQhRD^=cW=()A-zdN5fqi`(s!>EQ=Cm
z<;nKejf>9sxK1eXg_x?%Y`=P;#1}EEf_^+aU%K?8!k;hCf2B!P{V8U4lr)=W#dN<R
zFU!xMMz1fgDS_p<wPcOnJoj8Sp7=eQUN?D+Zs-c`+cu%2aj%eJp@)(fpZAetf}Iu?
zih|<oDvuKPyVSht4r_Y<e6g6^pJt&%3oSwB<ElxIB21EnqZb`GbWLN!MqRFYnVfzm
zaqC3~4xiKbu<^C8;pAxzKMby%o$uB0@SFe92@-CSG2s%2O<N^*tTGUety44Ro-3NR
zc=92cl8_nQd|aO|#3kC@V)gD6FfMS{lgmFioh!Lwp~1eVffDz>oxW)NF~Chs?!<{>
zwUU-Q)Ro1{Q=dGX)>Ctb<C<Tt@r-ZFBI^CNK7BajS6V~OoHL8L_DvVG*E#tAQLl-k
z&91ZmMc&%KTK-5+tiCw$i9fUd2gRN9+2$$inA~;a_`UfbTRVQt%&03gyz#7l!_Gxb
z{F42363T`)eUo`?Iww~Y)SuWMFePOEQ*D7llkNZHzw)(}a`G`}3(KfY`}>&x)IQnQ
zD@5B1>udih9z1lx`ef3A@JUBq&e#9&yBW`7e>wJw^3#Y_lYe#vs7ptzne40ci{0+F
zTT0+I8TmK!|4s;#n0H)*E$)bhTHL=0`L&{|8t!e<8y>z-E>-)o_2VwZFQp%MX@2=y
zf4=?oBe_L;+VoFt-y^NcGg~z&VYQ3Tn`s@bu|gX+1}pK^mw6mH`psZv#^n!I+xDJL
zf3CE$W~t5e)1RWtgdKmL@d;p#7r1i7ttslp2R->8UMBzd{9iQxbWh@*Lq7r*8wd-F
zrad%nz4<~eaqG@)yuC9%%Eixemn={IRbAs6cIe=9cAh&m`d@x&ecb&(a!rWDVXaol
zh_wd7*&=C)YY*kD37%2k{Plh7tqooxm4~Zqiu45cO}s6^SYy0hldB@w&1mJb%bz4V
z4SJ?_G!_aO8pbJ!sd*eZcI3s;%KlC!;n|B19Ga%F!O(_Frl*5RTzT<<!z(mC7+Nv?
zNLzAwx8MQs-4Aa!Guugdw=7)m!t-TvN9RAGjRp=%W^8Wyc?-NnX1tJMtry$n%J}|T
z;DZJ3B0FA4vdUGtHum3}IH_~_c6L{t^{Iy1GCOi|Rm0k3Z{A{CQx)FR%=*DAxAv>F
zZ|>>ae=MeFu3De>)l1p$-=FH#`${EYCNXvT#}06{X}|A0IoCj9$N#MoCy(s4IC*HP
z#mQqw*I(SKIqm6#^O<)wUa%*XY+evwU+aG+d{y4Vlh-qj9&463dT^@5(W6giR8_PV
z&FRow9)IEc<*L|?7yB-+jSA1Ynq9K`+SI78R(DsG?g@Q=;cf8o=&xmSQXa<4NqMXz
z+q)|%^4rlX+it9?PX3a#`rd-*t>+FU?`nOObEh{@CZ;1WW={V~_l=?bN2hGQv8uXG
zZr04B^}!i!htqdhzh8OfNNnq_JG<jf9(;O_k!9;e=Y@|J_6M?<UYNW<ZJW@QLqbir
zB31=_yl_HrshHjVDDy+vk2oK0{m`+%OzxCP#jkH-j=Xo&H00-VWIeQV{K>H(U}II4
zPXMz&XVxQ0M_n8B6}{qIqWp$)6<0JCvzQjnTOg(<aOGIN(N5i_^lowCD+ipKW<@vz
zaDV2^N}la>)H&oa$0>uh1#E7@SB`KrMM-!DNGFSG$(@<y63}*%#nhm6f!Z>mD~C*)
zZp~PC;Xz?k(<fVXN8T@rD?0bFY`xIG!0eZHh>erkj0HT-99an(j=ns~D_S42Y~2{O
zFsbL3LqJ<Ei|K~W`UPrs>ML6HIkJ*BI~_f-wRr)LHD^|WxZ}@r6Bmf330*m+Gn37K
zgTA`PVXh{r2+siF)f}h96Fzw)bOyd#<aAV{eA)sYb<V5@s*b)}f=?+N+vmr!)zEoi
z(wo~(0d18mrUug&s67+7aww<i){S)mhDz&{H4aBLNkupZ2+tR~a;RRR>65P4jDNw&
zm8%~dd2rPFzQ*sY8D06dC&C`itY|ks6Ma1_bo-iTr#_eOi~V+Xk&sov8V<Q{cFO~G
z=UiH0=67t>L37TGkbSJzR{ZI^wnA)LW{C9Z<j{-#*D^xxO_REMz^XSZvDt0wM#C^s
z+w5+))Elb;d2=qW5G%_Fk+x0_tv6Knzp#Qw*mPCnd6QM0o>Es2rSxVcd#%xW_F<;f
z)Wm6SrUucAKYesw95rKgVD6dj(4%wqM=UndxwwLDT2_egbkkL>+EQ1KU5yA`Bbb*N
z(so;F>iaU2Rh`SFroO*ux~lj1##Ir)HWya#d^cUySS>a6{S}i{t$U@e9@`fYy2g>O
zK0BmMS?cP6z}~D!Gu*Zo#4NsLA-J4PUfgm?pd?>b2s69Ms?NVsR}VS&W<3sEqm{P$
zwbayyv)oKKcr2b3u_91c=fVoJXUA71=}E5-l>C+v!o1sbRcEZ!)k9^yr><YxxN64B
zu<VewP^qcw^G#QEib`EQRMnf6{K~pM^c$}iuU-8HDgCG&g8H|1h^Tj2SG(=WNUYkE
zkvyv+ZN0{)r%C^0C;A_L|5HTca6*%mgj0a9GiO%fjotN8VPQ+Q_RjeFF8uVq7@zCu
z(wll`NY*W0qIi4N%3MC<!}(^cYxs=s{Y|?$Uo<j#+ro`|R&5Hh$+G-!8<Y^?z|AIh
zsj1WTeXH1sdRE6hNB7KlQd6aB#3lE8rWZHQ<&4Jl@)?c#vKfu*r7{j4i;F7Q&@C48
zW*IC0?TmxR!;F+_JRSEPIFVqjYw(6ceqUP)Pv3rTZ65U`5oYyd5#i-YBHYW9MWoY{
zMA*}lMZ}*ciSR#97Lgb4*mqWx+4|**nKzud#rQ5X^*V2PQ2)R{cZY=sx7@eOP5reg
z>kT&cJQ15V`OYz}N!CAlp6IDfymL5Z((f5gAq&E6tPJO7bVPl;aKvQM+m;CjcSy7*
z=JX^b`1B+tmGmSfhV&#Qr}R8ZaOruJRMGP&v9{+?vaGBOb8zOtBO*}`cAG?PFzgho
zxO};(yK~EfL?+!I68iPrQ=gXh=Dyl|<A2@@Mkd9O(3A~ftvuO>HpeoiZJ4m2MoMW#
z<4+b-!~O*|OVn5NT4o*OSreuhe|N=%`_)=oHu|*kO<Q&0sE}w?#2P30?kSh9hwtk;
z_i63Svz?RGOE~S1DDL>7lMr6nm$5=e{Qkiyj@u<#7gqNqEpYEiT9n?CRKGC%$J=v@
zF74W;HQVvMMdw2QIVlVB=cFu(pOdoCUiInqK;|b0Oh0UTJ5hQ`<MgcgT)cNe7IsQ&
zZ87lQ8^Ei#Pr6D)y<vUG_M9WCs?D!>^j1%J*u}*g6S}Z7TWd=}Y~xnRGhvF(?xIpJ
z7B~sN4b50Mmq&W_s*T~TTPq~(az!`P`^JV=CX2Bj>W=>SZ{3eN*H%LruJl>yl3Tdh
z?fE6+R{1gOhh#k3$)#%(ys&q<S0|55*o;nPuFVA@iDrG8XB?M*xMZK8XQg$<nZ5OA
zhW_SVJo8RmUy)p)6TYs`{o^z)$+nXVMb8`Ygf8q<)Y@VY(Q0NF>XrFmVvv_Gzi8US
z`o=>!cfw~hS928?h9}Cs(>QbdZTZo|^IWH`&CkiISUT;0{E0o$a({QaZTs*cTk7Uv
z#j~p`vt_TH6qCy|dcM{2)8`8+awl4i%9paX>Dlx1zEf0e=6?9y-ihn*Pl?w4=?|CN
zICXVK8*<&xIHFOr_@n8j3v5=Gw;C1(*8K1n)hVf85}){3tCpAjc;>;Qno$qTRcd+b
z!Y481zj^#nw0!e{0xO%RZ7n?KCTtWhfAv!0=z&tBLyzX|6<Zu(A-4F2h1a$D_YW<9
zachQ!_cpHgXVqVX-`L?8fB2whR6${rn2pTh^$#Dvs9qR6W1A&U*OJ!;ANJ}`{p+Zg
z9g-5ATJT+cDNFs~xzAb}Z=dD7cbdC1XI<C&Lv>+Z1~CHiYA4irWHS6_So{c{^0(0L
zqDW2nxu<_#&v|g@`6tURmcw1oS{kRG<rH4c)%oC%G`A#+_`O?m3=DQ!CME?<Sdn<J
zbRUNihY-*0S;A~(|DX7u`lm5J<I(mT^P0qBGK&?vA9yLx5@u`rU+;58%i~FQsY*cc
z&w@S<CIJ<RckGsIoXq^Qglm{IRGPBC>Zb_Aysl7eeh_thmav*1+e029--F_!b5HEo
zlRxKInQb>`?rdSUT_);2CE6-Y-0O8x1Y#;&iw_<6@~ov((y_PMCHTYCfa}a#?d0$8
zbL?|$THi2Rn61iO-RFyzN_`Xeca0Q*m>ucGhaA>FYw6tQ_-JcQiZz=g3uhbOSx)A+
zvxU{>u}-YjmA|>Nm8a?T9IYEouVr%mKDhb{+*G(IQp0`g+@IH<Cd$s+{!ICIX7Ql|
z3!b%f?)}OATzU8E362F1dCzh(d(ReDo5nJcSLi4A{9iY>pV}7}9yvF6RnE;-^)}J-
z*REN=rbadTXO>xeo&1MJ?@Ljs#W62`AN+o5pJ>pkqxp4Lwy(_2xqCtD`m$2C>iWf|
z25&A(|Jb|8e8!EX<`Fl3&fc&4yY56le6+UA)U98?{*jNHol|-{NAy?C2maLh#T&1F
zbagE4yDq)g{>Sc3@+;0wd)oWd^r1|3?T7eN^>r&go2K8KyzN<5vDZ3VyC3<f_45;Q
z&+Vw*@mA~A>eW#nePzm@TzK2OU+=eH;L0_*cX!!@hhAK^u+;LtQgLj}J*imxAG0^T
z_nH?}!!3WxZ94zIRd1v>t=u-j`8of-*l)g`IdgMk1FUNgY@azPN+a(0ho!4G<!Waa
z_kP`K>Q-4>UwZo1uj{8?A6+hC)pA=WCu>b^Z0yY3qvdOO5BldV*n2H<{@=jaIs5Kq
zd_Ty4dY`+6_UkOE)zRJhybnc>pZ@MVx2t5U?)sAtT&1KBe>O2=zJ2M2Pfo3UiF-@V
z)zGbXPOjdedhdYpLEhQ-`L{-$2)L}F^u^W3P%8V?t5=(KSJ%&}=l}Wqef|D7>qBNo
zXkFPS)>3u(cgkXc?RSoS-yi*L`YPl5y|1!2D!TnIOitce@%Ptv=kMX0{q7pRzW3kp
z=JwF+*PrCCPu(9E9DR=c=2lO!Hd9YA=GDo%UvGZWx+fsH#%q(o8lO!P5su;eERtHZ
z_s@AJx${<AOm42Mxz1wV()Cm7pZ}P9gxC8%KX2dJHnXB`y~_FTg`D?g$z7M-KT-1V
zxBDO7=1uu%+Ri0uwd#TcyXdTp^-kPxLoyyeUH??*<L&@W)%{OJ(iXNK$|(t-(VWLs
zd@(dpZkNUx_viOr*jKk5`*h5Vw^e$D*6kT<bDjhrOM2OMc6Zm@{VCBZcl#=%Gm`2x
zEzf)IRd}CsImhC6UB<1ISr0yQ&F|9Q^tHz2kLlVEjs|XqA9UOdKbp90{Gj5t@uP)X
z;Rg-3!jB)WFSJ~@%F$)NQS_Qi%@q^%k3M!~{{F~SxcspzcX{34xtsQ9h(&KZ8EO`G
z{aKgmuC<dT^IoPfo7exHx=G*k^iG~UkCunO*4OWk`u5b|WWu9-l_Lg@ZfwU+pEzP6
z87ZNyES;S4Bw<TWOwXi=?GueY89p+<_)B7k+STI^Ugy6oWjkyjDJh|)EbN?;lDMHK
zr$$Sey*cGc(t;ivo(U8C{{_|h#7upaoOZ##YVOGk;cjfdPMmPbmyCR&sw{1r`Xu4@
zdX*<ht9xwfb0$vgKfAx{+VMjsn&sX38<t*=<e7i^!~r$QnKv|)xusK5lK1w=teHHq
zd#ll>4Q_6BVcuUi8iuawKbv0tveaz;-P9xL#ga24^pv?zr=%pW?2*x#II;Vv(Wea_
zZgyf`UkeRfSM^Um$n>(5?{JNz<P3FX;o_xtVtuCl+TQLd^s)Y9WChdn&7qa4&V0pn
zqERQgW~{AmUEjUwtLfI7cH8L1MrVG7W=xrKmFL`ltu4<&p75B}uemI_@4_oKyX&vi
z%rZ;4rMK?t<&C;~uxjnQq`6_=H-xSJ9r1ai+8&<gB@drIj9+_t-u}9&VdfixR?m)D
zcvV;D`YW?(nWgOBTX%J<M%CXvoV4~`@<hEoZ0BAbI<3CHb=RApK06#%OV3z;)%VQh
zS7OhyN~Mdp>}tLlb@!-FY=zI9i+6vE`Mpk&US6`Pd9u~bqnvZ!Jlx^;t<cAKndFR>
zm4^9W7hBDI*x_eZ5TQQXV##D(nJXvF_+F&2|1RCsU2ApoaNOKC$^B}})YfIjovEK5
zySvoru-@F9gynu_8=cjoZ>*b~`{mL}zN%L#;<hE5+TU5-bT{|)(T%yfXNLaYU9VEw
z^sH_=q|eP+G}&+4g+TS&FBVLe-E#e;-mRA@{QCBnPT0lOh{y*^hnrM>e)_!F_g;<W
zFCTU3idB<+Z7!Y^lY5;a-Cwe)x!vmKQRcaC>L2d&`&Q^L9Lzr5)bOeIgWa?C{4Dy>
z=Vw+Btv-9l(#g7auADT>dy&F!Tq0U*7^_}AW7*_dpR0F%>*;02y-720RX6szc9Ko)
zWs30pmnq!BrJH&=t!^HynENJaj^8(fF!kROi#u1gch3^9mh8E9l4o(rrpAd@H;-`4
z%}Lzhx6QELN8MUt)#O;7iznsSUZ=><&q{jrxarx^I=1E#qr(n!a}pZ-%r3^ON55D;
zIrq!elYGBkq=@e=-PFEz*3_-<lTROCxH;J~?}XX2f@gm(Sl1s?*gtdn+Ea<oj$b@m
zmzrD4XKcqe_dZudcyL@`^!d+wetk4QwNEPa)#|wO55N3gxP5Q^=RF_%qWHq?_~!0^
zw$*IsoBr3ErpD~~^Kt%kMU}4aM~Zi->{Y2)ZxXI|@8YS4i$ta`x}he1LVSsmSa#LF
z#}}%X%-OEDJ~J}<ew4@al$D!A=5LmF*Pgcesq32D*=wIqwNq|gxo+F8g=Xq;rBPbW
zvANERA1t@)yT{&ZT52QpGwpQ!gC%aM7K)+Pi_$j4s~f+$e3I?ks}$ktl1;65t!^IE
zoBJkVxnJ2vXZ7kE>n7LcTt0H;K;hZ$pL*+-`=z~DIGMNP`bo82FH^W<OE>lES>1F@
zpZjLfWWR400@Z)NSkSq$GkKQy=h&F)xo0l;sY_RA^3D{zd4zjz&cmI4+dlfJTUXSt
znjC9$@uZyG>lFF^C6Y!u(H5&Zx$Ymez4GL2c_DwvrpDh^H;>rP&3U-aZ(E_ey7i8=
zlVk5(ayfcT(AU1CAY$<@izR`!GFMjE@zuywG0$9``(sV<=kSF$XPnob8u?MeFLzy#
zkIGiv=tpfyC;sg)oW1%~nCYH#>g#0I{eSg3HNL)6BI>$nioxGxCS#w)8yZ(WY??mL
zKr27vMAyw~uZlxlw)ImEK9Oim4C+aGuvy~hks}gE54A`fJ@!N5=)oNlM~_BCtvvf6
z<VWr235N=d+zbnp+zbnC+%^{IxNR&naVsoPaVspe`18frGc&g0ly<Xo)ZB*)!psXA
zu1T-r?w|O!p5MMt;97l*!JBIPEqdJ&QQMB)`|jL5Z_<pzGd{dpb)-4<@w^Y4HmY<k
zS16MBTbS`PDtK=7)*p9*onv1gnlJf=Z+&5=@ZohIimelaXCJ%O^(p3R_SMkd^&P99
zZ!rGT;(kKwW?75;Nv@ksyZ8Fp?A!eJ?}gyTMEB1t8l2@Xwes`V^H}^)j9nBSCw!Fu
zl*+ut)*lpWZ9k@eWIX&=zHO20rz_!M3GruMzuw&Y_32eh#|__|HT!-Z4^>J$qx@!~
z_uS{3a<|Jg9x67^J+ipFm$&rhnhFu~n@2W$J{)j8*DUnvl_}w7VcX9pwZ|OX<M>DP
z$_A_JT(|9cY>ThHIhh)L)hw)j`e)IafNwn8mVPqK@qKE%L3Qf+BhUDqmEB*5t!=)a
ze(G6~WDi$=i(+eHN>5UPOHWc#MMbcNh@mS_u;SqhAHJ<+Fn-}3&}PSCdSUhgwJO0Y
zhs5WvxN__{OX-Hr1$t&eR}Ql^{fhYC8I;KEe5L7Q?;$Hw=8uOBSWGvxEl>*+uD^0f
ztLavRX8^l4$EzeuM_V1`75z)IT77xKw9kZp^uEIL<5BI#S&gm!SL>3KCveH~ge>f4
z)cSHEzSZuR<^+9~eLn&kdFIz^OpmK!{K?y76r;YPF`LD-aOwiFbplt8r8ZgZa0w6>
z=X~{$$+31$xOPs$<gBNAzsWdCo)fxqK(fARmW6Ks_i~P`$10Apa}-u|KW6z_(6hj<
zZ<VOo#=xzg;;LH~@U&m$(a8x|*!xwhWP@L;-nCU14(p2k+VS(D`RRW;O!24dkDg!T
z@6nj3s4EfSz^(S|a#L&NmV{(IU5gpc+<Ix3o7y9{JV<_~zEHPE;E20m(TtCt89Qej
zUw<{c{%TkMNuQqqRY$YGJ`%lb&$-s?bA-+2*x9QedY{Q``60DhCx1n~Zu`oKiE9>4
z{c+W5pJZ3bWSghb3r`&7o^pPV$g|6Otv}~@C)zy>x^c*W>$Zf~l>YqV9H+J?u{^zP
z(DX@H!tv*~?gcfkT?6=&IbJ1~Ea^7iqE*Y1exiM0`a9=(r@H;8rr&xhF(+l^f#sgl
zym-7eg(N0aOqJXelIpxvazf6k1G7?sRm0S0uiEhH@P(HiC#LY8d%4kQ&$HfB2PZ#^
z5RCim#(Q-6#f^UsUzlg`WMy{jy$Ra&qNnY6=4!Zn$w}6oc1%Pu;Pg+qbk8@Zcd~Zd
z^Vn+22T5=0<u%>fd+>REbk?fqaPy=<AB|g2n$})9RA6y*|J0|Y3;$;Rb1s=~=V@XX
z<6|bdV?vHmq20}thj-K$+Rps3eaD~YxBs#}{2S$xJVSo9<c#l$8OrCrALRXNbFJpb
z9O+8mM)9(w;zMtzTzC1vtvYjUpk!LDgw)2@QS8eDTgB(`@;^)LeCSh=z*p~`<Y6z%
z>HRwVRJPR7Y5UYJPdL0XW1ed7(*h%{tKr+8KCb!Z?lenMS7rL9MY=5}%2LxOrOc?w
z<ILa5)cgFnwb}fLMPAJJH?i-vYZspHGUb@rpS<jwo2Ko5R#DLybN$!WqK_LdBtPT~
zs@bso$HV5uTMiVoi1ElQV-;@CIC#i0s(wRZgP6>l<*eer?Kow-uXUflnzA80k*8WD
zEn)j1pEp4>TIX_Y-sqgjw@v%Z(QW=t$y0x9N&jdZzIOA^;JGUw=2o1z@L|^voz#bi
z9qw#@w0OV%@7EFWAD!(U1t-Y=y}oIGuW6f|fQp^Kx4;*VS0sJVmSek`qWyFK+Ranf
zhg=UatG{+->&na%NiW?OPIYvi%{6Z^$M<U=Cavm_+*TtbCE#Vz{7of2!@Y=Ezuvo0
zSl=V>q2<Y3o8P*DKFqUMUYh;FEs;A`GiNb(t8B@#4c&es-!4o%WM|dVKHcV-v+LB3
zKbP+x^WgEXla`883S!%yY}yvRaaQB(jk7wXH_mE(y>V83Z+2m*!3PUJqmLSXn?4x$
zZThI-S5#o{S5&C)_o=|#?^EIP?F$)KZ`@~dU7?TVr^Q~CKQ2)oC*JQD%MNLCmAZPs
zsW&UB(QT_i{Nh_PmIun7`Kw{FyzR=d>#sM>_#CLhx6?{+e#~l_b#3{Vw@vMxnyVc>
z{rbnq|H@sTf6jZtmR3LM&JmtT(KGytq%V8COL*nJ@aE=<$FV=xGD$9L`Chtob}o;j
zq?_=S0~$@UBs>GSl{vDKD=OCM-}9Ipnvpb#OSfj3leBQ?i-abw*q-o(?S)!j45#@|
zZ9UI>b<eEKRr#k9lG?@Mwf*K-9$Rc4+`315#f1ZJ_;j5jHz}N+KKGDY{lOor*~`Kf
zG&;_WUht;>^9IxSq-O?8Doyh4UWkbO`RX;J+#k=EM|;1T{+z_m5xa~*a$YM}WCPp0
z)f4<v0%z#|QWZ6d30v5xEgL0b-y9mMSU074eZ%yBeoj2zhgK}yv}~VD`hQ<1Q48h8
zUUQ}eiu#?@=$>qp)hTS0)%w_|inacDN=WZwqhIPW6ECi|_>+IMPBmaQSD>#B-}Ozp
z_y436X#5Fkl;HapUAQpJMbai*BHy`HvSO8iu)j#!!;Ob>Y=UMqw{sPLbV!tYr+wzQ
z?anMVz7uB3&AYjhcPutw-Y%N<Xxbs2J0UZArMZd=ViWb&X`VS8+WNEN&-<XOK5wSi
z=ccjs|BFrI;TKJN(0It_PWX)0YOc+N;fZ|jG|n8&ZLQp~)Ii?+>8i*Z>fvWkJ!tzA
z)ctVRA)c7v8J(-SHW#=in(1kuIjGvYbH@q;esPg!kN3owO3S9qo_o-;)o@Pej7DQF
z<BR{DXGXqQZXjJN`fNe}p_ngWGuq?0K41Loys|!v@7C#~#YQg__g_hTvCe?`u1MOV
z)is>rZ=W<&RcPM-Vi)JJLaA9VC{C1T?o#hAedRi}P{$h4kHM{y6^jgnXN#si<ZiwB
zBPNm0O!Lf9x7NxV3k>96FP#x0@j@lscaN>s{*XPEs|=X4MbeVC9@1G8G^2MaSMdg?
zM7?R+XAT?HxBiUK3P0=OzBB7lZ@|x`k8%TAB_oy?2y=_3B{m+)ITJpk`7&2=p;w}u
zpVpb<oIA6`tWJbJUVN|c=hB)h*2@f--9^(LbsW->37gUD%vD?vkf_I}aprJc>rYUC
zxmEAXs=0Dod;gUFDy&6H{ZX^l${VW;<fEUgvdmBq@9nSRuTwO(<JCTMK)H2h#UJjM
zLV9th!oPlSa<iM~y~3zjJt%H!jmc!a$dm4S{q|3hl$Wj7+<WDKv8B22`P7t$tvxw=
zrU>@WnzOh2o6)BWj&62V|2FL~T)B#~Ord+$^c`PIe+D<V8YM+2C^LUfO{q_s*Q0Z0
z>cn14qauT7H@&ozCk~&vKlM~x{BiT>8G>w&e$IOtc);Lo`O*Bg6U{t+o-GfzxcqQ(
zR8l+Vb>xs`=M4+_y*nCL1|~cz5Q(r5Vm0e?Yiv#qeDJ73q{2dw)y~h&L3jS1*|%dD
z+ScvonI3Cne#u{n=dWr~LW7GB&y<e(R!5<Siy!MNi9h$Anvv;K($B<gyXb)1d5wY#
z+FU<2X>-|p>12|xt^c_^HPv;(*@sRRUXRM(@c&mzsnG52-miV{>djThx~{)IB`sC(
zvi#^{^>bSBn&+QxGUa{bUdeZ{sj+!W!XpOVh#UUgX5TJ0HSgW>Ac<eM;>O?Ik9J!t
zI@bSrz}EMtDeQ-c<l-3?pkYn9#WySj7h70JF8;99vFFjll9iJ=Tl;x-*M-}7i7qg^
zzG+wJ>|dWA94UGJzU%#=qE7e4p}uDKci9|&B~#Wid+&$0KX2EE+sFvt?+d+bW?vNd
zXGKMoQXP}&<&eu$4sLy>JXPt*?KOP5|MnDY3uvmTpQNlY{~5<Ab*rXNEBh9R%@eqC
zEV#)k;$GlVy)c0*hrOD9MO+PBxuH=yOT_0+$imiWtu2Motu@_ioW#pRUp$=0ReNXp
zLJQGoUGca%U27-4mYgG#r>1dOtV!yISAg(sj;us$$Dg~p7x0w{T{)`P^vQb80=sW3
zM9nsYwW?iPd7=JLmFTS-3!K=cLti9K=CZ9>=OiER;(gvl`je=>qvW^JoR41*-M#5!
zEa98jW+-B2Fzt}qvA`RLD!6XXa7bhq)_n8Gn5%k*OQQVb&Rx<s`~&Q^3S2ql*!1b;
z%msXW!dH$8HdRS@2FNcK(aPJQ$&xx_Rfy$|r_TasB-*v|>MSs*zdt|d#vvuH+YvsA
z?A{u0k~CX?`pr3H7q>0^bzXv$prG(&&a6Z>$J{e2E1Dx&N)2Z(kb5R@<#<fzCbdHT
zZQbXT8B;UvD@HwSNW0K{h{sklXMuRD@0Vp8TJ1!(U7UW1?^oar*LtpM35P^^=FVNx
zFB}4dWjV7J3OnYOsI6$$t7j>_ICX*CF2O7A<*i-S1p<zecA=|e?ldpIB|Ia4rpB4?
zz9P?Nt8;yp6>0rx)^&(aFW|;eSFY+EE{XEfmsT76pLRV#NlC3u;L4$Zrdtwj0qlo4
zUnQ|P{?zaau<P==k|Ff{f#^!HCZm|Ct7I<lybGA|P_LEu&f*Q7zeTovXgE~QCl_?%
zs4`b|h0m2^2TYr0?eGiW4z6Bx<rwdw*ALVjWA7-f=)cV+`u)6claWoRmiQg}?4`H0
z4)DCz$Vsqm_03tZp|w|J+s4jAe0Iw>bn9!pNi=S)%?bRqct`y_K|#Zha~6m#)67+U
zH($Kv`a!QpS$biDR}N=2{fbZy-0XSBzCN1s)CS*GMGnRm4vB5eB4!00ht&9jZyXZj
zvbOL_6hE%<Ceb8Nn)x<oRua3TuFr&9E&<Zkoa>uoSxPs~UQn}rVL;*k9z~7A5lf2>
z{xxnrRCClLao>zZ2JyauGxV2hyh-3|jXkq;Lwlu5E>Dm0iq4NLTMYs`_w7(t6NqD<
zFIa!&s7li(*=CouY8Ssh7oL*X7_ze=Z9~%`p5xYnHx3ALectDv$o*UM%_8@7rs@~B
zB6YVlwz{PH=%}t}^$eQ9TDqa<!>)*R0T&BzYo`jxZl4?UV8P>W%MA`Qa7jxzB?>Rr
z$VudBmF-!&p}SDzo58#ly^Z2?Lr%39HAT%>;}TL*e<!`=`oZ9)T@_9N@_(01y6Jd)
zu0ufE^h<Ht3nWXXT7@@A?h3r&P|h{`g=gZvj|(<*?-TiUA%4}O18lbhueek<MMbE!
zMh39Y<y_yb$0aKJJ-Eq;CyYy`<W9GjOLJd!=OH$|;2TGDxuSP?CyIa9c=K@I7Dmw<
z2LhXBS-1soYu8V?(7CT--iKW~6av*>l=5?&`VgR1;9wk~>1uMo>{-x_qdHu_XK0#E
zJ0K<|bmgE>Q&q&m3lCnjF5sCw)rn<p0!yo8Tkwqo23((cMOt;=EZoq0SEOuX^C3CA
z<s16-JG`W3cmxOwbDTOJ)?_8&8z8=z<5YQ(P-%1DmYEB9Zi{Nw&&twrlw9T+%9p{$
zt#M{U>!F%<$3)@R8aau&t+8ts8pJmVA2l;*UZ9rdRlaP2SeoFKgE>m<;>9YjSQF!x
z1_)l5eJNH)<Gz7+d7*>kxxgC-BDrQ;c&6k&;BxdmGj)~viq=Y@ugzUNQ?wqji&iQ=
z)z-LQ?d8g?ao8jC5x>Fy`e@CZq!lLnxpE#D7CH*|SFN~m=&{_y$u)&+QyuR=JHEJk
z!ovQNwSPWXb}ryCU*f=#^+3weH%4_ut2WEl!kG*B^n|V)t!%2Ya1M}PK5PCxy&&ej
zS2bq5Sn9-G9Ga0lk4x4kd|`K{))#}&R=Z^<0=}%DT=dZOyOrW~V~KLB6Km^5zwC@|
zxqcwGY1R(sfPHQXD|)M0N(<&M(32Cma@e`)SH+UB8wU(UXIU(9;${!YNM6q+TM~Zw
z?V{OSwk3fJ`~5CvNI&wO#v|wcI;EreH_ti2DGxWl$eq8O$9qxq9via_GY+YVxthB%
zni<4s&f&Px`a(f4fLpxU#hC9_W8(gj`n?R&H{272tCuD{nf-QB$-$c4v1%If!YZ@u
zH|_EY;J&Uh>;9Sh>ML5=h0ea8-{#W(qajK{>*AkTr8(z4K6g((!4v0pe=nb(-$gwu
zGj@aKcPyzd90QnbIZqu|ZHju~8z5cF@oIs-W9%1Yjr$6MSB^Kl*nOx;Dr3Equxv=i
z!o~Gmxg|l0_uW@c$X^`tV&Q78+LFl&cQCTAnvggl=6uz~DD@@t=IodD6S{Ih6Vg>r
z=g4}j<0v~vS!4cZ4sfqNX3Fm6J7n!c7bhfb;L_y@T-du%tHi*kRj+OJg~J@8zbxc*
z_ez$S>AaJZO!;KeeC{HnaewZcZoRtCH;&dja{acDlI>>Bd8if0$8OHKzP<0_!K*w@
zeX3qFo8OgqYPw!{cU|*M(xRZl3ry98!?zSfY0k}<u$krQUYA9WEG(Lr-VHsq!Dp4H
zgYgT^sk#Tu?3Qk5J}6RV*mTIw&0F-${HF5?D|%<Llp1tHYL1-NF2kgqLOd7OXirIC
zsXu$mGiyJ??H7)T?0YrdEHZDc{Sti3Ys<IEFET^}q|bA_dSK~jTcYsk>&3uDKb>Dr
z4cf}>e&qV1-?MC<?VWjuFE8N6;aslYJCsGO>Pu`oH0HHFZn=JpvuT%wYXJXp&R36n
zoLtY}Si`b7jwQMIN)6+-jS~;?nFZcBT*LKy#_|yB`WsILk8a-3@!?j4Pk^{L=c`0X
z$6B4~8UL=7PZH@lB>5&_r5xk7jopX%%7ShjHsktz!%O7Ik&>pU87=|R^98RQt!S!}
za1W4A7S*acW@wn^!X@*iXY>B>mA%C*r3LdA)a+5yn18iC{*Oye=PZkLPTcAt8IPB6
z{d~L7sjhs*g!}a}`}<uK>Sd}!q)a~_-{`(%he%)YdiU&5i{8DC0e0Vot{l~E`n5w=
zH}7A=w@F;NF<}dvwY9z!gtpqPJE<rz_rV4sCc{FRASVGInfMc;9J`LCHCf$o3J{Ox
ze3d*qCgvjdyA>CX#fn<(Snk9>JLJXV&lwz_(pR!C6?k$!h~Go8o+E>;dXXrH#{I>@
zR}R`W?YiL~AkV(&sOXhLWumukEOfF{TY2HIp6IU|y6p~Mn*Mrmxai+l-lFh=^?04U
z<a4I1#o@bOd=O7y->dm%5r1p#m)z@4TAN;QvOlfrm{;J@%E!0*!eK$tPg6@wcz!;<
zxA)Q)1r2#YcJEf+4ZVsYWd`+A4#~9z-Z=iD?BWUToJI1Ex?j{+^fI!P8ctXs$0m5?
z_;N1M+N6G=o+`nfLND8<XY&4u?7}YBjJ_4vSMjr4InKP(_Xv++lg6CZi7Z<UyB6rV
z312xZ(e!C%(4wE0Ki|F7tDwPu^mB$TQ`TcvN7+3+_e>bSUhwORYN*{ZJ&(Du-q)t*
zTQZ}rP0+&L<60#jU0UVlt-5f0bB6Vk``R}YOWPmJx$k7Yl+CgHg<m4Ot;U;$%ddDd
zy;(driceT}ikQ&fh02b(B}yy0&#`>H(7M2G*S74yKY#ojn%`ac_uVM@g#ho8gqrIs
zo!a8GOfE#Xs{LAV!6{z!){Es%?0-XFBsJ7?+46)e?059i<t+)B(doyv`9fr(*)EMU
zj^V94Uo11=zbpD|ar==bcVUrZ1`(@b4BBJ=&SjEZx8lNqRMA;GmOF8O56yVo$R%qN
zvas7->&u6TR=asq?1IBu*xqTKIU?H{xnr$?^mdVB;TyP$3!M|?)@k?Xn<(q-SFc}X
z(3U>2{uHZD^UsOTxD*aEiAqJRa1!ng$w=JDm8%oDuz9Ig$wr@6xoN8}9Pje@FMQ!Z
z@zQ5%aT;e1dAHu2vGmFFgl&gn&IHbA-^umZy128mI&JA8i7?Np-0x;C)Jl<9=EQtB
z^n`km=%>n<)*7ksh0PbWN(|jv<&LeoaNJ_)G`2A9Ge_#BS|cM?8%Vzvd6uyEP)tt1
zjP_ox&xR_8J}no0EPBj9F=%H)s)2JWkFoORbOv6YZaYcY0O7-&S&1x;xjiZ?ngdy$
zYU?+Bnkw%2^VI6vlx3n<4!Ec?so4e3X!YdUY#5oyw@l;A(U8{48OsdhFW1Z0u`ATK
z7_F;)lH2%T3G?Inr@vUs&zCeAol#iPIFrTHuzP`6n(&olGEG)590J5;IbSUlcC0N4
z)6Pj~;_~$gS=f3$BqMnem#k0N!fs7ywdR`mZ^!a(F3DYPuOltqymSv}`^REx(6B&_
zP3X!Yg{E6SrYzuN6TWg(ps7m2D?mPeg{avD-&VC<t1md!^NZekvC@fsZ^(;9+qrDN
z1S;PDcG|#VN0{Pav7Pe{?%g_l0ncxatOR>UUm1lJt<5Z33p?Nqdke1s`Qul(q;ITp
z622Xhk+_>H_f5dU=DAuW8@*fQ%2r=EK2PI}{36+K#lxK$w%qO+-W;bkOj*D-P4LQ*
zqNb<_#{lViZO&H-qK>g^)K;{cvV7ebwsu3~WGxfJ@K&*BD=!?Y5w(g~;3Uo+`XX^6
zSFO&pg*&!iTR9<dZ_N1z_o8I)inC8i<aOj-qq?HAlx6FNc?-<U1g;!(Yua_gC4hgv
z@Ra_RM=q*SiOkYhG-ljb;lw>VB;#?hs8z(exD!XYM5`iJI@QasUSv?Ovoo@_My2PP
zaf76fipJq|7E^=H1!`)-R}P6Z-J0PQz<!zIRg#sX?HPp?{WG&#e|oHNvJ+Z0p}$4z
ziSj}&R4&iay{6u+Je!|Zv3<WL)bwfMgatJ!Dl0m*S+*8TU0}9O@XEo`rcZ0_9BuEY
ztmv<g&T8d76R0T98G6FqN%YgifYut75CqqN>$Cgr?V%?M)T7Qbq<xsOfag5NDf7Q9
zPjA;Xed@J$%-z!6cZ{+0!n_4~--IkHZny`?|Gvs4y<@eL@b{36hx@p4Z2}fHw`-Mr
z^k|inTYcfUuw+;D>jo}KzEfX$-mML;XGyj24PZ{^$a<vXs5?h_Mek>p(t^eXdU`@v
z4y!i(+Oa0=#sNjqSr%)YxZOiC9<Sh%l?h(h{aEWufm5rU-?r?Ja}Q1b5dK{1X#LG!
z)1FSP^kzG~D=WqBQEBeSyN9M{2o|vwZ?Te(-D-7zL00L$@T;$CZm+uflP_%b=d)|V
zp8u|oT6=E$j;Ois%Ss=bZgBZtq}=>6YHng%n7Kjl>e(}vUe$eb@s(Lwb}9SrExWqs
zM%_KkxAtA~ZoNHfVz1-!(l+?6HqN>Fifvm)sqpNryIS?PSj8XDDrKL&Wmk7^)ZN2u
zYu_dB)!QQ`_A)N-<KCXI^oZ40dDmQgr52W5%DsAv)&2U0tWtLEExWp(?)q>eV#Va2
zvpm&z&saa%_RQszcF)RkKbp73Uk-k{Y*mi$&)1SRZzg3VRa{wml%IP)k9hW}1+~{x
z#GTtk^q6IXcleZeJ=}D`vh}pvbP+xF*x(&8CEgD|U9fFE?cV<+%;bZ`&c+`;pA=fV
zAJ%bAZ>-jgQG2(npgvM!k_?C4c^=<~MFu*pr;mOR(c@PR+ELTvlcyl;KZ*I^^F@It
z#h(~odLg36oE)^nr^ol<CIh|J)5m^@=&?5k?}(|Hb?9kg0N3>X#*@4$4>OCHKi<r7
z_{92i&Nchi(+>MZ^n`1Jcj$cae7NbNb?a%z^&)!Wwv(H)9!Gw9^5{W*<))KIyHh5&
zPd}+9|9025A8`-ACvyh-vR&Sl!OIi8d&`fyhvkx<&TPrTGCavbGHh&W7Ct;)1ra|?
z4kjiSG#x%Tfu+5BAtxXIVIdj2w%5&h30-#FcDs8$3JiLD3M3?^J}@w}I+&Ok+jRKY
zEtd9XQ%*kd)xt7zVX782Yp$@@-#wC2D^_zXYT|>0h<OhTHs~BoOv-FJd}tC&d+$+B
zKK5=Q89gyI3mYAef*&*1^Y3c){H60l$3*|&K?C!Hi3xs9hmX8rX>X0><dZfQmf=fN
zv54`x&VJ@tdR23CXH|1IXH|3i$ExQ3!q})kxi`Nj@%}ui-rPMm_=bdDY2L^3`qcaW
zn^Fs`r}jVe)0*G7du5H<yQQBZcg#PP{@77#eslZE8a=tCpDHWnoO=G)b5@#hfu(O*
z&9Yq+P8~0>n|l7h&Ja7{^x&U5C2uDkOJ5wVHNW}Y${M|@C7&u^%slmcarms+myS#|
zNqkrw``%>wQ{%Y#A$H8Q!9RVzct72I!G7xb`eO|tcI>f1KVwS#o_@ZdIrY5zag(!Y
z53^>aJ>Hz!ZZiF8aoX<?JLb1RKYjN2gxYcI2mQ>k@qb$UL1*guqnAyZXZ2=h=J2gs
zzH#S{iN~HjOp}`3A3e8Jdf%*Nx6FDa*Lay3u6eWO_LpbAk~$s_lV;36l$wywHNCS|
zGe+#&@`9W7784FVeUQL4z1vwcMviZJ!B2}xcO2NlRML;8Ut6ph!*e`n2ak;ZL!&~a
z*3*ZsiRek+4%#7;<NvT|qjKx%!{<cw<abY!K5^vJlQlnNc3HP}C;D?uZ`9R{Q8Qa!
z5cxx?_4KjlnlXH4OAB`1m~rT7qBGa@{;wx_QxYSK!XEwIB~q`~wpBBR?b?!pnGtgj
zr9SlGn%;X^GltJ^X~9m5nKB{L-jjX#rm4)1(eX2@jF^)1Y2$|CZuu#HL+7jvJJV^s
zOC>^LTY2Wk>#6szMH=0h@FFo{{tLqbvx~`(Vip}g^wXukm%CGrU0m2!PfxYVCdTi}
zj~$b)h_G!_O+B2xZ*`|!eOq>?9P@QyTeUouDjgZ$FE=cvy(lcOx%l{z%cA3lPrCH?
z2YdP^CS?bi)%01<eUWG}`GsMD%EjbI1&fX!TIkZ>+uSL~&MsuD_f5UZ=8gB4A2()S
z5fR&_l6p9Ovu&qb+ucq%=G{WJYGrCwI(Iz3+}JVqMPY&V#mA4*f;e(>{OUJZ{7^di
z=+S4D?si{KF?s3TTYk9R3}^QC?F|f!3k?kpp1yYd@tOSl;=WB4$UpP=vb;$ByD5)n
zy}GlSxA$ppu9T65D>sjN=D`D;Q3i!`#B^l1xl6xp?cF+ctLdVU%ZHt6w;4s>HH+47
z$qZcB_dfo0cB?_Cs1$ReS475%XRA)tOTXG|zbbLZmx(GVt`<8BelC9Gzs!xrE-C(}
zhKTdRD_ix}*NBGObG7bS=<6&SUbJ=n!>A+ko^M^B6tlynS66#=@zvfniocb6R9T!k
zlI=9=f`U0rOTSLdE&RLK;CEqZsIS;!dyRkpihbW*JvA$Qvt`e(2hpkV=NI1!yE=8z
zWRrT6&?o!3zQ5RiB(tXYyFO@Dc+}|!HG57JD8`u-7{-|tYQ}9Tu#DSMs2W#NU>a9a
zs2lgCz&7rS;nVoXi;kP`-8k`S^xcTFhfYSEJ+?C9?7^22XOGT|ID7bJ#M$FJC46lb
z*_keT_sH{W=6^4C-HL?Tx;-a0D8!j;Fo-kRs1diNeuG8amW?WLB^ylQN;c}mec50W
z_hqA!Sryx|<5_BHN3z7yj%Asp9nIoPJD#QY>`0c}vtwCy&n8YyUlg6X!6+^z;_LeR
zdN!RayClC{TEVl|bXB9B)K!=G-mHc5-L_tgUu-3@ATXBa$_hEQ%n<qc$Jj3&cvW%n
z$gGNshi+9|JhrR8;^M(y6&H`TRa`uLtm2~kd5b_Em*&T=ZHk2-x!V?Pl$x42$Ia9r
zZt<)c3j%e0uB<S#yS#!=Ei*)XvgxY!<NsyW|El<Oeq*DFfr;HIwYH<(Vr|E}&D@T3
z^SK@C)^j`BE%&ef*gfqNeahY&OltJXa-a3wnkjd6ac)}q5pLO!GwaXzY%0>8ICJjI
zkod2rN!NGnTD3as*0NQzOd2k9U0_h;<Q0hWcFN}E;t*otT+894?IiH}W8AaT;&R{F
zZuPi1&wKpv;q}9hcU9Y%I#1`6KW+54wq5A_6F-grUaaSz{?k4!#Qfj>t`(2g2EAtP
zHOD6~y*A!*fQ?6cgW_TCoFg4f_0q<h4ye^==*>BG?P=@1({lDNFFjwX$NcNnbDo#W
zPj~Q_NM4Sg&dF>t^Vzb%T_qB0zs6gd*DmkfT9juMo)CLZJffeQ_1g2KM&2DE3C?=r
zH#)Rg&7Ng7`tDd3`_06>_hR+U<TZM=$6gvG?9p>pdtvlQdhb@l^wOCh*6Lh-zeT^k
zFm^hh`ICRIe}(<~&3f&jV`FJ$M1rlHXvF;EtY!~98+jvj@5{&Tm)WrFz_B&D8#rG-
z>7E`n{r%UtZ#!0c&zCQeEbyMLxV==e(0#gLdFjjo|H+woQR_>cX3aLs_#1xC*P3h1
z;d4x{H?2NUC8N8+?l@P@fsahmMjH>fo%vII_gMWItqq);|Hr)bnBH5ZU!8Ml>G`x+
zwwhI^C+P0#xv}c>jNDy5H}p<_iPrM2(I|KNX>BH{`8_^z+KdnPOJ5soJh010YlED;
zXvF-JtY!&bjl46X5|o#T-k9-{)$HN!|I5mjoaWd&-L~!ZrSutk%x?e7L)&^TrXGoi
zJ=SwM^-zWHvihAb=Un5=%-i+n{*-^(&zD8BUVB*9Sh_Pf!S<b4#QbVjvj=sJyp;h7
z%5q{iX1KGOJ=}P2qF#8(%fis<cGpWJ3u31$nwLm^+^d(I_sZxYd*rhFY*CLo^<?X&
z{9do){btJhtD8!1geJt6iAVI?vR+HiZ{)oZp5XjV{6+^etJ$;qghpQrmHV4lmR7F%
zzUjDe>@S<^sRy`Y+j6c-i){3rZg{(N<_71<nR!-gyxWzd{!cBwe3I#P!Kwqh=ICvZ
zix-WUzn(kiz)U9TPri-47SRdGX(BgT`oH#-Sg!K!Pu}&#a^2~M^Cgm>cIqkbyO4Tj
z`Ys;}#nWGIhk2j5vh=Xd&j0nNHXT{S^m@aN1G{uIH^_N&uQ|VoNqWPc18r+GHVA5S
z=bTx^G<!qTdynZicYT?$*n9rYT|6_EpPuk@S5L%((=%Fk`TTGJ#rR_H_N`#oo&LWn
zx_#d#oycu^f4SBiXJ@^(yuOiFA|Sz;P3%U8Bdb|jL8GsP(s`?urIE|OZ>m3D75gja
zLh1px*tVQYsYlXckJYR?-J-k6XaBlwM*?;VpNijg+F<AZQ=5+LV0vw^@4zk}jSX_b
z+-uG+VUqq3*vLCGCP8_b$c-5*S<Mn>-J7U)xb&r=({wxKQppbs^_<;a8YOMfOFs6(
zC~=M2<vS*^)A@|IfZ{p3C{HW8{WWX-wWn^4Uw`a7@avAw21a|48xx{g%^sCC_U;T$
z5PtVBe5-QoxtEt7@6oH(dtvlok)E^OOQT1d^pe-TFnYL3=kogBx`qDJ`M!g5`g!g(
zhr5|xe+q8=dPA-M(Wb9A;}V$5L~cx&%W9Ss-q?FHHbMB?iS4Obv2ri=zWEq1-LAi+
zUh+f4bVdIX$&ZWmlIOiLdN@<<@|`W=uUkya-(LQ;e$MPZ@rd^GTx(80XTA2go9XrE
z)J9&5zyxPMu^S!1tY*(j8hw9wz4w^@ch?t-1>W<Uckx&(IX&U<t{#g;r)MnQ<zu0C
z`iFRC-mj?XeCba>#ZfW$n!|gTUK{N{P^F`>!LFX0JLiBpt6555V{b%ELh>|`8!bz#
z+;`P1_U_-j>&wru>5THPjZ&8BDW|<OO53I<{48VBAKTlzN+Q;QN}^ny4Sch?)|`IE
z^m^mc1HW=~H!z<6-=60jCvu}>K3C3}(@e83gx8sxY}M2L689})rT6^MT|5zMPfsx2
z)f2J$^o-K_T|N=|r@y>j=KW=|^};_(Z`LU6my4gK+9n>+F35T<HKp<Ej|~TY^=NHi
zT+E$wVg{46;kE<EdbBriKK|NQ@^Za*KjW@1k}FO(IPT(+TywfZaaWJzs?#lrvwVs#
zv&35YTmgmQxs%_sZd%RJ-=HVXwdVLyrq{(Q53t4PZBPv7s?Rxcl}WmI?E$rz|MFSx
zyL>KH-+Zhb`^)EA>H(41HlM4hM<VxbHN5}I=;7}FihI*-YSm*qrB@aIh+Ah;sw(&5
z(!+&%v2rgjJ>IBSJMV?j1NYcAo2#iujAM`0XvI$sQOo&Rzx7`6&XwQR9Oqy4dFRqy
z4YyZu?_9jAWB01=oy&K%)c@}CzI-7}^_vNIZm`wbIW5y(TuNN27d!3crR1G@wP7!e
z5|-*YhrKjP+Nzg)?S)a|+FR9nw?m(AbS|~C4i(?vU8=Y{RQzM>t0cb_dWjn)_ROx^
zvfzkStkoLv^bK7m-kOGs!`DQ5i$-jA(AbdH8jx^SXyJibrcRBf-7QSnVVw1>*K~xr
z)<mjz%v_xlYxZLAn~j0f?PixqZit+ormVPcOW?FL`Gww_doL`#>6%fvtTSVW-dV25
z*ZYgKs#Nw_g>U5R=U#JqGSlmi$&FttqY{|siQbr?%PQ!aqr1WIHdoG}oW06t)10QK
z=`Zx&JoQ5Af!Md3mUq6Kr>Fexwb8Riy?SA}Q$}+?d7YUU9X;>EOl?rvej^}3)=Vs7
zx-aXs<l@G!KerrUTcf=}F`GN*$Rj4{;vEOnd<5_RiP)z1`Agil8SB00r|#m3Sa*7Y
z=&qiKm8WNf?lQ5i_g%L0rT52V|J0WSK7Z~+xK67GOOTx>7BO9(d(H95Os_xhIKXD3
zwL!6-pF8JBGm~`j{sU@vE?ZxEx<b#k@5QC(6ZDw*UKu@U&{O{Q+UQxUp76ZWMt?IL
zJGpn2%=qWM@&Bn!F8`UbulcfGTN>Z^^+j|-ZCuxTr}Z})=5wuy^bxty@toD{S!Sc}
zkHB-jD@%7S_3ppD>&wo?ryHtw@$6iGy2EjQNa`7fShaed3n{Z^FME0E&EX^dc_AsC
zk*wDe(;7>E?l@3&Mq`8BW$v5<?yP1hL5;mTViS_{L~pd5ta9I_BWQmhaGG3q>C4R?
z(;4T#FiKgdr!4luC~cqK*<S(EUb=I8`AoE4_=k~O@1*vvO*$WE&iQ%z+QW**Qp=bG
z+izkK^LMkFJ@9Mft=}1&pqwXqV}?9e&LLmRlgqEInf>H!xRx#7i%ZMb?|I?7RMNNT
zmuKn;&%KU<>Lrq&TlIwPuBXhJz3=+c!@O(%pW2zZ!A5@S+QY_`aT?K^^CrFjyy<I2
zR6^}M(HjlVS+AWbVZHWdHusvF7UB_`i?3N<db&%`cHPTM&sXU&uX|ziq<)j0vffLh
zXN&ZN+x`SqiA_D%r24D#{>-2_t`ChdnXi13CJD!B$=Y3CdRlzfmmfjX?bJ&o3xcLA
zE-#TRjGAs3|I+AT_Q&5HK`$@Gzm4o?T=iLU#jXa&Ros$mc6BJO>Xux!t0fVX#qvN|
z>}?<@i>bZ7l-Q*gyX@7a<XQE4wa;D{C9KnPe)iHRX{KKCwAV(7t+%T6szaY|^e?sh
z9V%YnP^!otDqiSOYS<n+y}+g9<(sgirLSbdI_HL!rDf{c`Du7>-gIqgWW?)BYgU(L
zPP@8`=ZD*L#q<)%k6ZP8&s|JCl=-oAdC0Ccfpd>%UHz#O8hb$KYO79A>=E@<_1%#x
zcD1l}c^9A4ylOco#A@xF;=UJ`9!}7U<$HB$jaF%9o_j3Yo6D&u;$q$2TueQa7pwLr
zsQUcVmRD!ytg)J}A0qx?=PM_>;MgODSC7gB#~up2xODykosGezeAY+&w08@cAKB{|
zX%%yQ>1os0s+g-w&x^*g#av50;Tr1}b0M|<Ol7RvoZs)G-tWu_ufFzpXVskZvR7H}
zgvFlFz3TdB!LAvzS9$-4E6hCi)3228zSi8ZbC!>%CWfA~d|dL<C~Uf1a>+~MsOgN|
zM{Els+iDh_?r7cB6S?4Y%g;xzAFM2yxvXc7$#b93x#vZ$vigL@o^W5)J!8qP8J(-V
zXDICYGI?UX>a(tm)9vL>$!xrQYTc3RyGnj|P1jpq`m)e#x}AEd<cFnt&UV*RkEHMF
zv0Qh$B{}Q;&NE?ikLz6hc_t+G!0}bwGZ*gac)6;3=CWNa#-LCPylN=}isfRnj{L2<
z-tFGIN_MXB?&se1#d7`WhQOpYozoNeOC=41rW-1k%rvNvIdfxWiRGGaYmO^k{V5X?
zd!X)W>zm-%BXL)cz6p#ywEVws(MxqXZyw=f{rWeP7bY#A8rwPjQK*i0`}~rZoBV4W
zqNb_!clYl*eJQ<7@9f_drzh<GWvMLp!syvTJz=@OJFiviIbWIgRbq|Re8*MX5-WC1
zU|iMxV*Rce{2|jX_!rh^o~vAQWZttm>R!vI7N1Lqwc@$B^mIUMRnMiRk<VXTTEke9
zDaN?VWHm$Vtked*vsMmoZKuyavOHtu=Nrqd=GSG-Wt3l`w`Bh-CAJlMX$xKnv32VG
zRaqSQV%51d#YaK$zq<702CwOQ+NCcyI!(7bT`IZ3XS$+yspLkN>4x2<^)okkytUmd
z7%=xH`-*eR*S}(l>r&P$ReXK*vC_4bdTDE430>3BJ8Q`u`h0`K%PmFcX0GyX|NQ8+
zSnA6nzv*)KUtW419m_W7YU&B|T|E|yPtRCiI`hNSBGt=tj6wOaa@FUVt9Lb6uHya~
zQ7UL0B5oX8%6ZvkdY#k8BbkQZJ{_+&u~aRKx;a%sS5N!YrNk+Ev36IM9`}g-)pH^B
zKtXI9&*ju30kOww^zJF9&ipX*)@D8V&h=u;&LvNJRim~_FQMs`)3MchNpoH$9b2WB
z*z@SpoZ^^H+q0I(r}$|<pJThL<i=X>>9M=M+*s*7Uv?MIjdiCd<U%?Yy1PuO`M1`8
zkLg==?s3Pf8ot$f4<@{F;#;NnsNq%8x7B)y>t9^*mz#EDja9oZINDe5D%r8fd-~~J
zUv@0<p5MKTXUBrm6F%?iu~>e3#`}_)A3#;Jbm;Sqj-__?EA$>Ld*!q*JoX6h)uS=t
zv4>2LF138V=9SDoaN^u|Vd>4Oo$IGgt6zFLIkw8?($e$Jv1~RMQcu*zy4|^)dL}kj
z?T%o)zTeW*l3UYl_l3<pAHIs)V(qR8!K=D0R_>Z1yvqB>r}O_E^G~hw51#+6{MKtJ
zsaxJ>{)f(+75Z`guKN9J+onY*Cbmx%DvMMNdAliKf!utKE9ny*n8mnFQaT-!r*WC2
z&2$j1pBBAk;kwQ1j!fNuD!BdhRrwvO7pmG#-*8x5sO)FZAvtxGH^o7R7?-Qa6h$2p
zjQ6~e!=N&!z^&u%(@6n0bU*gC$e#1M@idyVn&)>m<8Pkn1qV1d+k2)I9BCKolT>}!
za<P7H|J}!nF668~BC(L~eBzpx*khhIlJ~UKs(Dx>ENZEDR`axYq|ABTXIjA_5yi6k
z+)i)y-BjT&{lRxx<&9D7Avt4}H=j4QFsFH0q)cm3PV=@%>uV8y#<h`sj(8Hk*BRkg
z95T<mZ=^Q1*ot}GcrMJze&@&HhO<%5hXl=4Wj;5z2<QDZl&?NvsrYTfk=%uS7asPt
z#J=;o@pxWK?K|%q^*Y=tGM@qt3HG;SDD4w2iaJx+IE(j9eChvH8Lej94D_u%_r%V0
zea>|y(XT9S%>|)gl{W^Fhtd}7guFG1ULd!b<&|;p0!Ddmlaw|G<z?I^X|o)JmqnSp
zJt;Jq<(0ujuAM&KZ>DcJ%*eT0X3B=+ik!1!7ql?<d00GI(W2bvd83|3iF0<K%8_?Q
zjv6^>7tgEagb4_h-PBC%mlgVUQ}1Czt`Of%t%n`DLVZ6&4sp(Iy>Zq@UBqnj3pGyb
zoGBYlvvF3hnZDt?6({?esRbvbINjGw+i)}NPiw>3wnzG(HvaArer2%vMeagA<L-Nt
zHk{@c`nE$easGSNoHQZM_L%7f^+!xOkH<_cI3%k0ts&OwO`6zVohylH7rlkbc4{Q{
za|?a5)PLAeEX4QI?~veqFN<euT7>rrCh_0YJ(8Dp(b!2gP3&*fA-#Cd8;`fN)cSc^
zJXq4=?B`+e$Xjt%hwY(S+0Ee#IDgkoo#}etZ1W58ty9a&-n-h1uQ<%URrb2CH&6Za
z4Tm{6clWHxjTA3?sUOhK$n;fG<3fWY6R)Jsg$_lgUP-MBEs0{j)tinyz9s4_URn{f
z`_Ic|s=uzTli$7Zr61?)brUwc<mQ}xZo-C_yqvS&P1x{~pK~_f#DYTyZ<SVxm;DUv
zxO-+%dyCZ>ovMgKdhRN33PTRr9aoVlh&iM<zuwd0Q8DN78pVe#`mHx?=W%VE9r>jt
zpnayRzt@eYQ(Ao8CT=(%F2wgE>X2f(ip<B}7GJZe1&6jfy|Fvra^tMduel(#=RI#c
z-P3Z`M=5cBw-DbBm4_2f3-#?#N;K~FvUoU4p!z_uVwrJwoH!^hlsI?mOxti=i1WA3
z)Pe)`Mx5<B(+iGpaUNeYrQnd3;<pLnpje321;v7?P+6pQVt=X7w@Cem4ZK2pH#Hu1
z_zLyi)M1hQIC;Xe<Tx&qh4169`>dP$RQFZ;&7F(0yREzy@GahaIrY2P*T}a~3#6@W
zrf%>&bhC1IcDI$A#lqtejlaGKXE?++w(Su)msbDFXMv$U%d8J~7G?TRt56DPKOS}>
zH7e=hde%1|I$L7JJZ~g#Y^j~*VUe)1#d(^iMbgfe<S-A5#HZ^&Z`^Golw>~nwbnvD
z<DKiKZ8$B(S-ocJhVwC;>}MtxoXFvHKQpP|j1Q-Jjn0vG9|Jn>`m8f<u{!g_D)x}x
zWtBIEp@-~(>s4e7A`dCbds-w-YDre}vPf(a*nOT^vCMeqJJDALlV96$?tU|U!*NE=
z-!fAQ4k&WA%S<acBFK4MW@^D9L&a|mzn$Ks71`=tNmRRjUggcFoh`QeCTuuAU5L*@
z@!^EYLT1uNoX6ixD>$U5_-#TpNbOxskXl)xvYUE|{kio*-)?F>Y|s_r`x$abaJH(<
zW={^Iq<Id>&$vwz53V<!oV}~~?vK~|FaQ3pv3T3EZO48+oB#P`{QuAQ>Vs6@zMt}{
zKJ5CXD}mSVd~x$mW{&>%%fIS>t@n2G(m#8SgdMfWS-nndm(Q&^Lbp|O^p3>;KYXv=
zyxp7U_u?b6ZQXZLTTay5x^>-o?mUsbXVtokSvyYqt^6vtTjkB>=tGRvDl(hG4+;KO
zk=Y!7h?D)z>x(z;MBKk};m(N{_WvH=`@i7YmcMWA_MO{x&fHq!v-|4>+peV*i(21L
zJ-q&Z;QRgI*;?*XB!0UGTJ%hAJzcTe{l3xS)s39>XZmc^tv{(n`FfY8KJl#&>N)Iw
zZRVU)CuZv;wrgJFSn)up*yWu;_!GU)F7FKEpV)D`$ZZJ7T6Q{wv)X6!hVvzy>}Mtv
zoH#DjH$(H`j7TBB8M*QOuTK0vB30>k{>1Np{MQ_>PTYP^s?zWKiQPZh11<h2a8Hk}
z-yjtuS-JiH1lh{GvL|;p&YJe>)QR1zCEDw&ug$!3>cnpS#CG=j6MYR_dLNyh@Y#3X
zdAjt3?XM{1HHXf+xjtc(j}YH>CRWaC?e_|m#QDdC_$rhhPLNlX`51D@@VrXSHm7f2
zSM=@qckM)Rwc9<0>>azVPTYP@sxt5U3F(Zb+w>k9c!=pWzm;3tvqv%P+~-Zo`75q&
z2?=+*=U9K#LT1ysC!ucl5;?79Ho7^mPPfo3Y7bT_x701_U+?nn^VSp0=RD4qSZXEq
zCkuVE)O*-)UPb29&KBi;6AI2u7xJ@61pEJ(RAt=x6V}=CA+nX<>!-@P$9+HX`<hfj
z?4gI&kvryWmX49Ee7<(#^K|!ntgACuuIt&;-Bq|FrGD<o^*wt|3zh9i>TTfC+ZgkN
zPuu0)rl2Qst6ko0j(WnFy(Dc{%+w9XO*wzZOfNW~#M!Pht>B0d=W(5>1&54&SB1FW
zi^_iT(^ffuZf!v6le?SU=H0jbbS;{BjkiV4gx1q;yAMvCxc#J5rDNHX+-=}+{~cn;
z7rn-qb?U_Jq4kH->>)PCKjC9{d1v&cwzHZ?c<1?oiR?WU@fpi*R(Y-cenT;F{#+rx
z8>$Z{+!ZproyU3n&D4TJc3|62eBZ3B|3b1-eqX+9h-_u~+KJ*N{d)r6cOOdJq7!rM
zhHaE&W%B*=eR~Y7KOKt%r@G$op2IA!eG)<GZ_0+lD%mU{1)VdM%4et+O~0x1eTH(;
z{GCetGt^BlKUL<e_L;Wfya*?|&(wkwCY<g*(+ke<aH`kjfI@wCe(#<Q=}&Yw@UQCI
zV}0!e_ip!lPT38mob9jQcOH6rtU9b&TlxL(@0*nMPxbAY{XTUzDBb;bH00a9#@Kc0
z#PaC+Lwx1;PJ`3k;uEs-y6!xkdBS#H_nqghCz$Id&3aiBen{@S%A4Z&LyY{;hJuRB
z=ZHg`{%>9f%2w*%Ki#)yMf#JkTixP9WGlC?ohV+~zo+iniPu7W@+S^0-Cw%eW$Hw8
zh2nSTX0exTuTz!YT>mfh`*XcrzS;A)JzKV`e%dd$ys-GB*F{qkzwd34&HJ<J+o$r!
zLeE{_75F{TyWe@|arDIBb3`i-m<JihuJgX3^GW4Rap)n&a21)N$U}nDRb+|-4{?gW
z$qtn*OMjDn_1dqp<Z9>Fw{=zbh0Q%29@cByf7ws_SkAvSzvj#;EWDIeaeeVNVWF}g
zmv-6R?Y~v;_9yBQpR((_PYX}jx^>=3-*STaSjhaH*9)dI3VoB%NSyB|#3!NiaDt*x
zpM=)K8HqxE692MaM};0gdLusi`l_^;p5VEMD^?$y*B<*<G`VO}==^A7y<d^M@2do^
zg&*S6Ut4(bufm&&CuHTi?mTTgVVm|Z=#kxK7deC9)18cC>*_bTm0oY&ATIQ+Qtx3y
zx)5Kb*24~YRhiE&hdBG!R_}Q3cw^41<$HGfoZT^FwN-oN{xjEZeAdX?mn0(=@wL+Q
zani!8n`8S|ih15hTv=MXOFsCm_|BV~I`2GPaKe_a^Um`PCz$&@&X)XiIV9Ju`ew7s
zp|sO#iJMa=Z@9V1^G421mHM1*Ip4Of>g!(oZR;vY@#7)S3+}Ai_}uYgdRO6(JFCvG
zmlT&?(^hWla!Vtz-S^tpmvtRm;-2umc6qlc?1@}9B#*9MlC~>n+J@uHg}&WXdf2d5
zi0`J}!wy-YzMGm4TXMn1PX!yV9rAnw$auqZMn`9SZ4HeNsNcPBgVepR9nV`@&i*<C
zijoSk|E))4#X9fYxuEntLZfIts}lc=_*#7@-twPLS$mIbt=w|ni<5oLgn|=AobEc4
z3(f>_s_XpZ2FJ;s{TtSWzO`9o)ovNqYs(**rhP1NT4;QPv0hpf@B1o-&l_80(;}`f
z|IK)YWukSBT2cM<$4cKV)QaXWRx<zGam8o)OQCNw)Dq{f6ylqq^l-vSp}rp;hYTmH
z%rUsQFYIbz;f;M^rjH*@cqPLZW%|vA$#Q{2``@tIz_UAUq?_(}{qaN`r}dkS>-@hz
zp6KuTZbRe~z1c4BHU>VilXj8Y5SO*=bRK8*n@Jna`*E_rnXqA|yGp&xM&Cn*(W-Mc
z90i%b@f^tfq_wYPu0^P4W#qiM{LMHlTK4+#b$@%+g0DJje+=Jon0eyvJO7{FfB$!T
zc13k;y7Komhu(h8-)e4BR`h-P-Tc+B9`(w$-CJ3=I3#-W?F(1#Mclu6<H8)fX_-2P
zA8JFbcrGnHoe*2ab8+eU#_#pJ?>|pXDPFnv<M|zbPw&6}J3llq`1JYvIghXDulAob
zD>m3U`Eqpc+upZt|6CAGi`*jhPtUyC>ga{?RpQ@%>*T+_Ec%moqIJysnV)Cf?K8K&
zB^50dRex^lJnoBkKHQS7jgt0}Wxs#kvE8}yMbVGH^B8z*R&U&Q<m#*i+GiemeKV=o
z)GmCx-7@3W&*<M7(@*b|vekP!<NQ$>?i~}76{i<H@7OUX*)Y6F=i#gT)%{au?{;rE
z*`u3pVzy<QNzvo&clSTKviLH0{P(o<Z0_0j{$IZLKVrjFF0UNDFMRX&>0i%Vm-b8T
z;JoXRM&I5pYdbf8>W!!+vfDgM%gai>WM<avKJu-e>Gg)i2X?*D*`U@f7BT-Wt64(-
zmH60iYoD=w^HN-X?~cgXvu~>Z$AA5oe)rary?y7t9ouC$HRSrmUunB%p8s}z&i<84
zS8hHjbN%wt;QHm!?U}6Cbj-4HietM^GCr$&zao3xohD<hHHVMyf4%eMWv%-eIfbuZ
z-<t7rcm3tx{@E4L^|95<EzPdV=5O1&b=&M8Gr#Vh#}abV^XvNk6Xk4oZ@acG&MWwo
z%tY%mqB~F9Osqa5zB6)fw@t#s_f<kkx6j|p^_zX`kox}Bb|3Qd)-O5VHh*i`w(^oq
zFUr6CyT3csJ)PURI?gv^-N}jF_38=js-`;@Z@f8Yy^XH!+L!CO-tGEzx;`b*EYZ~W
zP0sr4moF|~xq0<SqN(nyH<=f^W(9|DJnY4}d(E%A`(OO^-}Pa3?$p5B*Y5q;HFJ;l
z<u4z;ubR2_d^E3bzUfluEEhSeo;9t#x~1z)m(TxOx&Bsv?Y*qp&6EG_U#)p{;yK5;
zs%}^1q8j-Yf4-1<ruuI4&fiUY|D}1?ey)$6+HJC+YNqw8vmWoW4&V5`t2p25a^n3%
zJHGF_Z+7=%p8L-mAK(9deeeGsAFJ7Sckx|zo;q{wzP%e4uH5`Dz4xnq`l0Io+rIDD
z&`VZ3)VTk398aqE(#t;<Wc@fcbLFqEzqYxtMSn<t`FwHPlZA&bWHs1sI1<rl)g!#(
zbVXy8kHCuh^Dd2SK0+BM+?jf3=v<hg$>b~XEqrG~Y}?cBU8-&2`(>B5TlEO6IPK9`
zRihCwKah!6LifT1L#AE{?F%ysnS3SY^WR9WuuC}qChxOo1)nhMD<jVZa`K#4(l<Gr
z-50z-F_=}#(0761W!6~+%JLh|chnr%ck}Oa?GLhPTvt-3)jQaxabJ1<?*Ey~4b@D%
zJM}Mguru{mYFub>|8Fc_aNtDE0mshcKe?FN)tO3v1}>2EXMOeAX944RR;f=;3k2;s
zO`a`v5dQUSeZh(LpACnF{(icyUh>0Xf!<%%SB47pn>qr2Zjm&$;uk*lXI=I3=*HSt
zv*Pn}-zQHqW&Xda`qJ9^8Q;n;@m_g-c5S}#i}hK2S*w?oP5#P$UG7Tqr6vCZONHL7
zITJkj{_p+O|8H|;<o<4rG`>1>^OkL8v;JS-zHR&R<!@%)wXoiDz5dPL_fIyhpDkNm
zocl1p{o617z5frd=33spU2QXG#>3rBpLEyi?)-J0_wCbf|N85jKiMv~u-|fhfBpNv
z>$CV*=D)qXeBIKATg|P1T`8TRtbN%;yzSdB{=NUV25eZVy7u4MZRgsS^%b4$cCP+=
zdYx-`=%%f!*Lgcv|6P6We~8A#<s1FOC!XGU+0<lT=>1!lZr;mMd;3kzSmfTiZ2#$8
z;cef3o!|R^Z`h{ITm6G;A4|XOm6cs@x_y@Ep{w=b;^xVZ&K~o65hH!;_5W9Y^QS!e
znzr`ZmE{-L%=UQ`BY*3(lv&cn?XPB?z4tdi=Gwyt_jjy0y>NeFWdC93>c6+w*}Ck@
z33gtsnP>Ijgtphrb4E-1p03OA)!b}k=Gw>q_G|wxvH!NNv!uLaWR<wum7S~pdS2gT
zt?YFtr04MWuEP4A3nltrcYWNsY(~TCqQ$X%rw=`zpi{$lO6kEm9jA9Elpbx<Ny<B^
z^srrV@4v+B#}-GIY?%@HwChB&UboG|Nk`6_6a_xv6L)!66!%2#KJP~M8J<>ZyZ^4)
zxNhOby*t153Z=(|9(nj$aqqv<>%|O9JvuAb>m+|WyxwZ+>G1Vhk2cmn33aUz+rP@=
zz@l(b&Yw?0U6sW4t@1e26fWvH!+yzi`?vR^L%Ww`Zr`}D)zZ1@Z}+-%3)@XcRVJMJ
zd|oWg+xGs=J843JPi4{~?O#t#RZ82p$>+@R^*YJd4zJfr4*PdGKJr-NE$!1gd}>oA
z`!(0=B$x55*Af13QTudDPkrjlj{3s&I>N@^rlty&?OWAzv*1lds$@IwdY#9c{!LA_
zxwdyx&-q*7(>NnOpE6Qh9UrNdbag`9|2?l)dWFxNWg6Z&b?5ALtD++ekHoo8oo^JL
z_Uy|;_v`ku+n$Nd-l}^4O-}7uoeQV>_g;DxePdd5)|DySPvyzqdVRmte&)%kYyE{?
zX4gml*r>c+Vy$l4w2rm9PY=C0vz9NL%WPk=-^~j*E_}@Mw%y$o^>g#~%R5fJ^${=F
z-R(F3-{$Jp-RUdlp3LIfc76Y`dy8h>lD5A6dRA1>p?wSY{ww_6wlrwNdFfg!>8QCA
z{?6+9;Sy}fZ8~*>L*TlZpG{JiS^4ffb8*VeyV<AGCoZdJ63f;~>0G8XElVqH<}#sa
z+NYj;5#4!u_CM>rad-K%ySaC)k;=M1>6zQ>4D;qYJ)f@rT)aD_>dS1|H79kKX|rCs
zp0j(N()!v^!S+(;>c5%Sy_K#iz5SYhwRDDP_2$cmRy<sk?^Epl%3fw(e%jUDaoo!7
zw=-A990-XI|NmLcji>(o*YmsLoZen~%KoJE>s;Tpx;*lE>;cKz_Z>{%gu2SK?OWAx
zdP2D9M~OeHl<b=0LRAtTtnO;zk;r@BligLa@Afs1{|~<%s9e2o^S`yf>`HT0?Jg{J
zwvXNQ<?7PorxRCw`RO^Gar4)#vtGG7_LdtZFIT+#LHG2!kE`|F^v{3XE>-{f@^&fS
z={pYhJe=w4Yp!qTC&rhY`q0QtOm1=N!_O5nG??U0C_UMyqx9~i(zA6sLhpDcR~<>+
zbt7u>gZysOnP2L>*PKkAb>q2Ru&bPb>l4Mvu5yNfPYi`!<{89wy)i9bTfc!RBY$<x
z!P{=x2B8&amMQN2=lK2bF)sh>0kc_O)qi+7A?|<r_vW)&x*Pou@%>hPQ{-?+PFUrQ
zao{1w$t~Y@<_NAh9@h9PM>yj^S!3H9p^PJWjmO>yW*oX_ldJnNDPo?Ljr=t3ou;+w
z9}5_FubH~xxD@B_HPZ?XbUpmpw!=nv*7J`c3+$v@rI^e(Oj2t5wwdoa{pn3dUe1e}
zw|BSI@9N{5#&^=^jN6RX$FF_!_uPyNpJ(NtGXJT>|Fmf5U!T67RGcZfedo=$29n#e
z4?Ulfv3psyU;1|m$?R*z_jz7^_1`5w|NDz=c4^C==al`Qxa-vBuhXt=|7li!?cDX(
zm$!RZ$oAGBoAgF%;qhH7W9PhGc*Sh{Oi{MyZ7J9CYA>zttbg~*?}hGR(Nr^w!^VbJ
zRtRy2J~!}ReJOSJvYKt#T50Xegv`9(YV0@Ncx2-n(-nn$$3vfgn)%AcE`08J@m1VE
zf=U(D*|PN>EqRsXw^HxnmS<;*{%T*ftXXR{-FTJp<JeNW^ic5+YhO9-3ywWvdG+X=
z@YqAFXMd{dtvdH`(yJJ~`qk$icfG1vw@UB9tXEF!R_i@#dzG{=Job?9S=O|p3#>NF
z1kF92d$saT;M|@4A?fcHADkKLb#$ifqbrF&MJ|37-4opQboJ|hX?HzuCF)H!75y-A
z$tAXFGgfG}a|T(TT6N^|!db;X0!#J$L!N((C}r#q5&sxa%E`ZppRsDr=?Yz&`pKQ|
zvqNY3)cBX`m4~LgO?Xw4w_5MPv{z1b0kKDXug<jgF6ETZQa+SC=US?oXMf1t!yH$4
z@`TSlZgBM{PiX7`0bQ2)8*e45E$6w$$1Sl~HZACs!rKiKF3#$bSh{k?^IHpiE6hyh
z`(4Ucl(o?LJjJrMxOVs7ql=k0Yaf_rG?l-;ljqdAAF)=pxt#}B6-z9#n!a$Av3Pi?
z-QiGi1E*3&<xugDiDg&sKCRl9lr*Ix=c%@WTe|$C@Ht;=<CB-Xd;3%8`Q0}v7nf?^
zt@bbF`yTrIlS8Q-f9Uhi9;J-^q2ixhN(Jrt#CC0e_IuO3uH#|5zTbRshE2KDcD}ph
zgj$DC?Rwc?uP;4qPMo>CaOe5TDHV1*cC;Ms4xdu+^QEY&P29dkE&li4)Ghz!to(P=
zO!d#5{rhgV+vQ&Mx88FmZNe?{$KOup=~dsG`1|05Gu~2jZ%OC8oWFNs(fe&u6KW4#
zym{}^Jpq+JCMNxdh4fY4e5m|3(~))d+P)UsKJOdPXS6W)dDUAy>1a{r^R{?4p+%UF
z%R;Nr0h|dp`5%(2R(-SC{Sf1BRhdoRhXk!vbILXe`R&kou;Yj-r*+Jf4X0T-tLIGL
zaNd%Wy+-}vgnU(*!mvYz_dP5g_B2cuiub<pP@8l2oM{`5YjXacGo|1FCue(&=EDws
z6`9Q?5ziuhB+bp2*ZWVqRC;3))9Z~p4*b&5+Q2wp<i><nR<k6>#@?Cn3Bu1_Udx&H
zTr8s9lJ#0@S>x9kp$WBVVmBK4xpPkRFi9KkJ#frNdjsd~(rmLUx4G6FzQ*)=)6xS~
zHBkw*X5u#*d|Ay>k{f$(1SBM16Ti_?y36$Q&09>bH!M4_YmMFpxz%m;sbVwkZd<%}
z-`<CJg|xf39PWw<df{_F<EMgoWcjl9n`$%?r@IT8S(kJEwwYLPpdT_okS=6at-`6k
zM_|Lv^Z!*6+ouba&72TDN#c!R;GwkjN{O3&CvLd8(BnpqpNfpJ*CEcutU22rB&)nJ
z2s@<rSmljj+#$QkDl#7?wm8?Td0QlPwIna|vPhiO;QMHvUShkXv+??aQwq=QeRDb_
zbyk7>?^&)h%kHM9@zmOcY)#WRtFwV`GuImL`%JGdu0QarCLn?Nm-vkdjI3r!35~sy
zAqm24udnf(P-MN9xFScJvpeyouFuV~5~;@<ZDy<8&&;aZyma5zrAxn_J@-Y|vHoyX
zsC~|*d!MRm_udqnx$H|#*iz|PVqa?3EamckBe>%5y&BfEqSMa(Yb#%D*5zm3_B`=K
zpN+}s&o(_br?zwMF8+|N`t9k$n>9}so^G`HVlkuLuKkn2g95o(y`ge$GJjXU<8Pgo
z=skO<$MK>wYmRJUU%uwZkNZy+9DcfgOMltS+4ZY0Cdc*tJac|y1FL0P*lJ5L!)NAZ
z-IBADIUnbw>75bXefHU|n6rk{vn`($+8(dTdlGTryQNr>@o}ZPAE)2x@737+rRmP5
ziHG05nfUbdN0s9;bzAsLcP1<M?>76iGktNxZ!@mSgvA~0W?hvji(8J18Hqn!*()>e
z=82~}du{SU>+AVeasRxtE%1P>bnBh1fk*bnr~lfpc;n6^)9W|PJlrW$Z27Z&)~i$V
z*FLZN`h7J|R{h#_f75;ErfpRZ*nC5HMdWMFD>`3UvcjsEOu20vXO+%#IBWF&`qlmy
zOS!KLo!@-mq3hT7ZCVSCth^QH<Js9?pRL$F)2wJ_s&fBLvrjXV>lZh$@81}3!dcqY
zW>esqWNB5KpT1^t?U%!B)Q-rneeAPxxmi(VnsWbhvrm<YiyP+Wh<v)_CRn?+&*1d?
zIy0{y54PW|h}pO4k>Tp)|E?9LoisV%rpKK7#PEchU30F8!4Wq_=UfrPGg&K-U%z(a
zlV$S6hI}2NqH9Wm_oGyvS$Ef~%sI`MGkyLhS0DYk+dB@kcJGMU(s5k1`$x<+j{~CJ
zEiqd?j+k~IiP`RPs5eJIPJgrOnMd!dyDjc)={U_V_Ho9?>5-2<Z**l!i&9CM=Bkty
zt&-N~D)j7Msv5`qeK(cTHXEK-;`^-oXwv~DId0uYpF3Td#3EHvHo7WJi%>~hS??+|
zEw!2B#dKYvDG_;s?V@6Zk(q-1p<*8+b0;>Kig89}Pwa3M>y*ry*rIq-!O8A~7^gSS
z){esl-8*=;cO2*F{?W6=<3PI@r)28Hj*DWQlF1WWHr`ZF`jy`8qIxXyNa7yX7`2Ea
z$%|ai<lRw9Q_d6I%)Y(jW?l4=H+yuG%<AvnR7he=={}OioF~{Wc(X-nch8oN<LzP}
zB~vFhTomJ!OrF@WQLIxkePYYU{byMw8W`MuvgAn18^_MWF5NqPHgz0#7yCFfXJUh<
z80XBai5;0@oip<$wn*-O`%i1B(aT%r(<StZ+WqTq{}pwU%=@-^lR<P;<c>F!TaVX8
z{*aka?|Q&7vPEW+>ygCBBQg_R4+U;?Kl3ieMxl1`QXQGT(}$iKM^@D6anILJ5i|%F
zQ~aDFXc#YM$el8AL%<us{<V)7(>DEc5##GldAKP;OwK#y;pPxA#?vW+n_|QSwNnH)
z2Z?d6J|g$=rkY$r+P|xt3L=!br*GZ#Awr#d{?|<$H|iC-C-iRWxS`TL<LoAnh)>ch
z-I=y^v(HF9a_N4@p~RUwG1E>TN^aGu2|J~fFjdDX?6gu+uTIjnQ%Z?*ZzwBTACWW6
zp7b@zH!X9MqnMs_>cfqmHsweB3hx|yBUE|l-Tkor^2@u+_uFmxX}-zO*!}J0E#FQB
zhxz?oST_4!#QnJY^*8RFn|5Z|){S3Fx25r!rN-6oZp@YZQB@mVovgk*dw$TC?OV3~
zo3lPkK22iEzS<|ReKvkOW~aI>{o4{Y%Qq)XmK-)oNq;s+ZChJkRoP7g-drc$H#Kk1
zUVnX0%g~TtR_*D+)9#fo3@!O<mpw^5aD``0{oh{mC@ag)?A*(24d0sAo1UI=u1)Cv
zA4O)FbN?1D6F;^7dF;x!d#6l!@x7YcvL-=!`aiQz5(&!l8_l>RQWj4*Xx1f>w0Oos
zGcSp6zjJK(!q-;#bhNK|?6dN)S&?Oua{pqpPnKzm8y=f+{XF9)IRCcBGj3_sn#`k*
zi_Uf(U*qN%aeQX!2~X*rb2d#po+(}bvnF+M!}?s2qGN7?_ak(_?#S!aoi8oM8Idz_
zf~r_&MApO^sbZcH^JH)6-B0VD5f_yx*gjRPFfvuJ|Ek!>$mEF)Tg5md(<gR(73;j2
zFtMfgrh?7B(_)6F*Z7JR-pCQ0?yvi3<54BMXkDQVXO$Fh>k4f=tYm1dJ86Tqbj$gj
z^*Mr@jc(-%w%dvo-pm&4uNC`vGk;<Ovlypk#>9??Hyg9UER=O78GQOaQ-SGR`f9`O
znf#mCXNI29=-$z@t>d^v_m7^f9tSMCTY9#89AW7`;<Lr$(2^VhMe$9JGrk^ozuA1|
zQ1T|%m}L=1l2^IbEQ?f0*yZY!7NPPex%<eOEgtoU*5n8Xif?vwQB6zd?~-{IaU^w}
ztIe~>BkB8GnZzPgQWm-@*=_VVqtvZ(=TH1hg(SVy<__;aANFrL@^G4KOkVVn$9=9f
z@1j&5%yV^m7p?NB(KSgfO66goSx3w1n+lVJ`Zu$y^7-pL`n1^9W?s~h=QCZI=0&SK
zX?0bq+vIVk-n(1n%ir3wtG54&YZ6L#efQz*`|N4AZTB1rjkJiF+<Lk+vf|E!*7He`
zEIJciPXtA}=uCD!QxvJPMxgr0Q|pfm#{1VzX+5kJxns?=*5gu<Kh{ijJzy2tvSzyL
z5w^%9Ia6E@scm$(IoHWP<7CxloilZwYfe7jbAnl~!{*7N6Uut^oi@)loe*C4L^|r`
z;j`=R=1z0H&X;leeYRP7?EBT4hR@9_Um1ii(EH5t%Fy2VvTyP)(IwHwlBRb}@=njW
zpCcuGEBbcCgqxD3xvJaKudRCe_5q)?<(o5el<xAq`&FBp8hiWTT{9o+WdCnB&Xs)&
zJ2_jn_wCoS_UZ9or^Fsft^Zn|Rie1L+BDjR@6W#K`EwsGljlp*(ySMJd-T<|{oi7J
zRP{RVJS_U!l~&Oiy{TY_F86fnO&@k>bI-5d#IZxad&1pK9XFJ_XYAeNaYOxE$7#`z
z43jT>JALS3gH8<JDacUDX{84pI!=A3lpf8{N$NYT^w4;tyUn^T_8BeTcIwp2?3>Vf
zditgh7K+^SCvW1gQ0<;@c~i#^FEPXT(@GC}ZYbMbcabZIeWGaYBKL9ciR8R4n}_Y6
zl(#=i`+QvAN9o7W*Kd34zja@a``sG3VM@y554}k-=QNHzejW5nS*SAD&*o+N3%mdN
zt*kP3+IkO)_Uvdl9{&Gn{I`G0qZ+T7EU&ly=YLdHMsDw-meYsBr+ldRF#nSLij2H<
zuY9DZ{Y$@VrK7n)ubX?#@kRgV@ST#%o)!Ab)ti0sojnCXhEW1}TE{pJc^_@h`z37|
z`LWxJxkv9~=*kn;bM(IGE$7;M{G`y{>g}a2@ARdlZk^p!{rzCv)&q&x560CWe`B<2
z!4b7?i~5``9jDp4E7ol9IKS)7%9ygW#^ZfDA8yWLWxTyfAthVPCFf+*4FAmviN(uO
z*U$8e$QEoj6*KgH+PwGQy2!wiiNALBU5}Wm8S?+LTUgYRR#CCc<jQF?s-~rSG)Kzm
zZtak~E@qf~Qz`9F+R6D-e;iTbdv2U45%g$FuZ-W#6Hk}a_nzTNQl77$EApYW*U4^s
z;E{AQqs_~Dh58~t?wGTgYi8)-Kxs>vjT28NO3yTH>aF3srSYJl*Xi4BjimX#XLg-%
z<E&m&VY6>D*G$X$mR^~*=;J?YvL`ld7UTSROi3_ZCrK@>TcxIS!}a^d+4r<w8ce>p
zL8e$@R<fRR(V658XX|fy@tiVjXS95F$^N*F-3g=3YW2N!Gc}^5tzOQ4dF0!dZ?%;R
zwq1yRtNSNqqFG$ZiQB#FKmKu8zyI#<dhN+}zH3$IrT#0cs9G>9@6vv6oi``#H47pp
zu<Cu@d>}ba?8lk2O%0Zj0!G%{N@=N%XU^z&{P>ZOdpfr6%$7TMJdBOp>u21~s1n=Z
zbDF7tW#j{6Rc<D=bjK6!kphOA+(~L_j)z)&PTS5)iOS2H`EjQ58D3*O?wDg~jmKx`
ze2|>W%D8xw!jr=~9TGEH4YT=E9S+TM-m3e(a!zLDuX(L!1os_YrBe_&lT}W8(}DC#
zF&3TEO%s+z3T#y2P7+IZJhaH?G;_UNYLwiJoodA{4>lNZ$B3mg9{=MrSCQZNd(e^Q
zGxrU@8`rDfd7bGT-5&YD;C;Z?vfS4h*}3(-JKI-%uZ?#9rJcIoaQW{wFLiU%{@<Lr
zUpB4OeDkOB&hwiNJggAgA#<9ke{tl4VwGZ{=`&~Ux3xR>=dH2w=kfzz&fHhLY*g>=
zQ-Ai4tg-6n@<Y$>+6MpI{dcR->AsZC!$sXY)@<lFeoEQ2acTDQ!&@gV^Iq;8negq&
z*5!KobNH@^d0zMZy?H@vZRCYx_jn9S->hVPIV-bVY{t|au^C^}K$vaD*Gu1Y3T}km
z{`M&=bFQmQT#3I~?2)HCU2Wz?9(lgfm8ouv$BBC3ZWo(v9%q!hRczjza}s^D$@F&0
z<eTy-{6;JP?sJWK7kT9II@g+a5h@S1xjN-Vsyt%vo^f}(tI)plY!5f8O1F4^GT+2L
zQ>WgxdcyhTH(yI`u;g|!OLaVQOsC`K1Xj-1yMw)0m%Lt>eRp+t-jjl>ZhGN4PYQ23
zrRkQObmJ4xt$$K<&`oZ#@msCsyZW<k&(mvGyZSAuQq*MQHjiB#vnH_S_N-@pDU@+%
zVO;(G@AtKsH<#Bb*EYo_<;Yp}Scd$2lgBnqqG-jRkN0(S4fFOl$R6{$k=oN@>*jqU
zeM$@SH!q8n{ubpjZ;Q0)Ey88*&L4kg5YchB<RsrhzTm3eEwT06JZ~hgZmHeoVeufC
zvpr{8!4Wmi<2h3b4zVegO?Py9qb9jl{FQ-Z=G>OpYhE{!ds}M5ye$%@Le@gPW@J2m
zXIjCbqs%{V*s^hLoGnupw&JkLrhJt*MQ(@W;=OM?*XCrOGp*o+Ca3$HDFtUZIn`@4
zkGwN>=(wv>x}2+YMm_6$5zgH{(>5G;7y33+<zYjo5Z_FNhaDe<`XtpKwrq5I!}z!L
z#@VFGo`Cj9qyA|dPHS*h*JvcppD4s9q4;pZL!mwiwTCkn3i(MW9g+LgC{P`dv?0L#
zg@MN*J!aK6h7O19{;JA+Xl!x*<!P~KeM|B+Z;Qma0@W_|ir-coiCS3ScOtR3B{t0a
zM)K5_+AuGRgw_`4Y2Fq|Gh33Ud08ZO3RL^VD}LK?Bxzyajl_v9v33(T9CzaUtuvwE
zKn-X6naKr5VmObVnOJZrNAX+BboS4!lZ7_7SP8Yy6e{}}aR{_}(>V4J<7E|@qPH6w
zl-GG%JZoz?`|3|Y-p1!fR{L+%d+*==JYk+y%n5ygGk5CZ7RWtkdG&d&1M@!7j1!TK
zZZ*mmW*9U1{-~<gOXv^$*>HKi)%oy*v@e&LN-b3b`X@7ewN$*&pwBAxsntQ*PCVmG
zI+L%(JpLQc57!(>le3?;{(#S8ch*-$E(_$^Szi@<EMR13l`3*rAo!bAYP0`>XZ6R<
zvdb74$#0msr~XuU!n1ecOr@220sZMrUn{jPG|00`eR5eK*v~5U*<%4G|7XVbo)hdj
zX>Ztna-C_ZjbEVmo#j<w_yW6f7O8^h1&Z5Qq&_w~B<FEmQCVAaK=ANq#@U&_-1adU
zd&i5fIBnWk6(hRhyl5j^j9A7A)ke1%k&OB?N{wnYllU#tBJ2{3&GpZOCoFr$%2Ya2
zKcK&o>FZ4G3k{Y`yfbw#bj)Px{TZ=<)A$pkI?q}59JMDee{!9vvGHA?7tH#~&~<^`
zWLBvU6CIq@I8BlkIV7ubm?YMe9lix#G#2^jp&+BRjaAUUXNBC;D>RGR_qnUSyX5~w
zu3o<5j?O7pIfDl)F8EA89`xFFp2v;n?wss4QwvTQbGqA1FF3=^ss5*A$*%=#{bN_^
z)jmvoaPies!xanH?cKQUVJusu$={zAn;k6XEa!`l-tuYdt@J9fD9zmbb+2Ef6l}Zo
z?bOMAC&MnpS>6m-o%1wBy)Py6X^OgW;ne$gDt;C4))$>xIB%8hwU>Nfx43Gj|KGID
zH}=ZI;_k3>{~~i&_=T^CPhI_%>uc7wrgZ`Px19>}D?7Gm>g3vB=~cmeUvJ+ExLdR$
zJ-fejxAWg?i>7XlUzr;$UTTqf@zhQKt8K5!TC41$bHi7pZx$_St-7ccZFy6*JIt?a
zsaeQ&nOB{+0`?ZwuehGw|8<x1-+POuZoa<`q<u%~#Zx!)ueH4@ZQb=QVp&G`is_p~
zOIml`1nC#+4)fdgI3hRZX~2GqJ9De0ew%E)c75v-d+`hZ_;&Ym?)fa+cl+sFub;JL
zKc~$8G@XC?{@Z)I&9|A9O_RF+GAGOM|Hl6jKY#OQ-<7VNHS1M${cOt>E57md$!XWi
z?^}E8zNh}>3y*hR4oz|HOk7ngvCe9`;Hu9OE3Gz52gDxGxZ2ti7JG!{>fWR5v$AuR
z|1aEl+3NIMGY7j3#&5Sc|9pM@sSMxhb2%v?&kI9J?T&|t7X+3niie07#ufMK&IyjS
zzAvlyzt3Uq>&q9e+&c2(&kLV=*ZFN#@8pE~GoRjD_{qQX)}cF1-}$H3FWGi&OX)UK
z6SM1kZ{Pco{_I{=K*WJNDg69X>uV2c1w<cR{77h7-|_ZS_J3DRd68i})uz%_F!*%;
zqsmM18|(J&UFW}e<&(@p&fw#lYsAa8?91b;)#EBY((U}swPcm&vipU<qby&t`c1C)
ziFci;^4Vy5%BfY8QtGUxS;t=Yjd;}hN@m{bb5Cc!vYEH)oX-0Y@edxQiu|GCA00~b
zKL+ZBmsl3>_c^6HbJJ>-nVp{+pQ?Ng{`afYvVHoh;+L~`SMHwcZh!S>&4OJG|5kBJ
zF4)!4ILp{GZNjTtl5@O`{8UfMoKu~-$z$>vfuHp=!rJ7vP4<bLp<?`I!(8V%Ig=#g
zKt5IGyKSBqwP0J<#G|S{vhh!hJaRjWCjI;*>guDbUZkm_8#Ft`Pi(qD>6}wfg_ciB
z@#{V$^D*=*>z|N47t_`ScA6e9y717g^y5`#?>V9?4x2NTS}F(huV;Prd8z}mAGgVq
zDGthhTqgC;S{#J;one1dWE+1)_hYNW*=KGG^yYJ3dA!5ntdQdZM(NewALXPrIW7=<
z%__CoZvkg^{}ZNfJEr9~rL#HjV>+L>)gd;F^GfnchuUczCJ7rIoW(dz9tk%dJ0qTP
zXiv=nyYSD9%k2#&tylP)DA>5`jM$3fK8?R>6fZQKXO$|7sb3&?oJFeGWdUdVXU5rE
z)9fA`Skv}dl!cF-^;MC>0=eHTuQo?7V6<kH+T^@IFq%c`^GXL{x$y6ELZyoA<O_a%
z<-U4c+4f4}9*5XtoL7?HT)cBq>D<%J9%srcXMD)z+cwQ&viH%oYLmm}Cx1I26wdOh
zAasG=b(U9!u?y_XS)@MH?{#p_<1%@~-gxYeP{yIRH3#(4KfC%~+p8SVzVvE3yX}?K
znGUwoxUZzQIxvTEnWRj0P!8iZN$Yj+y>^!OVpxCv=Y=!Z?AD8KJh|&N>#I$U3*@9(
zUv2hWz&M*#>eEVxv$mQSW~^oMy)ljd#`E1Z2h?8Yoexjg^p3A_*Bg-)$MxzPXQ`-M
zXs~7Cy{Ubnqn4@nrv8N%=ARAB=bkc~MSf4%*YxFd4$CW}s0DJ#EU%2i7BD_$kur)~
zASlctWgNJGbMhy~*=uI=Tb$kXlk1ZHKEV~IMH;Jo&d%3)<Yd=<B<9TFmeV`aO1Dj!
zG0i$Oz5DQ&SJ4Vt8J$0c$|4jJr?(1ytN-D0$gW!@C(K2NFH-4Y$IRWVGM_iL2&cU{
zcl@1^^NL$f9=vptRpa!ZyaBudcjAWgDV*#zDi0@k3iZv<csPSo$WKE5$h(gl1$KKL
zf81hqDL!M;hSL(9)jiWToY&xFuhDooVWLo<gyO>)4~6_B)Q-F}4(_;{@{HF>R?T$%
z^!g2_tstwmr8wEwOxrNCQB`JR&>=%@l{p(?I&2fB3GDW~bh^dLXIh+A;&fG^ZxK3)
z#rwT29td-`>r5#)!o)e-cS6fqJ&z9Clx+gLPhKx>wemSMPdRb3@T3jLbsqKz7Prii
zHV$8~?Ci{IraQkb?fdmiZQtsBUeV3bJ9n@CRxdhR@6OFbb7OZt;w|-^bNc$Ffa|B;
z*68w<E}Irzrt7y;SNu&>(4ABKWxASXTko8?K55(2qJ7i0=-z*xt-1ZF+p=lf{x9GB
zuJ_!p`NgKe-mK;(g}#T@#+(ni*XsN9ddsQbB?X^7-cH`p*S751rR@B&EnD}Uy&Aif
zpLzG$=(T6(rqyp>WYvCpRq@U#nJzc4n$PH-z`3+(cKx}dv0M9h?E3h6z2W*_ZY^>b
zkC&XRnYg{kam5P%Tbj?ypDyyhKk;J7qsfam6-F$Pn0~bDV}z3QW>*{a?FZfn?+@-d
zy}j$B#qQPLB6F@T>0Xp?^0dG$SkJj!`&6=rn(dU(;$-G#<ChD2?$_rf$F5_Zvo6sr
zW4mokP_SegbLevehf=-2#lFRt61VOAWj9qWr|l;D?za~wCK;dE_M~lcuv}WS>pd^~
zg^NBJyxwgsUVGg@dgA-(>k`d^4E5x-jwMgYIb%>gQ`$)M@rTJtF>xBl9#`fanBr@7
zW?ts0hbx!G)Lof!d~(dGf=h|t>MN$(o{=#=vn@?-V(AvsslQA%+VV$NPFcH+=j_y~
z87Jpy`q}s2IkN6m^y;iiZT?L&mv%4LpZ0E(|E-Hh?!|apMeUrP?dk3w%>Daw`kM?x
z(f?bl&qw<n-!HK9aPh?5HmC0`*EHX1e>ZpQ1w+}2D|y?Jtv(!oV;k1CtV#Xb%S)1%
z>PsG^mszCVJN5XD?d7AsuKh*2hO$3W*QqXJmVXu%^zK09PvdFU9~}3!KIPk^U({Z$
zRK7F2e8J?h2Xk{?e%oa?b$YpY%Ca5jzU}&T%;dX{NI|;scH_N4$6o#ocr;B%rtZX&
z8FGncR@EuV->kX}Z_6H?A={sHW|_NT+Wo~6o4*Fu_uTxeaV)1nB>9^|^^tRhJ2lJu
zZZh&u)i$2}K(VV(a*ag4W7kK?6*C$byEtF2pV7fDB7E83khAvbxsN~M-pOosty?M4
zp8Uz+%TC4ahS!@ocB*l2{ytqgr|kbGgWvycw|<XHPp{n-V>0>8(lgcjcI}K@*>&gX
zsf}kidFpq+TkBSD+%0!@+tz3cnTf5ZANp7sD8)w2P^-<Kzw3-_Sm&M8t#?(Hul8hr
zYaHHkm@#t4oAV|w&+htmE~qx$o%^-%a=p)OC0DmNK9GH@n<G-O{NZn-mpjhf_GP*=
zMgQKsd1d)(y<tDBYi3nWUG8IjKhb~POTV2jql-(epDxQ6uDJE(EmOV!?0wePOVo3J
zovZm+bh-TLtame(y+37o*U<O=&fR~UPu|_~cxzB}_U@SyQa-!%H!eP4m2*G#pXE=f
z-(kBd>URJAy32gF_08%v*XMuxC+rx0@A(<C-9^j4U5Vyib6D*66~AX!*xv+~zg`zy
zKkMbf?b24Kvm2df${B6hyLj`|v$m=A_p@?d>il2dscf6NddpN_+uM^wN=mM6HQDp+
z-R<?}({8NLY+v1F^!>4@?@XPIyMLcuv3~Zo+5df<HcmY3D!nsi!^Go1UopIMn)}u_
zf5z71Gad_>8*e!gd#v+L@}H0I?s-*KC%Y%_QnL?#ds8m!+jJ(=YVXEbwNKxzd;jd$
z(bu{8^;b+yP0Y6$?EJNE%XRCsdg{K1eRWIc`tqtS%Q%&|YFW&(%u~s`mb{uB{kr}f
zkLS|Qj-4}S70n2(oj!f7*PXTd)}AR#GhAUc{r9TR6>F^KtAn<9ZZl4q@JfkowO(4s
zE1`2Tp}WqcZY!G+=~i1DQp}egn(j9HmCe53x#umfvd*awk3GS9)iow0_KfOP)tGC$
z&LsC;OHC^*bzOZ)XZ^aY&|hb+9xFLu<J<ZtptgVBQl-31t!LYo3BB`J_37E)_pANg
zdtTMt&DE}dw(Q84S2|IrWyM0Ln@Pq!dKnmKVKce)ba7<Go(Zkz{Woz`Xm(H7S5&P0
z>!i{%=17$<;?+k|H`Z4)>^#eM;!xrOofx*0hmtqw)U=&YN?4)e)OJ!SX@^dd+X<z_
zB{!7iypJ%SiT$!rN5-ww^rwz+<$<D!?P~-ok9bWyZX@Vkf2jXzdVj3V+>PEnc4`Uj
zhC*eM+KK&z$9H|tG~Kc8-*eS-@22z~Ht#C5TrAPQzWmy;YtQz@^{qWpAFfnxv3K*g
zM<JD+$(sr+w784YPak@`Qm3YFit7R4$QGMvu1Az3kJwCcJ;c1xJ;tw-eTMb#eL82F
zoW=CYQy&((i`i{Y6)f-;OS?6}^@wleksAH(7U`t^ia8?u2Ib#MBQ0Wtvp-oXernKn
zmHX6sLfKBd@=Ustzs0`I-<Fx|sV_>8pSt0&DCh2&X&a93I<xmi>*?}ux6at!PnP)>
z5`Xcu)O5qE)7dv2Oa5~4-TKMdS^tfTPfwS~6Kqd(-@V;9cF7SfY0EWRCZ6V!uGHB+
z(esQMS47t039V*b5ebWD>@@TGvG5n~4ACc_R*Eh>uGak{XN$)Hw(gcS+dYm%bst%?
zvEJj*tEeQs)}4#2Hy=qndv2CNP*BpuUKzWM6HhxySL$q-c)mt@rs|Sjr)Re`lKOg+
z(r#-cP801vt+jf>$!g==Cj~d$^xAWt6kc$%W6u%UaNkYwcaF%$>u!eCYmQZ~+0t>I
zt9yoPi>uSLNR_0Ou1V7(R1!a)d*@p*e^>dedl{?iYs_jrN<DA+-Ue?VeY0-ash)xx
zp}z8wfk~5-WX!aVr8XzoT+=?5p8nPJ$QsTY3ujDt+tqnv*^C)$yF70M)wb#-$CRDw
zHEaG9ywk|o%v}3e>f|IFGp%Fk%}GqxwAG&cSASzWPj%;2yS)$ml3LvSkM!K}Fy1WT
zsjbW9v0tUWvhw|LiMUBglOK7^ejlIte((2t)$jLy|F#80x1O@8-KG7Jd1vB=dFm6V
zRv2@?=YA0K^;ARQV!s1BE~+Qo(OA+fywR2Y_|vCLf2HUd>R(jvzPV=p`4-=(B{^4=
zlAFaWUvJfjc>ev#?fdm_zeG15t@HOvj(9Tr{l1^?;`ilms5eO9;buB!WS<b@_||<*
zOu^%l<MRsIe>}|R_0!C;xVbU&uWDXFK+$2wQmNCNt;&7cGMASX95QST?$er6=<;yR
zVJ>l*PrpAu{%&u2Lw#rB`X|$DC+)7BQg1!WyNA~;BT-`KY2p2Uer>Ma2I8!1O}(M_
ze$l`8^Q}!Kw06!`s`tv1)Tun8@V|HU{OlVS4O`y{%&q(J|ITK+1Nj$4+WzfZF|F=b
z<)i**?He59b$M#buG&ja&Dfxkz{AaaY?DHQ(ld6SGkY35XGj}p7Bi_OmmPR=hHFOC
zOe>3N_jYxj4lrgqW?-A3bD2pkDeJ%yAI=#qCmAOjX(kw{vH6^9Y0#{fSl=vs(c8RG
zGArhQ#IaYCkAAtLw6I-F^X}FYFP6Fbh3j&O{l0#C-oj%nJrBS6o!^qaBAPLIhor$Y
zX-46~+VonLe~;OxcdXOQ*|BT0-8YlMX5&fHeycA>tkaMwjC?r5n@c?=#NuhwA<5^W
z=PZ{O9E{v=pQ>b~y3_l@q}@H*JL|o7TJLpV>gAFfCDHeDk(W#CpXKYkT;^`wQ1eB9
zm5+<Oius=DcRu-j>e&8oX;^1Q<MfVyD}*je?{}Tr5%+tMm&^LHYia@a|2>#@%Tl)b
z<ouP1Wycr265n!ArIlCssHM(kmfjhf8E1GLg_m*9GV;C9v$E-#kKmSsqT^Oy)uUK{
z*Qfq{S9AN%#U{4LM?ape-<2@u_-c>-wV&f>OS|N~m~_WrSKVaodk-pV%c41*XNyfs
zj&hN?s`RWwjI({KhvgF2V~)B-d>Mfo_9v%5@J^IeRem(-k6oqO^vB<`9&$zNDwSmm
zZuahOnH#m_%>||8-C~xvw`knZQWi1{ayydxP4`p1kKV@oY3W^(it`V(7=QXP<?^KT
zIg@OjFL}bMZnZDzU+4b^$L9MsNyU}D;i+|$wh6dV5I^VeC#MS?&zp|f2%9`yxj@sO
z<!psc#yMq2Zn>(v8uga%=85mfil_>dpH{cFZK?I6AI~<F+<ts@L&@rM|1<8*U_1Z%
zx&F?Fk+zdV_8hE_)#WMQQt*Azya?@wdF^8F`Z6Bob&0*(m+>&KSM1%pjE8vvwfiEt
zP6yw#H`lS9kY057QmwAe_bnYeR=b|b)fLLioLCgx-6I|KB<A{}2eW!SjtEN%n(M?)
z1*sIRTsGtQCrzIUmC94jCynJi<`u_(YO4OaX@$-+{r8_%KD<=F?iTxYt*6yxe}dkM
z-?*^&aeA(O@@WT0Wi}3}%kdXl>YA2)5j9!dzrb=YtL}@ZrHf^z?RvkCeVx>_cUM23
zke^$Z{qJ`6-93+I-?<Q4<Sl+u*{XVv)G@njdA?syubs@d-7bGw)a#rN`@Sh`<37Cm
zmdmHf85?X9cy2Qt+hm%cw2h_S=ghMP&l@5Jn$pZ_$<7C!tl^*0RBB~0ZTqfH=~vg-
zdJ=sPM1-;X99h-S87XPNsm-L8T6rKvhkM4HrHsKFT-eng_2xZt+uO~UykmjC*ypJ3
zmhh-0d#)%YPZzVa-l}oqVSN5?qtibd4Cj3I{cUvmW`p4zPKnbm8#*nX#+PgrtS{JP
z#x*-j`9aH}w#Qp*f*-Q|RyBVUynN#pO_@TUhcgy)smlafJl$|evR&ky<%)uXjQj1M
z{yH6ZlDArA`<rPtk7ACS``CRh`ulW^*t=!F7e&=uu5EodMQqw++51T^1)lyo&3W>!
z@ANx&T~FT4{c?YYS5bJ@Wxdat$`4lD2Pv+9)UA~-`^{zNwVMwnh_yA##>Zrd^3G%J
zd6;=1Vjhdnk;e_4l_Cb5{LE@k9S@}3;h!<5nlZQ_oc(x_gL$FkE58FfW(FRJ$Yb?6
za<-v!r=S7nb!N4vQ3q0DcxTMfW(+QfWLHnxpZCb<%F+hIm@DetH<!B}iqj4HmN9W-
zboZRt`Y4e%U)FE8b&lnbI9z#uOZo~{#^f3D2Gc4Tg$>KyYgP1~^A(Gjo%wcbUe-4Q
z&!WRFrBbJLT9wnZWQ?63w!Gw8?h|a0yy}o;u!!D_H{X~0xCpD5_e{TI<oBs#<G;m0
zs*4PxB-}s+#o{dnZ!R3#ba(#>Bf;FscQ%9;ofbQ(TyOR}KSp(tFS|{k>LTB|ZY{yw
zFRoKN%-*c<U2v+mp;Xr1{O3%mYh^X!zqxNcC_TRZYE2{WdaJry&y352Z3@%O_X?Xl
zTWsNch}|*x9`~$|ei!C!ZxY+{@&~)1-9@b%uV0rhuT_4~YO!zUqi=T}hc20awQ{G(
ztp_Wgw5iMP=e>KV{_f(~{lBLc^luZJ78vEyb44kwMU3<CRu9Q#uEzp&i`p^*4R$<k
z=iDbU&D}^z?<D`E^dif;1!B_}qg=jRS6Vh-jI(yD$IHd8Zuz=JRhfYog58dYW*aH#
zZT>zXy{L2VZkymUDkp{2yyh7>e(L!+>3PrOJqbB~C&&BU-^H2rBC1}#e$~m_J8p4R
zb@C=>pML9`GgB?&NQh(dGLBgWkr$?THl_K9n4~u?V12wdZ;Ezaau)a3Wwl0|*4gQ7
z&1dNmI&R*+bfVn)wxxolaeA$05z+SbCwa49&0O+`S1da*Vo7W2LNUSYZ0?h9mC|~}
zI9G4=h+OJ=%uBZ@EF*Bko^2WRF`TEfZt|Mz=uQR|7(u!^-dj2%*0`Qg(iIZRnz+fK
zyXR-rlQWkWC9Lc5IHCnATFy=csm!TdHe>21O`izG%2P!rji-6e+Z^(#NflhQq+i{}
zKI@9X=5OW(s<|8D6A#-J@}1^!R9?m{RUC4mMY(C2jF`#e2@5RQS?hHz9+WPYnf9&9
ze2vt!cUO-8{MOrGd+*~_w*z@6b$6@u-+1{kOXBv%&#UV{f2|MxBwv@e;qU3`ZMoL_
zf4$%TFW-J|&V}_)w=T>-o$Wn;+I7Lb(Q(JWWL3_+u=#1JSO2u@l6Rxyo|j}-#$Nb(
z`t;VEzd^ejmw2Dfc78tX`m}!QeKqy69~V9?J@b3ob<X!uam)AQR?hvn>}lz_*VC@E
zt}m-8+$k8nZ;#A(&HA5DAHF;MchS?WPb*Kq?#R@Ao4jn>9={ovK3Sy}oqpZpsk^>d
zZQCBb86Q$hlFjN@K4yCy9VdNk>z-{rxs|ep%bu2=c`@xe=i;ci<vlr-a}5_gEj{;P
z+I80Y!~6E!Y4MGJwdCN1Y1i8nb=T`QN5{GI{Q6X)DZRgD=EjGor(3?>UlX}u-Q$@3
zCiSH~jn?}gy<Wfi`u;zEUT^2Q@$=<s%l##<zGN@Izi(;%ag9qSYaRCgd^f$w{avKH
z?XS*hv-$GAEpDCtucXF%eW=NcnjmTOr#Y98^{zj@wR4ADeTML7#RMTcHXpC&2Y#oN
zaL$;sjxqSc+Z{Z8PdD=QNo(zTJ$a|&V)p|PRct;k>l->>${KLiGO48&97wUTS>}6g
zaf4<>jSrjpqltWd(lh40n7mW*)k?d_GtPf&)MBg@T~A5s8u?{TEDq^zI;_6ub#DCo
znrGja)b9PfGym3g>-c(>o`<CeBJQ#DoHndGvPyO*zif1n>?8JY>(Wf&8)sjNY%9tB
zJ>{8o!OpxJu|?ktyx+;?o>V^fJ3M}^blinQ1sBDy?s}-I%QJoJh8W9du^~J2Dng46
zo1WCYuG+uj;r_zv!fPA5Zz--SJv_UvJL~R;Y5H~VzwDLXo}Zn#V{z-#b@gI`-?wz^
zeBZt5M)iZvqUHq$d(1Rrdf)Zln3}QS$nT!eV`nch9V@a<P<qGWbLMV?=MHfL&F{==
zj~x#@vEiA~<bKZ}Sn70;tju&@x!03-=6q5~(D7$hdlY%#hz<XY7IDVOpR^K;;@Ex8
z&2G@FSlukV(TDwb>g4iYDSET_TvzX|k6bt3-+ca6rPQTjlB>6zkX++>FzJ8Q?ae3K
z8w^W6X07$#ogT}4?1FBB4*TElndjBo{jOYguzYB@Xv4!9ho)^4{`zsltsE=8oex`{
zOq)E(ea^T1?BDUW8HpCpyR|asTt8Ic$bH)N|Dsb%3L}dSho02Eu2NpQ;s3GFOA;?s
zd%vBnUnX7Gt(AG_i|bUAyv)QKPepev-SFm`Qc}N|VRdbwYH#iFY~jg8%d<m{%L~*k
zYrEJK)mC1k`%QSQ(w6@_&d>JVsC@L}*`+rE<<_q&D49Dw`w8zck35OnAJ^U%yO%Ia
ztnFpgj-M071dX?L%v|bvC`UKwS;j=e@a{R4Q6hWZ|5#Z+Q@=HJPR;|No9%u1`?qr(
zcGuOJ`*o$#t_;D;q1`QcQA<j$D=lspv$Wl+@j`X}#Ey@f8c)aV-D>dV;-O37-5qsN
zN4{KFN^B6*WZrs0Vo9rad6WpxM8VFP3erb-+p-dGENe~OEhcEarQ_yG*F$=`L1oz!
zH^z6*Vg59+k9*ol|9am;E|trc9E`49b|h6(H)h%;rAL#*45x4P*s;j<RH&}ey3C2i
zJ035e7wvLzV&DAPe}k6zOcL~zmYJIF73;aERoiPzhlSpK>*P%}dBw{-;taMvmaqD8
zWOn6Sm*eNJ)Gce9+!W<k`e)T=^(eiM^S|kB@G5`a_hZk@cjr1D&wlxCP5trJCFSeR
zo!%=RE`9ZUe9`v{vMXITJ>o4Z`?IsYyCAhyY~7j*ho*`~?bzhh-BKI1<jr-Z#|>hZ
z>{~S~u6)cs7SHuPbFyIJ%Xb@WCVQ8=Z`mMoRq4?TF~i&_6`AWwPg}$!)wiCoT<Ur-
zQ*vU*X(J`QyK^U|7ro3|CN?cH%H_<pdZn~JG0x(x9y6D_9;?wU63YnO5a6bgwmIj)
zrMpVHJl$J2=v-7vS}A6DdW%QII@eQNx<+AH6E}NxH(fPS;*3sVp8oDyMq<S3*3`LT
zg0HuB++5&#$W1rMEOX*Vr|vn^qeS*h67;;OCB38MdldI!X<eQCtsNEW_pOs>Ke4>6
zs$YNO;z#ax^DB(+?iIiC!7<?U@xxn7!rx|;f4k&2_1(A1%vHB8zI!Bl|KC-!le%|R
z`d{c(`tY9=uJW39$@^2!J-PLl^5-kZvptvJAF_Yp_3fz)xtEIc7dhJN^8D?u*}39#
zq{)ptn<_ut+%fZYV6IL$liH)K14m-GXSBR#oLr=wU{s&S=5uasL*dk~fs!((9b{#u
zFPi>(@=lq(N(nmJ%xX!Y2ac@ao6%y*IC+y<g3&crpL4$&G;geE7B=)?Kc4#9_gBhU
zd7}&J-IAbA?`B=)pmq<-<*vsnb&K?}13w(vfA8DUtGbNIH(t&Dw)AQ)WAY6-gK2Xa
zg*Tq=kJuVmo_oK3`r`!6x&r5y?-nyjf78ghxw_zpU2F0;?KuSxUQBlm={((f+cI6$
z{LIulM*g2Vex~mg2;tt&y~RN1i|f{c-5%WGrkM{{sr_Gcs`d8LNz&6i^CI;sk8u6(
zU45i9ZNB=d*4tC-y0adZP1Ro&v+<Vj(|JwWGq)6|UcUM3b3`ZGVy60I2383=$xLcV
zIR}pPaL;J@$T-<Z5z;+f(x5qGP4nYZZg-EQwrVma&yX^hmdPk=Xq%9f#^Q76OoQi4
zQ3J`%%xcdo4v5UTaIkRc=DbIn4xMZ;oO4ON_~|yWb$6~QrOptOJiPUU<U-ej9-wmV
z(8~tHIUjwi!z`o?+KL(LlP%>91jWx?bAPm{Z{Lay4<{X(cACpQCeY&9szaRD_Y|(Z
zwRN}N&WCMJrp=z@e&<uZzv++r*A5k27r*+T-ktlfnyyatpKdMo|7#~nZ}ZH%saJV~
z?|<*@^0!v|BrhqhI#}O-f05Lm-M<z|{dqrUrLV}T-p#*d;}`w%;T8Y(<4RFr{e=$i
zreiT;CJ#@|i~4_i`@L&(KUEg%ewt;mZ>L!0-N&Kw`By4;?zr_}*^{>D>a2sSc6fJ0
z?Pyui>dh9lqcMQnd`0HO4W8Xo&PJu=TvSS5Eyj8~#YJa(D)aP9+p-gHENoq!y0u{G
z8ZpD&TRm<pc0CoRYxFI1;^z47CT1fg!Sa-P=IO`zvJx#+&WjX>e`=|owEWI=o5zh$
zEc;dTBVzySOcQQ7+$7b<k!7isam3Iu`B~{7fs5(04E8^6@36aAv^CA~I6qVEp{orW
zHrgp{$@kL{I&RM1a#U_TTZ?LGoSyy8nVEn8sFr`e6uh-M>LZ)6pI73Hm944M!~{2Q
z?U=dPwf<0yZqT#LiH7mrb6BH9bZk~CJqnuN(;+P&cG7q!W8y}!Jg%j#M}l;dre#jt
z5Ys(HG%97y6{Ym4V(*fbm4!Bjx*g%=$bK?&*8OW!(z|A=R31t>8NAG6o?+;xIhm8h
zee5HDcT96l=6B?^W!?Hk$Yjy>1%|aOzAvgbnJI*v-u}0KkM}yZ7R`I?JEQi!eJ>lY
zd!KK@9ixCx9gcGA*(My^+P%JRW{$^Ozu8%=D-Q<f@*Ix(H|yQzi~^+u9)ADq<d<b`
zvpbG53V(h##f<Ykv)WVV11TkZG0}e08#G^3MX?<>ifKQ<YjpR^$vYaCZ4-2Cnba1g
zA2{-bYevgG#>tn|6Y7nAvHAGzZ_s?PzFFANiT!x5IrEp3cN&ZX=If+Qsk0My%bsZD
z*4?u)>PgS#MG1TEPyW{VMPa}CTj7@n_hrua;OCS$e4$|@5BH3Yhjm9*J-vFoI_kJ)
zu<rUk3*8M5A89t~P8aFhv8LdRXshtLYguBK_wA|jEi(6<bf?JqQ^)MB^?R;8dCK#9
znpoTIeHrr;vmZWt5*6|8r+DbO4e!14Din4;UHC+>|Bv}PA@BaZ^H!wY@c$!rmFM?L
z{af`?M}(hp1vNj9l8CE#oUJN#=IgvGrzD=S^rV&@a5=*@W5!Iz$p*>^LCe^Ej%{f;
zIaA7jHF%GIT&9kGX+ccbj5YqxHXT}0->}h#XGRAnqp-1Eg3vKmpHnv)PD%(HST1H>
zmN12{PkUym@N`i(ww}cOc9Cb2bcMt+CvFPp?qQ93a_0J?gn9LQ-$o|JACUO=YniR~
z8%~MC?;19~;hNDg_nt<4*ePofvz+i7n|u?^djl-ex({*A_P>67YgT3H<R@*tlcLQ$
z<mxxx+i!dI!G1sP!%^`e&Htrzc~)=Tu;!EgDw#jwUU?CUJ5zT)5j_3Jd>z|=*4Z|J
zy#Kuah`s$U(r!N`y!rp?;H{lbJNH=CPxj~i%(C^2s7X@a0>jO$zB9J2y!QXw)2qk7
zF1fL9k5#bD{TSbw4?nKzzx;YnOhT4{Z_(i^RTbLz66*JgwUtIiT+0&N=+-^sYLv>F
zOW>xM_LdWo>s${SNeZ48xp`pemZeb=(=rkx*SH>0(oGV}did;7)DF!)G0DAKPrO{}
z>gX>yvE$^X#?#5RTMCTrE0@jioTTnE#U{1siR5gR^EWjr54QbE-#AC1MEJ^u&;W07
zM`bH+sn3Or{p*G8a@M5wW>tRud+e8LIP;<7zZx#=+w;p$=Dv);y{bJ@0{5;|?mTei
zLGIr_s^)uE_RkY7cReks$)mhw1J8A(q#0s{&Rabs7P+2E&^2PqoM;@--Ncw4;t~_7
zCB1`j;eN5Ux~Pa>S%MecyJy^sQu%U8Y3Xt?N!u+aUaoUJ7$qrKAKrJG?d;P~-I!R#
z^G{BdpN##ew^RGBYI*DKC+l*i-w}Pgb?&uS;mgA~vm!KCq_!>)Jk8o0sg`l*lJQo?
zg;8;_k7A2V6?)e9=e|9?x_i&#)laXsPKp-ukTbmh_$q_Z`lpZnKb`(+efaZjcV4RL
zhEI?>bMcVD?$n!~H)j}VCh(ZoN1yt4{#cQ6g3>y6pEFw<Ja<SLXofSXJuW)%B!+87
zQ@5^ZcKgONmlO+{&#=}SnV*`&FL8QjL#Ktbfnhq6+QX6qOXhIR=$XtoxmYQItMBy#
zmorxm7C!c!Z8uZ8pzr16qh~IhPd`+m8?-EQqG4$F9L*>ZpKsNd>?Yd1`o5%AskZ)z
z`CH+ahZ&PCL=2`iGYS_T=#SXC$13mg^v5BZvzk+{PkXppR(h94&dW6gM~+WhSz_Jy
zb3wtO-_uSecb=B~W_>c&S7qnZ-Y0_V{|bZ{zX^|$i2Jo@R^t8(hd#t`pEmx#=#=EQ
z;FG-mD%)+Q**u!~|5#{}<n5rN(-+N3wEqwtVqE{`_r4WHM{WJ5XnXL!%Sznw*tUOa
zM!|+u?MIspWjG{G|7+;HA#Pw;&8(K_e_+WQz8O8SjFUGTCvcT*d2_5p;&iU;oM-cY
zy_mdn%?8~BooHsYB<}-9a`<Mn_%cr3WSC%N#sY4#L@aL>F7#$Up4z(gSBjpt%_a5j
z%*#p-XVi;nx^F#UvB>pYqAnNT&+E76iOR9{JZ#LrwfWHB2E#kt5~uGrbndwP$(&(n
zCf~p9qGor3ZWIMS?2zU<9uscyFzWQT`p(k_|F3$|radWI&s(nWBq%@E2XY@~1?A^T
zU7qJ#Hq7}7%FpLL@+@?BKArMJQ2j3`KO5eAaKC=vo~k?ffxQ2OYe7WRKfcHDxBgd^
zmYf#ybmd*lvb9IVB&lVA;bB%^iEBolS(jGLR(@TW86PLB)tA3+8PCUx-}h(btf~#D
z`}=J7{QCbZciPJtv^6p&O9t@&TmM%5_4?L@^TpOxT~K<oQq0hQi$}#8*Hg;6Msn8{
zhwAO#$yD!D6mDOku2tEb9VM|YEAi#d$gU2#s3RrUl@`tx(~RAE;>99YzjR$LyHgzz
z?@s>P>GI!^`*5|c&igGL6{}s(Nb3s4Wl#L%)!j2a>dBr9iyrLk@i-ED^FZqN!%;gt
z`^ECkEpDCtDMN5`Jf!u0RVle&%yRcujT@@ULdEW8^&Qf0t{mFn%ze5{S7}?O;O5Zo
z7Tu^NIoFgPcZpd}->R`gMR`&|w3$cDscVOp=IH7?-`ZiZ-1SVPuF$!RiA7P}J;6~=
z=3H6ypryy-l<rN1(w8yZuyOLK@8=c<f0`pbNj&EMm#g)Ee%0qav@dz}<@fjdwV%6x
z{!=&G@}~ZpS?T6E7D4{MpDsE)Wt!~tt|&EbDMQZ-Gdi2pd@^os&b(CASypp;o|kZV
z=&@hZY^QdaukI;)^doJm;kC!1Qw`IS*CzdV96Z&~Dj~*I)L`8zE{X6+)}Gbtn2v=;
zNN4vSm47?kEQCAUJ2NqI8KfbRF>ymg_Y~Kt6rDB4>-XJ}ESP-!m#xmuMBmf<BG~gc
zL~tKg($(SK+7Yq9^-PVf(6h{mM&aE(mQhd6TwC;@siz}#YtDnLX`iEZyzCdt`?c8h
zh@@_kU-rZTukI<6qf+KvP<p;Yj5XItNpN)vbNFVN%ZCa=xK9h}D)D6t7JGEJG)FCw
zxuBH1U(E9N7LEEF+R8$kgUkv{Ps{1@?B2TJ%_XIz<zj}`TRd*8b3J9IYxFB~;^pw}
zCOab~PHm8RU#=ax;LUCR{o<jswOa~`<#q4eaYyAYw`13LJymq`z*QajSK7Q&5sD_h
zpS#iL-qJZsCyDE<6jyzkb)$avuT$<jCB8)koYr<!j^mR0>~x{!`PZ)-v+n<xdHv`&
z`vtcnv^W3z@%6OP>y_8n+zP8x+WBzflWCE0M^@!NojK|FnF%(DyPjxXRyjZ8)yGwB
zvx0U9U)|oMc72{{@Y^${GnTX_CY_#dQ7^{p#?q5maUi0N)#u2ChE7Q#1I}h<wbc56
z11UT_Gv+Wd249G0KW^k`UTAt?_btEY>d6(-2Gh(Lg+E#)B*n4%96H_LSt)2Bd7fGA
zdE@~Ro3**$w$1MNXl1cZrecx*vsaDBf29~c{-Wz4vD)>NgszcW_C#Z^?xu-#{<q9E
z<bK*_-d|AhV)i%QE_TM`9r6a#su_g~ulGmPZ_TycZ&q%6b=%jsx7<oPdAEyfyAyJw
zHX^z%VX^FP|5b<HCExlqSx8l{T#wB&PeNm7YQq!3ztMI6iyl2a=W4IZQ(GJOHs;I4
zLl>gCz4iYuIyJX@-X!TNkGz+9l`iK0dspu<TW_}6c>cDvyMO4en%jL2RNT71m((hM
z7Q?!zwSKk3p645dc+1#(62lKfl(G37>1*h`DQCcWn@KG-`#?$#=ZraL8G|=?vL7#s
zFfWWuOg<oC#?q5od%$H4_lz0RjFSs&6N1*U`W(C3aB`=R0qgYA0wuneYI@o+ToQ*}
zYd6hkj#81ipp?2_O!D`Z6E|189+U(3gIXI5=Y03AuMV>iH)z|;m~1I*Ah>*Q;clHP
z{+c-!D>poCIV5;ov@bK*;$g(;`JvNx$o%Q|%Ck_~x%8~G{Oa7-$@dmq+m~A#!u_3Z
z-wL+>hodC;G7~Ld#D`e^ke_g8LwwO`yOYY_e&xsT{wv;laDVOXs_mezQ2EbAQh&<l
zt@RZ-mHXOqYyH;;uc93{vz7+SJKjCw9$@}f@QQC$R`<mD`$V_wl$-u7_jTr@AJ3XT
zh4hEl*6d&S&GF@pZFS-X>-_j7!k6c-X#I3~+t+Mep7mQc?75=!s8!7H{8o>OWv-`!
zb&clbtZ-d@XGX?{h@!*F?_E^$FKqv35_af<JGXbfu2NN|;N{@%`W89J5YKEe%h;_N
zFM{gVc_mhAC_fUaO|Mj&{@nhR*fjnqmpfOM7kS2UPk+3%Kr@be`opaSoN?UK@29#b
z$(_hE*}U5(*m!4l;?4!GM=Eub&Sg$42<x6=9F;QXn$q(rVyx<^E=g>s*)~e+ZYfyY
zDwen9!l7QVs2vxbxXtUMG9J2d>)y#&=z1<$mrL$shYHUm-brlyTMcY394d<M?x>DB
za_6ej!#*+1?ORXmSn7JNOqXlh$&MvFQ+O}2MQ=68xp-((WOs+}r@h8(9`i28e`>1R
z`ZeV8uH3zkGjtD5U(F}|I&*(a$qj`Svp;aWQnPM4wno$>(YN;H;#=F|-qwFzvC7D7
z%O8!Bqq9SgX%*INJ{9ru<Em2;fwxV}WN%+P&3vptDM9Brv)Urvgrq)JpF<aaC4bDk
z{i{Q)?Qm2CU#4JTK=%yhD3v$Yl~U)6Nmjq!sBf+&6|?UC;YreZTmPt4vga2BahJPq
zDKK~8F1O!Ou-%!v+<c3N<s#Q(iMmDge3^j-0d7Z%0zpNfhAxlt)(t%ul#+Ic86Mu^
zA+gH!lz^@gTlPd_kM5>MBPBs`P!Y&;;n0P6Zf|>CrC(Wsm)*Nt?nN#6a!G0NaxqKW
zEgCQMl!c1p%nD4s&2@QVw{9r8sI+Lan4x_1zuH#5i60!hr+kk}(YgA5;%1lbruw5s
zN}SI@hU#28v>|}|G?T8<vur_Q|L&HZQA^HTR7&0^X1RHb#tcp6Nkw5GH}dH6T;96D
z=dx1Ls+#vb#*?1UnYQOa$X*T2@WabEvS#S4NS(AmP?)uMrb5P{AJgh5F7iCRC}mFh
z$<V+}%j43v=0*2^oE3We+G17nHJLxf>+jeXp3XX{9OfyvIp|Z1=%nR3(`}Mx9$zh$
z^>X928#jw?S9zYcKYVY=({nM+N24Ufw*KjMnD5#=lQDUQpux14jKYSx2}#S?d=5=%
z@SG`QAUT;yZMkj&SKrGA5n`{@*0EVg8MG-gCR@rF2(~k+Jxe&CBEvCb%3sFG8}$>4
zzOmK&9F&ut)4{r{Q=1)B22Hn%#HjNUrq%C#D=lKpd~CyE^S9C>x0#Q@+Irpf7q4#n
z`tH`9vthTd&F<sOxv^qHYV#q%*P?xq%L@+Ox+Rc$d;8kmp6|RAPR6pT?9^`fBM@RO
zE}#8!L#%||k43lYcUG)#eK=Qa+V-tBiMO|}z3-7%p}X_x)F*=af6do@y0z}snR9iw
zw$;7czM@QT-p@s+MEz|8-<>h7Sk(Hk@bv!JuaPD*Uf8ajYUsluar#F?r-ZnHVKTE?
zqR)XPJ$y5I0vRV88zpeLRrJSZ>hzZs#Dqz#1NA#MG;HMIozbDlSTAgBks!pz>T~Kq
z!$}DN1Ixe6%NES%^E=`!D>Gg6*Q?1p*IaO)uk)<2&QADU_QWFp?w;LIPwre?^kCcl
z$=}voxc%O<I_$;M+27V&FizmHWjc1rHbH6L_CL)tLCw|kB4#$BH$J&M>^RPK+$PB4
zVd80fuBb;vAL<o$KHT?YTJ@xQ_dB^i+5c(9@%<~`VsPi1tLcyXmkt#KbD!q@zv$G#
z|GS>FWlxG;=OtHowEl6{hx;#i|Gm9^ZTcUvs}Jfgg2td0K8=qlU36#Ly})Sxyr9G9
zbnUjj5im*Gy}<A`i|>tHORxR^miwAf_rE~a(b?RmqK|w$%lh=J-1=WTGQHn6U)oro
zyVo#*N1Ex_raEukDf73jy`BjkOzjmDT)nj;a;fVfFWn%&%!!4Y<kl7?FFMG1lK1#t
z;i-EL*6H&6-m>A%Rq#kXXmDw%>nRgmqh(nWja|E&P8umqGrM^}^_ORqgj!bO%muDT
zDs+>MWll5*>z-m5mC|!fDSe6<t8!|+i&Ec7Hoe{b7Y-T3aGy5NRSL@x+#J*0k{Y!{
z=c-b2r<i5;R*eW1Wuf8_vkqyUD~C2XaG$QxReF{wXdK?%Vi~pM%r&LtX=0YfTQz1V
zDo-kKG4qh}xqiqXVYSG#e^D-9E-NitFUDEB#pC5#S2um#qN=RG3(2dyJWeIv{J6!j
zzPxhTl?%Sy-r>4RyH0#RXQA>w=$zw8ZoZt%SpThSZ(o}p^{@BSvb<@+bGQGx@?m2f
z&y;LFd6wP^{fsl#j>2lWYo{){y6vlrK2zw_!fkP>Tk@m*1&*7q?)fOUepOFlbhfDT
z@oiskG#K`9N}PVt&?zBmV5rQjmgw?#<Bu)5wlVeG=E_$O`Fd<E_#CKvrzC{iT>9!E
zU$?C%cEr|eo$l>qOMmr!!;`klTV>al-FvV>tj#$pf-g(3(4l+A-zb$gmz7f2i%C{*
zIdOBX>p?w9!PA;I7yR_q*5%3Hx?#^nrAJ%E4A*b*s95QGid)xcUiQS#KHW{rjg$n{
zQ=6Y%U%kb^rvBQYPafSJ?NLW;E+{?RC#Ly*%ZVMUUC+tta^;=wI5KAfZ_L>uZ*Ftf
zYlnO%Ztd8y&h?C`uF$%yiAAp6J(W>U&RkuT(AVQ}s1VfJ+`QG`%%wv{!QCB_QAd2P
zD<#en(+u8vV#XrZb1Ax9Y9~9EY&prcE^X$D*2E^UX&<9pdM4MupIhkjY0lzF;xdp1
zX@p~}o7k1qWXD)Fu`8*Gj<IZZLE2uca$i5ZryA~ha&6VjtGjY-&t!+?M)Oa-W8hMB
z+V7-tnTOow*iS9Fla}X9wMp&<m7c}AWq~_$_pbcb;Bxg`OmlCPgqcj{+7`P`w$seV
zHs~bicr&Xdc^;@gqQf_%#g%dLCW8bcF&3Y5e;PC^%467$Z;Au8L~lR7C2^0X=V|Ey
zmpxoFW=v<C{6Q%pXdb)IvCR!9E2Rur{YwgzuDyJ)qv*j#yU5Sa6TY5Iy7ub1abmBS
z=IX5{B9^+I^U~!C`(gX@OYw#}f4jdjYw~ZIYrJFcc^G#f;vJh${SjIFq^sM$p4DA@
zIOWQ}+}Dqpr1La$cCIWq!rPj>PJ2$l+lto$qFeK$<EP#!a4kB$Lb%Jl$L3+{f?GQ!
z7Pcnt&|fvTJ26V4Eh|y-QGCeGV#A1{!wM&LnN|BG9_%lidOh#TG^<7ax3B&ED|Ypq
zt9^XP&PD6hPtD!QR=dUEi(O!Sy`1*N2aCTi2|M;dF+t}&vszO6fg^7?X0*IzoV-al
z!Dt(s&$($0nm69me)39wxwQH5rbBAAM$<*j*m_c(54f!1pE0A9aq<SUgrI9IKF3})
zoQxDTVAU=yP^x<MV8@ybPV>*Cwm$upVrcv2^UMpe-Ba?SQcA8WE$<d%o%?s*mh}48
z)H?rLn-2xu-;&;{%9tD>V=&E?QF!A8>CV$mwT*|`esV?YY0DIPKAh3br5^Kv?Q_=c
zUwfXk6;Fyj=OtHotln(Z!T(#t+JZrC(8*B}ei@0D??7$P?VfoSYCE5<cp~Ty9=v;f
z@4@|jxwSVyef{>DK;D1s{nypFp4M;sy7g97!DOj*Tv<DnGLED=ChKv|D%iM9LUL<<
zwET+WOKWfcYO>h3b3x6$$D#7)uWnngxG(qhX2xU-VS{OxzdoqHwXJS->*}9d3sm=u
zt$TF+km#SN9aZt%;qS5^uKHWG<y3Dco4=~L%}2kGPi)!Q_UrEI@nmn=u;!Xl(o``+
z?fR`A5er>U73msH%bd75q`OIVcBqR^f2vE&qIjM2Pd@#@))oC5_2kXvMG5PBJdP+!
z3QoWK{e<z(C;OtgPwVN%1g>aZ&AGKeb)ncgr7MR-TcUPU1#pM+o$5GZGexj7)==ZT
z$nK~B?r^TGhgy!hG4+ZoTn}mJ2DxQTG<52o^Ds(;XR@GYg@W=V6A7*JQzY6l5+&ET
z9#POuV#}In;LttgUsTGM%Sy}Fi?P<GxCFgB-LZtX>a_9Fwz#N>XBmQq(fi7e*;?Lu
zerM{Q2Y$J>rdfBl{i|3s?ZN5L_Q=__Su-?Oq_!;(yv*7=Q!V4rm9tyxgSOn-Ht&(^
zf>lOw*OuRVd;8ktqaV*|B~LoOS}Xa{v#6sNHs;zYCh$CFK4zqspmdDQ=gf=-Pl?!i
z9lPA<@^$uA^S7;qw$b-oS9&&Aj5B|$N9AJIW3Q{;fQrv<aPist^=9-@=`$A&8N_m*
zHqljDmL+KH+TC(8YDvA%C8gv=VwS>NG$izulOh$Qcg#wiBi6PtD&km%pkWkB+ji@T
zn~Pl!=1ETM__?WZb!1s);*FK9sqJEdx3_lOT;_TxOgCs-#>9=0-E(}SL~^DGcFt4>
z8P_b<_BATvTBhK}u<jYAQ7UV$DWy&olhodNB64B9>%k()i7h)p=1t2?oN-UBRoZ<^
z!Q&3GJeiA!dX=Mg6uNMm_hmdhCa8PI;?gpuNk;BvcdqI@elj!WtWg+L>gPSl%}--b
z%~Y*Cc%~{aXkA-x*5{RpOBPHMY;xlfH%XhZfYbSQmaFI1T-zhBlR~HLxfP?mIcob_
z_R9LRt8!mAPLgKx$a|?@>0*B}`Ipzc4W6H-^iE38`A`w+yf**$tNOpc>N7sw-}nAW
z-d+3se-~cPKRf%!VgEIg*InNK=fn5=5x2ix{r~Oj|A^xI|G%8wZoeyGZRYP+_v`<@
z&)RfHEqQC!^DFP|cinpN^w|QFJsjSrKX2%%5SwP`f7s|@y{CKRm!*IHs!v-X7dA2S
z&bO6nI#=!M|E~{?*q0i3{P?=eeSh6Am;U;9oQ->{fzE|PM)BPpl~G5|<nCOP|NrCZ
z^Yu0VU*E6$_gMYemfElH_x&@MU3R(r{{Jt+o1@Qt-oF3;x7X(X|9t!Z_o;f?)-L&f
z^WWF~(YOEqF7MvsX%VFyhwB&jDeVk3d2xH=lj~VLwM@q@Stlq}vHJL&Z}5B}XrOtQ
zS#5FjfhQ%rGn({dL+iUY@?7<esjP@QZk*I%7k(x|SBNchqESG14`bAmFV`0>xIb<E
zzy9_AC#%=}{Q7%-{m*yu^WEBtkMENSx)W&s=k4!jI_q~f)_uFNT<{0?_uu+?^#;HG
zZJ+=D;LJY#K=ZHP>wllM?Ym#{>d4FF___w!|L@}W{d~Fnef^s+hy8i|T9-adiHP!R
zNj>s$L(j|0Mn|@uXt|d-^U~_KtN(xa`d{VA`Lp~tcAiblw)m}nt>~G5&XJe)8x5EL
zJEAt{kMQiGMaiiTCg;B6ytq?Ydh6p?W}i1c{aCNTe)WCbzvudS5`TLi>&|b#^!M}g
z{X5fN)gGVjX?-OB{+~~?t7n(r`tz3k9^0AoTXO%svakKSpCj1zkW9AZS*t(x)*oNp
zm~Of7@Sj&*ebZ&{Gv}>}uluv{SAofnE#FS_)r<ZAby{8~_hmu5am=+|pUoEq@17`f
zHQ#jBtv&i(;^q3ke=gsz|KT1fVQTS3{aV2X|20n?+(WM>t-gIX;lfAzi*1ed%XFEo
zwk6;GdVhcJzy9AhzVk~s?{C=n#WwEsiTnHi|I5E$_xWypp>kkz``5g>L|*=XN21<s
zui==p*39R<X3mb)8=m_8J@)U*U-@@pW;>$xZ+yF`GCBXXTU++7z@POTcQ5toTrXYx
zNpRQBozJXZaersb)tolx_t)S1cbDD&`^ozKmS4B;*FDYk{(5s^OyQ*mz856p*ylc7
zQ@2~b?%&*E*~_hbSJ#A}-#*29f61#5zJzz5^tSLM`qli8pZ)*v(QDiPAF=-XdAj`0
zko?bw{ZnV3UOWBj%HW^(@;>~Srv3GMy?(dP_kZEhtIX7A9lm8M|L;uiG{MscUwwXj
zu5j_ws#E5rPapZ;$@yKx^XAK4bNi`#_5ME&vfLguDWW}J?HAkj!<Pz{s~+BZip~H3
z5vh59J4=ll>^BO|{(t0H&QIal8@~GIoZ4o;QS$cxBhTLa5RTqpEaP$dZb5Lk^}Aof
z**E^G*I(Q8+&|~Yy$`Ogceqc__?<ZOgMv=5TwCg~#T(94%1mSRkFr}S`)PXqziSEc
z7bL&2&plo4C|+prB8hKn?brI+zx8!5R~0>;ec$Tuqo9-i_W!S>)c^nZJl^W>k%!Y(
z-g(|!|H$L^bk5D0vs5oFpIorz?dt!3zSeWRNDH`gq3Vr(f4$+Bt+ikG*Z-CO|78Z-
z;lBS*9ort(*G@=15-%@e_-Q?Vm*m&$?5aKgUN;9F`}5UNGWq|n1#=4PYXc7ccwAo^
zu5<kVr*%6fCLW647yWtnKakE#Ae}$;*IPe25^q;%sQ&-cjF#Z~N}p3N_uHsFJ`*oD
z+4zb6?@)s+kNa2dtG9WkzO3X=>J9aKc8v3G1vQra`nWrOb=7tmwHal9PAfg!{P&^y
zGWmSTNUJHU-|xH^)L38k_Pyoi$Ll$~|DHDAUw40w`T3w52GNP9Wm=V=X~`J-KWq`#
zWsR`*tGpSsc*>4Bma(sI%=j}&dY#w1XZDlaW2V_WoAiWJT-AT)hM%Wz*MGjf-u};H
z`TPH)>^NgAKVIGc_t*a7*6_#iu^WG`zi;;M>RI)+t21Z2@~mF9T|c7vwOz^6-Tb=Y
zwGxF#+YP7J&L}w9t|?wSqwrw6<@4Hzf^)Bz&gQK=Bg!Y&z4P_P7Hc{0oo_exM9ZDt
z`Ep~Ev}xL%xt6aD56_Hx?(_K^kHkC2dgpris9!$~7Om$`{`JFP;dcJus-Fgnm-81_
z{n)VJ_lGKd-Q|1dxzG7DL%PRyTEU@Y&EvMyE<W2hCs|J0;+N$k`yG~^pUX^NeA}r$
zf5F1nAF{qb_rCGqtHFG!IL@p}&5R@5j>+@5XMOOwFlBjDnvLL<&{a)hHlG$JynN)Y
zxM(wfeX`xJv%+>i44&@gH@^4N;Q3m9?t53(-!sX-Zsf@8-5&b5hCA25J#l)W$hI><
z7D>wv85)cD%~)4(%B0nJnbsU**N06f7rmdR+T^AqW|B5>0Vg-BZ=^!Tu^PwXXPi+6
z*B77NSTJe9I-4)MV*1+MMa*QvZWMVWntKLWJZm__`MX}!@8<G?V{xs;-!x)2M1Q$!
z<anXuW7Dx7VUxr)3-as~Gmd3A7Q1mq8C+U??e4+YCaJQj*llO6cQ_^<u4>hNEz%dU
zy5Njdt8ke1oK0R2d!}+dUlXt+Vdsn8sqG5{Z?pE^RLeLN<`}$<W7fvV3v+y%#B#o5
zJbCxvY*k(Hm4f7o`n{(EKl9BCzfs_qcsjCG`J9GKaa5xD+aQalOAbk@i=4AuSKv7N
z#qOuO76@Kv>D{T7aY)oLSdV*Fq34A;-A!UKA2Qy&dtm6u>%Ld-_*v^4$t?%k-f>0m
z(v-Ov`f!FGmwHK<#nZ*R4)pMIJ>L_)<H6h)yPtL}5Nv1dwN%MC<mgx*%*QcnWBi3V
zwM}AgzGggn_aNGlH-C?w`dRBA5s8PDTXp$G`zjU`oT+UUey2I7DEwiMHP`byVLKj7
zd$IfJ)&+v=S$ZoqSImCTJ?m4<g`V`LXEvf+9(1|~m$N_T%$o7K)H3(pK}{}cHSL_4
zOA3y-v?i-*%rS_2IHiy)y(iQny}suV>tfgYy2UKLGjub~=r{_eam_MvzR>fs>6wr4
zmV{OA#^vnpoLLetOD(hS9kk_=F4NArxwPPjUu$xi#+(hY52xgErRRiNq<0@;o$Y#G
zSDB?(LNDWtfunF6*DNEK3q1#$p7986Nm$@sT+VLJnRVkusb&7XgQ8s0)3kFUm(>>>
z32IHArZHzj#KS4BT<JO?7U_+LSU<bo*Og}JjnL0HW92A(jce8>w+lU2o1U!^+LEx;
zox7afp6}zzhleLrP49jtTeEJ%!<mPsE$4E#3AA{&@epUfh+pN3f@93B#qYFZ3jDv^
z{S<ehqrB<Z9#NBry$dwgv!1O`%{b@n$Q{R1zf$*#iTaoF+Ke5Kx8~p5$LU;~C856}
zb<P68WY%6u<%~laj=^r6vkZeS%n@u7<B@+=dZXxezta(2`D1s!Bp%|GUl#K{@tCRn
zvzV`m2dDnB-EdZPOX63%o6opzU0Ar4eT%2`m%tkrq7%LKTa~M{WG*{DY<b7Eyd>CS
z@#;gCu_E<)FLZw8Nh)O=NpMVN<D6v>aA68#Q`#4CljZXlu-4k%`g0)n^SkWN!rQmn
z9WlvlHsz8I)6R)pT5!axH91UU&W4zWQ&PFobwVxDI}fpTyWZE0X6e15lW|7PQP_-Y
z)+WabJztxitr6amu-1LHc1Aagsm_;OG3yq@hTSOeOg!yc->R&qAyXXpu%(!5`J7OT
z$32HEmy7CIsQ$`}RLD3|<Cy%6bCyB)g(;RzX=lVt(x)w8HMYGK<7ln9;$h46r$wx9
z8xFPo<%<5MDYG&B;S5_Y^*3P_snZWhT8o~$xv=11*~RkHg^tR%Sb8nhG7beg2KRBy
zDvY==$GJ&N=1WE~YyI1$=G)A|FT_=JE{<{By?(>Pd55O$=5oIiXz^^@A<pe0emhqd
z9OG>*UZ)*X;QQt7r<e;J=}pIML`@!cF3{{}JzJrYan9M1Tdrcu&Ze@^fWxBePe0|l
z)$yyUPFT84J4bR^!I6O0<Ti~t1`!XZIC7=)gjl3E9Af?Z`t`4EJDbYtBVAM;O<G_m
z&g#2EA>&k~qwzV;S;b)&nvCUg*Dd(^x$0t!<LlR@J7XMQFWRth^Py?|_mTtFEvOB!
zc((Tt=X(*q%GCwOq+5&Qv|~Paxg5FV?xF%(;<T5=_l0JL7r&$NF78>EeJ?bv0~xm#
zY+N+hxadV25;q>2R`1H?t`lOB)_92Xv#8(9<psxLT8p1)#27?>xx2~jLdVsnV{3#=
z5|=K})Mhywp_g&a$dP+m)s~%2X5ImZeZYRrY~@`pvTaU?#iN!(hQ~$yGJ-9h&Nw9L
zE_%*#QNh8)i{;Rz_r|^#T2?kK^AR*j-n77SGK=nv7Z;z^bKO#O<YnKhH(fgewp!<5
z$6BuAIe`|5n-6Ku7CCogMZr0?R_<%v`=a?cq&CK1m{HrL_D0kswSR%+Zq~CmRWlBr
z`*ka@*s3DAg`M}h`<2_~`S&dydDB_9&Ji?uv}J+eau#0;&5To=j>di5vo5P;95md2
zcv`ky&yH7z>t|@&`RsUec#5~(nH?_<&*8Syv54RQYsJo{vXFqo6MyxUPd2-;c0=Oy
zL(`^nx$g<Gc((NrXTC^TX!jw>_af&i*B2aQzgT`c(NUR?Q>r-NLJM=#vNz%;$@3Rj
zR<r8fczp30*DZI)SXIkS_dtntr+8-(>szG4q8>|WaVd3)zw$0Fsms0>THZD7+7*1E
zXI|5@FG5=$w3hEZtN3}{f;Q{8>8BlwHyvv8<ce0)k}-6AIO8LidQY%L>Y786$s*?@
z*A^VqxLAHV*HJl-Q>r-lLW^Y6G9Pi1<XH<WgIRTFJOa7P!;x3Heh(<*|B9M@3BGYD
z{9%V3*YPi577M2z(zF#l7qPP7oK`D$Sogl@Hf||Hp9?b<HmUIlnxt-6Alb}vR#GG5
zAVa@d_=Rg6ub$P#O+PKVC6{aWuHeMee67l5S~8oR9=1H?TCNjpk-YMdrMHM)gwC(L
zNcD^(RgTGK9J4kA283(XbA#(e-6pY|uNlRxxf2((wSrBGj;qoMzmTVuvvXm=k<!-W
zb((VuLLW}i=1PwVvv@w~5Ucp!oXate(F#gJhVd6>R5q!d5j9EeTOhfa_3TX5jDtQP
zhv`-9%~-c!?srg$vsE+4V%dhLD-H>|i}YEpDLACq8qB9vKc~>);heu*;%|g&x6cta
zd9-GMVLFSig>J?vO-Extu35!S7n&Z+<*r-sR`kk-<FAW$HeE}da-eM;q>hb!I75z0
z{Y!|&(&>jJ_lll-xwzn<_Qmqk*^bKhIHW#@U1%w9TDC{r<Z<%?OL<n^7dET>W@~5Y
z?@b1gd#oeY)i1akextxY@pNpf@;eQg;^>DhkzC8qgjgiE9kRSEsy9RJS6-!F#t~!3
z<au1PKDb<%a=a<cM#$v(!Ue4SHn(CNXKSrU>;e^pxmymk1#(5ZX~`HmJ)H57OPwd!
zB6Y<fNoSFBl4}YMDqJi-o#Lph#wleSc%g-}X<3iBN%EWp^_I!3x)R2lwgp_C<HPf1
zVPeDeeU-njab`v6uSjiPASlh+ds8LjP#UBK6MA7zZj)Hfck7&&?+<s>+4a19eYnNW
z&gbRZ!#!8+&O|;w+_d%U>i*f<8P+VOZ`Rl^@V%ALd8q9uSG1U>%*KF+Gg!IQ&xBi~
z&O0PoEP8I{;(~+qITy=M>p3cyaZ7FXy3jJUY1tY9ljNNXEKjrOM!W*0(q)Wxra3YJ
z?~8UeZF5RIT-2(2TBI*xRlymdR$(#iIh#Bl_Ox<6*9q8>u<ylgX>FF$VtdErYn-z-
zgk6|o+O*4m!2-+GEV>adL1x)F@)m=OnsyO0nUEVr4iD=){&F3E6Ks*V{*Y$1$hjM9
z3(o1aa+h`Oi+;u-Rp@kK#^WZnEu6E8d@l4XZh9soxaGkH_t~EL?F-u8#!WxH#oQs$
zxxQ8RuSnmE)dfECt-@8>Z_@a<(!YdQEN?%=de`;7?roOd8(JA>#2jPyiJ7E!ERbww
zJu9h_anJ#jKzG%1zDg?wC-_J%=`^jJnF|Y!l(Z%<)0|@v`f!RSSGrG_Mf#*etirDM
zbz@n2U+83<IsVVi_lLrY*}plV+s$_Ec^m6o%$nP@pzR~rs6;O5Hmw{<^MD5(8@Z16
z1X?6+Ii$H*<ebFHf^!_L+->|{e!tU;b~zHebwT~RMXaUQbyn<7al9+a{Yoz)pnNYV
zwey0j3h7tinrHEbh1(BJi{o<t5@NBe{SfDA5x>Y)1;>P1i^a5KHh6rwYvgjF<6zS<
z9wC#&1q(EpS<b%D&+xN%<o*S!DYgX!9A*O76eX>^mqoVugjytZ9WtCO>NjIaLH#M0
zR%11dImU4hn||Ljz8m8>TVF}Y(Eq}WolR<I1Wi)6Es)&Ia(1R>#=)M(t)5B7y!WIZ
zI3A8&pMLgsxog1TR7c(EEWJB4GS09%3ZLViRpfV}XLHlDIf7drY`LF!T2|ie&bP!f
zy7Fpwz9gRFl~0TLo_NkwUMyzq;j~lMPn{3fpRBS!-SXWp;Bd5~ZazzIg>J?fZAala
zu34X)F7!NadS)ZM<-yAPiQeCTHQzZ?bhk$0^3`_E*qRxaZnjIx|1CfN^TFY!@1-|<
z^8ITvDq`NJ&%Cqi%IkSX+e^i+eA+nQU)hdB>T?{V7!Wmi+`GVXJ*#fT)$^}6Je?@t
z+R<Kb*Hii6aLc^EwlgjrZkN1UJLB@%cGlR}8)lx0yQaTy=E=A#za!N)6@L94^lanC
zrl?~aQif3%W&}2=^@y0Hwk(i5%z9Q*E#qK-J=e77bs|dhei}Sm$uB(bhr!d0{Kj%W
z4bq$HJy{>W-mvqd@sakngJ0{W-L~7yVmfEl{hLLXpD(FjAgIpLYpIvvG<$*Oah9_d
zS{dgA9l80gKfZeBjpP$|#iRxN$!tFi7VhT{{`J#f@p}H^Uq3c1D3_g9uNJ%i$1}O}
z2dnH)>&}yHlG?_dbyF?lNSI@?9M`N54i~2UZc4i&Z1Q~F0@m_Be9?SA43hTqCx82C
zkhq>d_}dSI<n8>$W%WNdB&6?ub-Y{l&&TKWe?HvZ|L@Q5{JFDkvos&`d}x>acvZai
z`fj#lrenQ5jE~dSFbW&mB_ye__#8UY;5k#oKyos(TDsQ(5ud9E3oo1NDz#+^8vAs&
zJdE1W7|(4ke;qu{xHy#G_wzS@Zi&NR3a|1jS09@?Cz<)w2fHOY^7T$ykCHu(mhi>4
zt$y#MwKUr!wS;@+oOg<$7nB9mPxmx*&X6=P+{|?B>h<KUo|U2olIxk(p8FmU*)w(7
z&evCh<D!e7ubj@~zw`GN(<MB&olb4CU7}Rh5_0C;1g{&SL7KarwUYfkp1$E-*;LzT
z5n09V<1)XY^QE)_=U*nZrS%8uQ+POL%xPo{-rzdxnclU34Tdrk9%`0v_G_6k`*_=w
zry(A$bNE)yxT!eRKz&Kjv$l|9dnTNkDIdhj%~ZHahG#~HGox^^MS@Tti_fWx4JR$c
z3@mdQg9~D2JzIC<P=le)q=%a2$HUsEB>H+pUTX_EvTH(Dq<j#kw3Ak9vPWtTSN+O4
zXBD5$<FGtsR(?^8T}fi2N<z{!HlIVA8ayNA3?#Lg)Y4NAh^(2kZ0DXG0pGUlJhsE*
z*|(yFg{2-l)s>dq5f%)3*U6#z-KAwpwUW~*TS3LA^ExIJ`MY@R3^Wr^|L(%lQ?0~w
z%vO-`@w|?PLVuS7JJgjD?g$GSyz88>Y-daTPSb=KSB1mDdTD*!numW(*yO{pvZGT`
z)L3(gkXn1lsVNgq&5#PRyzI0pp-RDToeh^nxGbyBnT`fe2}uLZ#Y}3+B?q4LaL#Dj
zc*$hCXF5wy;xZopoe5Q%OL(R`pDI#aqO`6p<jmFyUOVK1G|io~9;bOcy~DM#>2{+<
zWE!ha{gF2foil|EIE$IpQsWM!oZ+7_hnq3DAaK^Rpf__H4DU>Rs41R0zjeyPN{`66
zmXIUoCUo5t4dUGGtd;8Tk@|*r<(yi@&<*wi>ZkWMbncKhFyv=adzg4&i4E6`p5u&@
zKdU5g&GWh(^UhYlpsm@+=INpLCnp%q;nF<)azdAda8SKry0g~97>}iM_*eEke$1@(
z+{Ht5j?DVUDV8D~2}d3_bXp1-aJn<AJ&ib!vW3;>+{Xq@3q{{z&!1D?WERK%+`{9(
zb4QKp5}t79Q$@N<l-9L}oavq5wL>yUbGwt)<1&w@cQ{uz?QXP)Jjdd5<Yq&srMLm-
zb7r-tP6twAcxKG0_ht+(sN55ACPy#e>ui<Qh3{2L*FAfDK{bJAF7vTZg^SDt<(br;
z)gDmU!#!ilcE-sc)e?&0*nJMJ?liKPW@nWpxOZ#E%cZVP{<=X`851wYcF)O+5-AD&
ze$*^{P5i2T=Ji$6G$$WvUz{n`!>4(8#e_{gJS#f{6-AA0mI$@AhSZ<BFyWMhP>^M_
z^QwdZg{EmI8HEis6Oz=}d=9lVcuL9}NIqs#OV2qV(lc?Hr2-F+#9;=>c|{%;wuTF0
z&I$xgo2)2WsI(-huRX*m+G8h+bdaRGv(|GD4^f$E^XEK!aKT>SV25|3nV~qd+C!%U
zJB&0EjLxz8oV(eeX`$>}e6;>oz=0iFL2b)pHr9y*wY4jzRtf~IW8z;qqgrulf%%f4
zcP&#^OFEr8YvR1>L7IZ!G-gKOjrIviaV$QEJ~wz)iWx}yGaoyv&9v;nMw7FmucaB2
zcjz4sUKbHR;jsJ8q*}WrI?tU>U5!>0Emm71<kuc@YUPAe7Lq}Eae_gt$DexCUx?Yb
zPpl*1$km3<ok9kj)0x$tdOhGW(qr#gt;D?S!6Ge#S<WuA#oCfT#hg9<(Di`CJocWa
zkq2CCcxTK|XPo@OBH_*-n*<{{HlK6N4VpXFHz!-Jay=rbo5YtrvB0ByN^?|-%mt<A
zQ^i>Ir)Kc6f8SzoC)7}ry?H8Qa)hA4wECxv!W(rUS$<N3XQYgQWH*yqdg1{QoeKvy
z-nppsXq%Yf_ALd*$+|&#850X*yXRy_iNu61+8JpY&wbcNbaK(^tgHhP-`IOn;}5vJ
z;hizVmT~e1>x7^(R-a?%8cyC6G+@15TA)+BwPVI&*E2b~LTQ;3je@&-B%_}ATwhe5
zFiYrc@5<aLiEXQ9Ot~GD&2(&oRf5iHCbdV8SaOr}SbPrsZ1A)cH;_zcR(tMqKxEE5
zh34d&ix!J*jO?D_8>NzSMJcseO!D>C6OqeZ4@RlZnjNq_gnf2(fO@FUDcu##jL8-P
z2GjmB3UAa;NSep)b7*seXQh;Zq(76|^TGon^){CdZk%&L>Cp}`@SerXN>5jaNxE-2
zVY$ZjprYz5X+O|PyZ}SZ?X%ah_dJX`5V4NU=g8EC&Ydy_oYR@qQc(_rIF5C}p06%f
zp2o#HF;Qz;6DM|g?%Zl(oWNtubj-*$L8*QjtIwG$4W2WE3^XS*t0m_jc=CpGM$=s@
zi)pP<E;<*K()NjQe%|6SbG7R+8Qr3^>_7wW&S!3y^mKWwLw!!=F8M!y-S1aZRZd^}
z(z8pqph5gHi|C2}6PA6uS7zO-X!+t8Ph)1&^snJg?rAH|T!_kEyY*UBw(LyFi3_)0
zi+L7%wf@le?G0}6HtXg6?>|d>cKV#*`Wr8&G|BpD-h4NuE7x!3%~w;}bp1GU%Dhr0
z2MbBhy|gLt@7)EzA76CbdsU0?MZo6x_O@CpmT#{FZZ39C+RmrDJMG`g_y7LL>xk6<
z{9XU2egB{3@ATPjhkcDccrCR4@1!I9XU&x_(vjF{c6gGYWqsJ6DamL1EzNk&8ye^w
zKXE}u*tsZ2a?iH<sjAVNSf|<>X#9S;DIuXxmAPomk9}%~XXRU()toi_pnCkoa~a|J
zML9orEUTX?I(u_ynEeOE-!E4^sO?jIU$mxTcJkTTGSYF+B`T&SKS}ND6t~=Fb3QC`
zv*zt?X|uF6W5+Zz-juZZVuv&{#xIfIuTM>{UBC4A?o*fdiQoO*eQM9Xy-UAupR&_(
z+L@CZlgj!`Hy7p1n3<g5);IB`rP{KmH;lHvfAR94)+U|m1+uomMLIL)B%fR&qpkKV
z!dUTm+eb^bV^1X{XD2_JE)!jME-W+r{Y~b#FC-@?AABL>?e;XH(CD`Pn-`Y#6O#{r
zlwm$z)FX4&uu$drw8J;$qBpBVR~~+JhN;`ATjz|<MupAOe*WL3`R`|U`Q7&O3+roJ
z>kl_IXaD;Zd#JwIeuHzy!Lo&_w_Cz;M58vlW*kmi*y!t|EZwSR#*rI2Uw_9FhkpUq
z)2?yqMrdzI=?&P;Aea>{@AUSP^NQGVj{2?Ld<)BtDqhhu|HK;p=Dd7X`&HY8WwM%A
z)+x5$`not^cbQ1m^<F3D?X7NkoW6HNZWSrV7o6QEBmM1}#Er?xDdl~gv6kE3ys7tf
zEuRr99#xQgHvT_*?7y}D4n!VhO&6IyCoHDWtMKfG(kAIPEk2$=8Ob#kh8~5d50w7A
z<-M-H>w5a}I}9IX$}ZH#Ywvh6`%%~WuIzmpc|X=zeDExMviQ*v_M^J*uRqIPzeDL-
zUi*FPk1}O{_J+oMaw|NVebjWli20rnnTmxLpJECh&3rU%dD2_c=|?ACDDcd9u;{`G
z)`gv)oubdB-a6akzbX8hZ*TwR)GyDDy}T)RbGA&nhThEjl{=EAJ(_j1OZu4x-<eRE
znaeE<;|otWy~%i!cG5lRZJCLl$oWL~$1X=zg+;=9!efm63J*sdZHyOjuM3+av3N)7
zmWb-3&Kt|DrQAE8Z&+NoBx(1fu$=36y56pe-WXf1%_kG6Z%`Cb_-MkTY0XLV*H<cR
zNt|@Uukoala&U{<vU;xEnbR`MS08SCV_Ndv^3C~a7k8gboc*Y2ZI|~pjcwXRN6R=@
z-&mI<db@Q^((Y-YIny_Hz3mEHvo~da#Rl2lY!UG_VKJM$3XggnHPsd|*9n)|vEJfS
z`=cYaM|I1O-qQZo#eVzZkKK=&?u$(SvuekqzDKiecS+}I^4$rM*}2f7us*i%wCTog
zvuvHD%eZ;p2ua=4G}-8!ar&Fj?r8sYT)I0Z=`Jq6d@}PW>vEClb3$SYoeIwu9_2kQ
z!rrIV=M$rAVdz+R`p4Cux4iYbmv38KIH!WoTI#r#-cQ%UqvyM_k7?vdthF%kDok1O
z=m^JAUHi_h;W0wl+ZTUy|0q*t!WUj2W8_nKG~lSIvxqrQn2f{{3!{L-q=rY+-mm_&
z^?HnmyVGITg^iz`l%Kb#o#V>2oSa#%ePR2Xsiof;Yh$nO@44sekiGfp*LMt2i+7}K
zebg1&l^v#)7qQS{Lrh`H%tuFpj_P`EvfZ@%?DOu3-Lk#iM~iP<+~HAp(&?xux5)J~
z;q`Y6{5Sf(>{2ckQBTv(o2hy&?qlSdyM4!IKC*n1F=ye9lwFUyGP|;uY30pWbi>W%
z=tR#h;qWU(QF`6A`<_j{(AMw7EZ^d0){#3aLVrtY?6SLSBd2eA{`TGJT{CnSmj_?t
zJj!}pbh=D%OkqgjS;3>c?V{{_ntd`Mb1W9@NZlV%UwxAGV}iBRcTK$;t9PXIKkB;M
zm0hNpcVnf+2Is<*-H(n`AJu(-<d*iiZcs#q6&{p6s=8h@d{0o!=b*yF+(#RqcPXD2
zQJ<%kSE+O@uGlZ*aOA>9V<+Y1t!jRpxt23C%U9n?UdY<NDfLU<q~D>12W5|{ZWj%|
z6BJV%TzHtb{%GUtF6HYY>g%-feyaZ78&)oT#~I|H`g3)6C3Y<??0GclVwbj?<~qrh
zI}%$S9q{i`w%79G3BP0Hvu5w3Mo^$Sg96pUrSN3JQPF0R>pUTM3>*p{RDV;H*tPiM
z>_<n!kLt#6I=}Q?+Ogk{JTiVbXFQm9;Y94h&ik#~{?t#)EPwszIVeJP>rPF(xI443
zbX#Xtxz4(vm`y>2N4bugo)$IN36_aiWwFVnFlpnXX|6ZrZvHmcIeKgN{gqvyEZ6vG
z($6mKXPWC~uHKQ@_vk>*(TR~=!p5T8&j@6%|DkX#&;4-;D7E<o#~6hc9u+xiI$6}*
zCrD<-3Jarp$HJsNkERtSy)}J*bmD~%ei;weUN|AWu=Bc8bX@AK-}9HAi;FCmf4TEb
z?900@RUw531&^w>i-yYt#S{k=9%ek+__s^>w}|>Tt-PDc+dNK2g2Jv`Tkpow9VyEn
zb;)*RZ`01ZvCLvaXkkkCqa$fYb!USjvxEKi#T|i#2fgc$s_Kh`+l0q__9;9Ze6&%$
zOSxZET~0HvQsY`&aa6`(&4rDVos`vE)cUw{EvIFcXTN7&$ohSA>X*D%dzbEbu<X$!
z=`QVc+Us^M+wpMKqXVKxC!X#So-VRIMkHJRr?btCzGK{7+~>5nZPgcxDGV+=t9z7p
zyD0lRjlMstEI!VDR6p&$`19=bJJiFH-5<w-Lg`*`j&wlb(b}V?{37NyAu<(9ZuGew
z?NslIp4YYY`W?|~=fm%vnD=vTEqBOx)OTUp?bW~YF1);Ju*>3b)AO6F-|`*hcjC6=
z(*3EjC29GES+S6UMNsOdw#i2SjMM*W4wjjnm(MzWpK&28du#nP8KJ1ch>Wv>3whmJ
z+4?wpWyEJ$C~kS0vhP9H^q<ae7g(!qd9dZeBx5J-b6jgJ)wev{bKwB%!in8ZZ&kFn
zJT2PyU{<)L*`9NTAJmSYxGf|6z9^^i{{LC0-t}$QcH&<5ZN84x-$qMs7V$HoF-HD{
zM{ACna*LSjgvdlJvDg$*SfAASXxdWmPg|$Q2xo6k&YM}mXZ>QDwqE4I9Z5?c%?j<3
z4%6ma6DSk8+G3+$;pwW4WwYIn+<N=rw!*x_efxX6yv;P%-B`0Dt@}~i`Bzg}_G$Fh
zgwFY~Y{%2xH=buN>(squ5Sx+EcHxB2!p=yi=(O}(wJ&%Dq6`dfyX@`XE7-+d@1~_E
zxpYU;mPfM;yQJ&FWPZ37rmT5%#Nw##<D<8{**e*8U$k&6Jm_*%b+SmfPgsnxYvJLL
zqh^hILOGxNlV&@J-e%dQ5np{Y;bZ(8wSbQ@cUe~5PzyL})~L0OGi0Ohg05Vq&>Z0v
z5S3cj;*4G1@bWshvbAyc@`%s+Q6HFb+J9zQ`5xQTagpW6Z-35_+-rT$PGg&9$j07<
zUD|fq>wYfU@o@E{1LjA~y0x|?hHUg*ob=YLuZz9>^NJ*C57FB$t8Taj9W|S*wQXU@
zM&G4fxjLaa#VeDfeU9GBH4^22=N5d_Y_`_6hanq%mv`mbgywu+nIs(`db?%S4Y!c`
zqh^b{w%(qgb!Az|LbGLDTP;IZ@UC*o4LZAJ_v5!+0kcCkr+#@?F?(|Dr|<77X0N`X
z7JAfdrPemj(2c&Ux^ja;b0)7!njIo~d&w+cox8I6*N@!V?HOw0ZjM#WDv0*3t+$tG
zUAyPI>XBvrE@YD)wXN-9zAfUuP22BHK+fb<H=bwbapdmMG%4`Tc(N^EcF56b+dNG#
zzw6u12y)`Ii@P7^wm__h8jazyqqlN{MET!garUDFCPydMzw8n=7TJDABzwDOC^)xz
zvx>)r#1wfJ9!))J8ZKfU6DqS~nMF}-;iI-k(`I{r2DyD(hc76MM6)KZ3YZ<zdTYt7
z%<|*6*S?urTE6G*Igqp7Ha==<@A8(@TvxGX$Ft5yZOglu&x^Rv)ArjFaOYFdn!Qi@
zK<$V1^&+>QHz)nB3CNkx-}Uyh<HlM$jcw=IkAC~P;D(*N$o4lP+3SCR9TwZgU8b#f
zbMcO(^^a!Nc1eHJ=93AKv0PzM=uvn&apSjH_gl>V=$RCRXFQpA;fTCb^t<$1cR!Z7
zWE{K*PE+SJ^(@x!NV#KlQ1r3rb)SGc27!eMlj<LxNIBZ6*%iG^@AUP!BA+$K`i^CS
ztKM}xQraGM&Fsof)6AQ(*22KOFlF7NBQ{5MKOeoN-PgVK_Qf3zHtn*#+@jNUf?_sC
z6rL42%Ihu4K25VvCwxxC!X2qgBdX7G7OI%c5s|V`H7Sb9c+_)Y+GbE<UwaCi*k9#H
z)`L=eYJS-JzU}9`y!UCW`>|@rv)PZ@-ghxGU*YcI37I3YWJl_Ti0YG4ppv~^Lr-GW
zj+7aXx*m3A*M;2qF!|8|j-wMNb_p*Q+1?|Ty}m+s+cw$W<Ris5F8<j3Xi~gLcu#1~
z<g9PmckapNhl}1`f=DN(ziZRV>yO`-F03)xBPvy)Zt^KE<5Ba4Y5gE4-i0{vEjaAt
zG_WLigh`=m?y7};e2^Cu8uKBl@TBxn(R|VCdxGIv1F907?B(vD<ePeA7eVusC@3wi
zz?Q-U7n-e1JN@GB$1*=q_Vd=$Shr)vj%Sk|wVm$D4La-hXs`7>HEoDnZ~k1l`|;Zu
zKlIBcud3+FL&~X8=YX?HJ=is18`tce9dfj|1eTwXRe=?OvU<dB-&K!1Hh6}jIB)uw
zy9+M=-C20`5>Kw**|^+%pR@C}nlI(rsu;TB><Xt`7tt)?RRPigN3}Qq3|Y~;+$q=U
zta#4h%zqd1>di#67OxDL?bCWILF>x1poM1BxVEkgS;4#1DOXK2OF47jgRZSVop(2e
ztT?;aDOW}`>+*^VvkIN0k8$(*2uRJ;F!`8Lw@%mpc{=yH$m#j5ZC@?f%${TzXVks9
z!7*#G?}ER-bFbe0_-)PqsbP0z^Upv3J{MFW@pp0CY3co3vZMad=0~&iyQKGN@zn&&
z{9I>Yn9%rOS|j(Tt<(1ioKHM{M*-9|;th*2asfA!4~v-dgv<O0EPSGVv{SGv+OO;T
z-bYI#s=tTV9%WrFI(<%HOkr5zS<9om&qdkwH2Pvf=ImIq<LRb|>a*GldDpkH-Q(!p
zBRZ=>eaq9@Wp`!s>%&{bV$Om~4D+L``?d9UuHNxz-lJLeBIb9(Whxd}d<rOhH1W~2
z<4JE-uODgNz5i8ub?N)Q?N7VB!!*`KtlW_{^-<f^F6L|z_iI{yYl81=va=R0E#DI>
zp8fvcD*btjf3M#6Xp(Q2wwd<2n~QF&YZbk{bwko_v9O%$hq~TIMbxj^`>gGTv{W7!
z?;Rnjow_E4?ir`o&n#1ZvF^>(QsWozawPXEe(8ABG_lLuO>>>Z+8t><kJ{#oveyO5
zNUpXp^ea4FvGLm^+eZiQ#(xzR_X&wH@+>@>a?~_f#M~!TX2voLqu9cvwnx)uCcPD{
zKHB^?W6shY3ELh`vh31+rnPQn{qh}&`yL%&Iy$knOSoHPyN+1)`kU%o68B#?z_@T?
zvy*Up%Ql&FTXsLr?Yz*m^rlf&`JUPt>X2##oPgm;6H*P#n)|7`d=KxLbN+W_^YxE_
zk~8ka@4Kq2KH3eOEkTV`4N&u|FD%B$t?;Pj*E^=qMa^RZWOl5uDDo_PwCT|_?a!Y;
zh5r?`(1m6zxwgVG0l21Ib)hL6?7F|}c05@3Xi{~T_P>Cb;`qYD%tssByOjGy)aA6n
zU4)`oP&Ko>i+i4?Ugf&_9Z8|v7JqwJ5xsUtV(+5^QAa11b_u^0-JWxz@7TM(?b44@
zK`m8KK|5PydQMo(M%Ti#VMlpyi?DCg?t2q3=f=t%sna8>&-!jOH@PDuwL{mW$UWmx
z_(I+NskiQa+-6;!dRI2TdK0J;w_dg5!Hh?f9(QT`X{`GhS$J5#i@82o)cu&IUr+cQ
zBgZv+Q`UnjC1Fu<pP(3{NN`g<Sk&AnSZ2mL3nS;kq-Bq$MJBx!{e1)!k85`%^gWui
z6Vmcuzaz2n(E+EU6J5K6yG6I_2xhOpslVl6!-WHm3nw}|3AeXylQ{{B#+4VEQo#Xb
z8>6w~!NmGUla6<3&(l~}xoXG5nU4+>ADt-PC9E&HeNWVk^?Orxg8Db>LH(N_ZiOeK
zkBYt*xxOd-&IiZB2a6w_P(RvvzAJiP$JX#Q0-!$aYEb8Qzoy>Lbvu$A{(rZ#S|_<;
zN8*GeYo05`w+k2C;A1&jrqZ$X`WjHTHumF<1GWq6C)&5Dz2nZUoB*o90~dbFoUXgL
z{P^w4qpad0(_=zn3Ox(YrXJ-D7hzwg)fW>yXUDo7Pun7P*De9|2P=XL59&htbAd6R
zS3hdIFT!3IE;Dob+x>g3?>*Dbi@flE?YvdHAHQv>$g-9a2X~hyKALp2OM9Bey2w>K
z66<F^I#6_UqG*?}w&?aXLfPvrHNUmB1v@b>Z*@Dz;X6n4R*@d4y>s=Z_Um^Q(UZZB
z3p>hsTV#4pc+5uU!n1xyd3TGjmudIC37jLbW=CpAMD@uia9ydTC$Vft%8o}}@}k%O
zECKf(J&sNc>=Irqy1hp*dwqnuTu#0F<MUnIb%8NPVTDI6j+#CeHTMYs_sl#ClQun?
zrkV8C^mVIQj)2q+4U<it8A+QjOw$F`kG`T&2D;I1@B6m5-&`M6UB1UQ2)({T<j=Ep
z^Y7gKXcmiE#nfXqCnKtl@@)K;7bJTAA}n)fecO3^_v73JpghjbrrpOAI7edDj?{>6
zJFndRXcjEP$-B9QEseYPjNq&p+E>)BveufvD5-y7F>CSJl{c-gN(Z;znxb{3Eo7nD
zVy>-%p(}h>IORHsW_?~6P%rJ@daGoX{*AWFe-_@&(YoRmywGeW*Vcm}E6y%;%GD9g
z3SJ5BELS^4|2rX`Bb@m!!1~2I?yZ$3x67*^uLzj!)_Ti8>q=VSLbEQet)3w(co#Y4
z^2N^3y>aY9jrI+ZS&MlWTHfNBwYYbI<t>(5`*~l#>)U?y@A@t8-c>|juCL$p;tJ00
z!YYf6;f1H|HkQqHINJPn#_jbx9yCBY5?K2f5xadCJUVz6Uk3!F4`f{HEf%dSY5@z)
z>KnPXa)zw%T>y#GEa8l8J@;huKZ}a%1jK9#C_MW0X;XHdM&6E<76smgPqsZeB70PK
zzux)ldBQ6yZkCz+Q=50V@7R6O>32e73jGVu%6Cb>)8?}Ykf~f@@zJC3bTY`cqqp8>
z)F^=zF7NW5r@5|T-HvCikJ_epF|V%|agWpX+Y@-_Q`nljY5|2RH=qs!yDQ`L9-rOT
z_wJ>xU$gu1+gh;Gev62|36CjqC_L(T)U;j1TqaD$Vv$8rNa3RykET6NdaEiADxGW=
z?s%}|(WKxmZ9naGmWy{hT=VFF<<W_syM)ETee?A{G~{yJAFI|MWt}cMJti=wFtqTj
z=~3R`a1K77V40cgEDW6sPoEL}c`LiDL-*2#;EaUn7f$3Y?6h@?{+4ztR^S|{eduao
z9qsmhQbFgVrlnop(=^vbtlN>+`lxMc7xQWn_b_e0HGy|Ffd&h~js4dm;%mZVHaQj^
zbvtT0Tf{skOy)=Zl(+l$b{{$4B^)oZy+*X!`Do0?_$`Y+&IT7{+Io@;<}FED@MxA}
zmo%F;c=UFag`rR3>4J~@uAdRUWe}f{(0Jj5)51<yr)V)yga6y&3r+LE#l7_M9SM6L
zP2%j*R?}KHbIFdxO^*(U95q|{?f-h?5=dVC{lEUY@~d}!+XWx3B&ORI-XoIzUM=*a
z%w42*G_=(UY94~}C#Y)|XY3`z$-B6f?HEVzA03mA-4~{91SPP&kWTJzaHIO0<~GmJ
zdQdwSxf_Yp?1Z<fA&y09ydzl(Zj&CwaFO&nZN57JGCNmV6nby;U3D|&!rhPGJaZ<t
zop)l6Z*kiPsle-3f!uW#6q(0wzsX^HdH3Ts7d&p-=({TEt=Y;h_VUTlelL=P3lq8@
zod`SHncEe;tsB%-05$e+#@*fh`0ehb*&$aa-@pjAdT_fO<OQePAVhys>x$Y{P|B|p
z$(o!6PWF#Qu=Er{WoE9jF!U`vU9z!kb_lq2wQ$FSKVAn_#YMtnLSl+N3lFCrZPe~k
z4i{Blr<u2NpHcj7-&IKy%S`SGfQHq5>yN5#7YV-;7E|nAczAsm^M1{~KkF<C!wXN_
zf{nbF0PEsvLE1ff?|&<&l;8Yu{1gxOyY%(jtVQBEbSpHk*h#hAQg06Uogk1kpWEqe
zvg3+cEsm}HtP8)*oS?tsiQ~Tj>wje&x;Hepq)flib=N8T8%OR9ZIk*9{uwFzFC1Z9
zsLTGDHQeTmd=~p%#f7Zxt<z)#qY6VZ&KfS{J>JUZ$I&}SWR`{MmZv5A9?WvLG_yHp
z_(A3PiOVv==ZkVG@Acn(`e3FE_qlKTf89I!V9LLG&OPP27NHp@O%{q?ZoPI!;Fdvf
zM#8iUCvp~cS~^8PTX#w<rbsV-L%nS3=TGVz7U%4{Fp1SkTa0UMq{^1WjTa6GEu6U2
zN%(ZjHl6e0F@|RKD`Zo(Tg29gL~ZiQIGVN4bp5BZ+3q*97dDzZDd)GU-Q&!yod5ok
z>ElmpFBsSzKhPqhEL`m4b82I1k$u_pO8H;$LFH4wZ~TAtyWS_ZulWb>nchoSsOsEO
zAI2jZW$cr2xL{$Ue5+fX&@2hXEvYH{M7`{ua&KFl6Y`IxY)Y?Kl#yG;(eqB(b%I&R
zDgX74R$VU%Z@DF)c_qzbp_$XV6JjwRE#nK!9iI7LINR@(TO*Qnd2xWWT<fhj8du!h
z7n)geZ9N#c;%x8FrtE!Bepep8F2j7ksHf(<VZEWk{i#uG-;&FJesllt{c7F4ci%xl
zc$<5nnIV^MrRtVPTQAHqcapxxwY4*Fg>R2jZjwlraPq!{*%F`I%Y+vP%$8`qbwuNe
znj1(1vKo-oXV%+CX4r4Rt)?ELe}?`IwPP~5NoOu1Q*VyH>-I=5+R_OMw|#9UOaK45
z{#)!)2sWD_jyU#jbI|vvi;I6Y?d~+JZ^{F?732<xEUFXFh{qJ#)yEf@8$R>D0Q1=5
z3$sj}q_1)Dt`U}s)W4$UwvlVL#OK&u&z$;r#fy1jPF++xR-=6D$c)9lJ%4Z19(>{a
zzqsta{;wPVUscEJOI_K2>E8BkHg5EAK-m8*`k>menuB+b%&>PfH{_~EsJvwmmXR>$
z!ikE7ot#e5*Yr+{<$%K3`qZ_r|KG0oZoBBKleZbi+8Y{M(k5SMJL{AiC75-&D`0k5
z%dIVwK;c(ozrEAYeYQmL7BKZW_N3aesrA3&|NW7#{?Y&c?f+l;_5Y{;|Mvgi<@)#g
zu5BpY%fniK``Z7P^?yI#fBKO9oAuHM4Z&V~R!bjE2+rcOUiz>jn8|&vkma)}M+%p3
znR7vUdCKm8g4S04R!bjD3HI`{UizpfIP09%(uZ?`nV!!T+WBD05!dBg&RmdQp49$N
z(0Wq3)zXA%!Cq<BOOyJ7vz}QkO`I3Z^m(pO<bx?koR)9Vxg=e`JZ(82@9q+gH`f$5
zxwjvQla2be@_Ka1^2Vcnnh~4+`TxH?|G#@+UBcSwT-PdBrtF=rWmd5=ZSiy|vr5ek
zH@zq7#(Bv^Jzp~I@ar#ST9L18=Fb)GvpAow-nuwW%ktUMuE%k!EFUgyn;geA=Tp#=
zEz^b4_gpEucGFMv^qjXLe-G4KKL7dVPr*HJRq;I`g%`a|r|-E^aMQa{JFY9{YtW-v
z)1%f|-(H!vv)ILKO@UX!(qN_4b0$T+oifdIQ_1~(yA<O~zw@q{U9@s<e&X6MK?k+;
zr>^-HbW}?J>YA@XhpqHkuT^VqI_TZm8<%zSNzU>~(dYPY-b~s&ooidg%9Pd9wYJq)
zu1woKU8<}?bHiotiLr5BZ@vYcmP@w|y;D|q;+$CZ-jEIFy;Xnj4Jka}ZOXp)%7?q&
zjf|f{uK#{^-9cZKt#W1RhGLg%cM7*99A59o`RCb`6GqEbrdcmdZPmHE{czif@Vm9n
zIV)na-bW`T?+N*^dAe8K$DpGT`d52C2OX}^XRTMO&@{T}-RT*ZHS<l*@=1wc2X;;8
zT2{3(W!7}9Wz{R6mG4~reP3$Xb??UNxUN4BmmaCr-)d9vZA;g~bJ^QBA9a5I^G(jj
z6W*%*dqX}R@iz6}d*#C!@5bkGU3)$RJz6?FD$eru%4hEB{@FiHYBmb`b@r5aB~1yA
zayxygwz~f9)|#t#&2QX0vGm)%qu;K2v)<nmQk363S?k}2ptH65rZyjf9xR-$)W2s+
z#hazm)TO_aX;r>nbK{(t|J>)BHy<_DpK9|x=qR`T)jeN=4r}YP##L*6I_BNEJua*A
zdCu~#@^fXIHXp49JMo~ms{G!Nk0-rN=kLAp;iz}x`naz8n$JOxc2AFD%eiuU<+HuT
zE@m|aUJn)rE6txX>BpNX)ATo$Z1?1wvVPa@@K2y%Y&%&Tx9PQy{>{>5)8ANK_AZ<I
zQg!#aFOy=^t4^*n-kWlLCP=zcq-1-9TrnsH&G)2SuZ-K|Ev<jk<h`nN!rm0&HPdri
zz6L!h*H?X4RlhQI+h+SvnR1ZYVl%6i3G1eNJ*!-qv~PNrSjEc3h0~e3_lQKkS$afB
zf2&UEw&1gz>HgUkFQ**PT<+p$ty*|YQ#U>JW#0D~wfNHV^UsTRUb)vhUwTi-hKt^+
zv-gB-yy<Nkz30k?tKN;daa}pzp$?t<He}PGpNiJe^*kRZ#Xhe*sh7Ja<?^2CISpSY
z`EH2YBzVo+>~Ph|wg~;3BCm6ncTEK+i=OFkPF?dZOMR`nd(+oRu{WzuuFKh*622{N
zlXkTJ&8cfa(l`A~SI@b$;g)8jsbA-sQm>@B!BN*vU%I=^>ZoQ}s_yh#yBoi*-NW+s
z?$KZ8yj9=V?+y8Q(A!jg@0AZHy&KQRb=7<fdbE0a)V`D1JKs&ao(Yb=a!~XcI@DeI
z_O3zp#nLXvxK)yGmbNLzaq)Z&N|`ZT=<wbvpBDd#dG&6}+;=(Z*3o~S*vy}+>sGNc
zWyy3cx5|}iTc%4LtI#YsS*iXur|_nCr*>S{&R1{zO^xg4C~rz!7R<DHj!@;(KjlK}
z=U)2cHtpTF+u44aJM^aC+Aa8f-E^*Zl`Eg{>xbU?9(0yj-_+(y(1VH7mHPKisd%(>
z+VXQ{T00;9nJ`y(UggRMJEwcaRjhopbb40UiP*j6$?v`NS;HzdH{J5?G>yxO)IKkE
zS9ZVo-0OMi-KFO~mwvDJu3VYYJYCDIYGvBw=~8CZnj4OKPh1=4mGe31bXmIf)jLJs
zwzS>$<GfSo^(1_`N?ozn>GzvTwhO+WuzuI>=@rXkvfj5na*oroc(Zhpa@?vPH@!{w
zSFB82Go9)29udj+OOLSVZ}lnJ7JO3gdHb6j!;{{ullO)g9rYFs-Wy_k*1Mkf@?Mb{
z50{?s(N|5Y1SQ6~T4mPuSN`5R<jq>VH^k_?x9I1+A)60)^K$PMiFmT~M3TPhw2GCf
zD>u*0`ev~-aeXk;?>Ry@-%mNhxO|Jul%>06t7ZmsO*_5xTVBt+T}M4xgZG4NI_oVO
zy*Fg@QSWb&50)NK(|0wi(%g8^yG(a`y=(sRNjt%*YwmQeYgH>#dZ%lJRiD%=-<z_z
zbvl#w9+Aj*OOF`o-wb?^v%Jd^ta9FTu4mONQyQmhiB+vkn>bxctXgx!5$}n@ab9!2
z1fAAQx4wF&%<Hhua+WkJRine2oiF_|XKr)7^W^5;Ii*qUO~#*g?g~B{x?CitxZdkz
z>GF^}1zu-;mz(62dnL37D_PH-bmQriX?Lq0PnmPoKIwV8t)J$N*Hb3d`mMb2e#$In
zzf6l4Qw}IDcj2>EEj*&B>;CoFN{i+7NBp>s&j~8Hqp4bM{yM1eyryZr#nOlSf|>Z|
z2vxqAazuIg7MpuI=6+`@_g<CKdm*r6h4Yh1^?aSDt+vDjM(=#{?nT|Au%NQ?68pP4
zeigAl8@c1OcDz_R$vbY<jyFqZX~$*lc(wF^slMyFYR$sK-n!;<--diT^Hb4U{m!SL
zgSq-s?|ck8x;}2z&$HgV`>Qn#d}>#fY8u_~?v&qq<<sQN%R_C-<67s+xmlb~f8IVZ
zPD}FX(yoj3ajPUBFKwH@SLDZ0?};1Zym~$dovui?zPhL2+m^QJew=%Xyq;_g7RsM<
zsVHt*Ud9ZC_)>FIpDEsNdwJ(SmA*e)dSAuLC#$Dx?W<h*Z1;32wu<!z1{b_1I>veN
zd<!})kZwKIrwCNGIqwbGP`G-sms#b?q|MXcc%AX)jovGAqyE{_6J`3UWfdz^pNH+8
ztEFZwefXT%?m3{cJx_n?n~y<9<Mgk-`5bh(PM?*pLbK?Ccc*h)R^)@6<+HY*+j#54
zInBoJew{T1UXK<BN7<dcbob)kfPJst^}TZ~TDjMHS9tZxgx=|1*Q!<~&7Gcgt$Jl*
z^K_=`dqs9WTzbS;f9sw4qHVz^lR#Oc@U}N=`JRwZtEX$pRjqv1I6Y_Gx1b07`mXOP
zH4CqM>+ZL{y)vouvvb+DA7{N;+xLbP9q|@*-y2eV#+&!}UJ;9jOHX*}tNK;0e7a@x
z+^lI<OA}`XGo79*6!~z<5wGQ2)=XNud-3m{V6JngmVSHpV&Ai+P0v3GFR3qg|IV!+
zy5~#KS#5pOJ>P;J^iEgG-#ew^`O<0Mi?+RZ_rmUZ4v%$oO~JhL=fvdqhI~5dEjoX1
z$mgTpyzzTQemq-xqFi5<t-^cT=2e^LYMryTPf72-eXjo0IkECRDe0m5p?{t&oppcj
zl>)Ttdt2~X*5@5L^*dfnIiR}SMbBEb@TjJ4`1Y>&jFQDioxjfR%iC0;5`KBEmfFex
zUggVXyqPjd({H83>nXG1=OkU9?#J0v=#{c1SZMK_OGZKYTPGc_dVJ$&VoRNowe6oL
zQzpsJ4Klo=X?l3hC4(!Pjf#GqJY`-<6N01IUiAilbjg>o);x3FKka#aOQoOY%-2)8
zEd5r_d^@Er(vR~@iC0Qnu+ZhXmyCS#=geCEt0KE%nRCLNx>YACWQBxFGG?xDGB@(M
zx-j96+Qvio4jA0kWHp`>WOP|mbn~2~_e+DNo>{0GT-Tgf>F0T-yzA|Y*-wsXO0KUz
za*nBaPLRP3O;zVPL55c}%ft%24%ZhfXQ?akdZNBu#m(ZV-^8lNAwC!ElhPXl{WK-s
zPMKurxAMnL&2K*+PHEfd$C*>&1zLqUd+w!8uK9Cjby}JDTv9ZMY(F6)D->M1p?EUa
z-RZlxy$aaiyLQ9(tLy&yX<9s(GO5{brNxsevsnEyBVJ57ptRgY%vyEh5lvn1ug5g&
zZ>|G5?DU+V4R<tEwdVwFyrhYx0)5>ZywUl8$6Qu3i=_{i>}uZeU36Wr-%5+OQ)U_a
zWm>$Ra=>!A%Q<V+!h@Q+;^wD%gEt4~Z*7aUVkvtKUKhHaPxp7oi+x*4J@?*uXB|EL
zDpz&<&09~WG}Yhr)4ch3O4nY$l{cSHY5VKPDO2F}WI?b{`<zQf5&3f_Y5#J6qf>B1
zlhu80P|+Dp(c^Q2iVtb>o}VjJ@o>rs@8v3SmP?;*y=NgB{<Z%`<b&Q|u6tHXpUe%`
zx@W!gS#z+Iot5f`lbRFH`+3$BdYum6TbQ-(<%NRS_5-}KO6H{=^=~dLOkK`(clySC
zF9SZruHEoGbWOFN=8wags^8}ZeLSFP%0KtghZCBO$Nf5O3cVh!433)jvNzbsp?1aB
zef6f^e+!p?|GN67pXSWRQ@VEgt(^IMO50CAPMrd;l!d`U-E%H&inzPua_#F^)9%eH
z6N^|h|I9fi@3}#n&S;9Bo*T6JP`xIv_FSQer&CU3Emv`~UYgo-&%$*3SG}tR?(GNG
zTe;L-R{Xe|Pq#eu#lDoUo2z!-Enia?sQsq)Xx6G=u4R@>Q+5SwrCBUZTNW(!%}RB{
zdCiG`{XAs~yiPmrE%Z9}N`B+!1B%N<WXilw3N8<kDfK#QxZFgh-0MM0u#)=RNfuA*
zr%bzC^*E&GGAPX%`)OLdo-(P}Z)L>eDYLfvWkx)oa-eFti<yP$#*3P|v%ef$DY@SH
zFpDgcaA}9nB}K!?_Gy}0CGqoat#Ljmw)N1x@a5}P@E^KozI>h4(kHWmwbofLebyE%
zm1m_|a9VTXZ9mUDg<hxEzp`5O`_PrJy@hXdKGe^yGqUFX^KQzd-F_=~JfAYle$J(W
z`<jjHew{WYUXL~eNA<nv4c_RRFJsLubJagBy)oHO)AHSvE@8iwmM^EY1^aQ%Df4>L
z6D*WI_fnBx{+wBFe^q4PSm>OvoNr>Rl}g#`3!B_q-+2c<zk2%ZKH*ZwwHv-qUBUP&
z_Fb)V)`DQKdN#|YNgIN*+ANkPt_Ws2JV!|K-IOE!ewjZ#^S4gwu6kTjuXzPz?L<FK
z$){7g+|Si7f3`7LYMzDahbx*B)BQYc%Dql=?|mK;a|slL)BQ9no=%x`-EU>Z<0-SY
z`(;)<pK_pjxr?2J>c@+ky7RvrTe)+M^Wk(^rudSMnyZTSAAQ@Wy`TN>SAWzL_<Cvi
ze?oN$f8X*bzb^7wbJjp?&B=`^rF|1aCDnGjoZgt~+Ln9rlMM6eA|IW%hac%LO!Vw)
zJZkAC_H;(XjO5czJdgR$oZpxb*QZ)syk^Ga<g;&Nq|=^C%$S*+;?~#s(sJ9I(*}PJ
z{FCA4dlFGpZ*aWJ+47pqxs4A!`WhEoy7fJsVKFcHbSKYkd5f9J2Up5?`#p~+R69Ov
zv!&Ub(}o+qf1CgB-TD6u+y5_L`FDQor<~W@yFTCk_sjpml={Es8CAz$&%3=-?2TB|
zChv@+ehW>lTg-CArEaL1Yzoat>b@}T?9N*oH+yCrbX%w@-4a$)zh`RpS@wmz?_1gK
zae`;2mA5=CT~{WuS19U3P{v8_g`($Muh|IS`Vf-wp!33s<b|E?PSJADvW{P7UMTv#
z_14$!3kPf$PTcJzy#M~M*ZZCRo4@^clWjLcaLk9*k0x1nY3FIL+qv|Hp1UY}o<?6x
z_?#VTH~Lxyx3k`sSa~DOqyDJqV$th8fp<P^esn;;OF3Co{g`H+r2dV*F3v7)H7&iF
zOLin}dNfP3OM00WXk`AU=f+qQjXX)c8-4wWlHzyetV0S93LI5!77gbKiZKo-Jj`%(
z;`^I+H)dDu<J=bedG(_v{Vs1i?R7tv>_|H(dytn~lwC}-PbX|n#G)OkE9(<(n@-o%
zi&(cKrS(zQ)UNC>&Af=U78~3OQ`SB@Vs%vawXxpr+JfdsO+UN5pJ}d}v3f^Z-=nsh
zUCNtTZl5y?E<DL|RP?gwb)Vpz<xEE#HM^82i>j+>=FQaDVf|23&tk=nClelZ9q!8R
z)5x<}Wl`W$_+-bUBb-Nd)s5Hf+WTrs{iCMKUEb$3wsl5rcq{N+Wcr-&m_o<Gv#v*Z
z*+tmDY4^Peo^xaMj@15y+lpm4mo+}?dHrtg{Utk67C)L5e)D+X-M!QGMZ@<5#C(n^
zJZyZlae0@rKV$-0<;K0!?Ooh*8hSg|?|8(2wBGc)i20pRnIEx*Pt1>Y#vAAD`u?de
zp}IUzd)<zuJDx3j)F$1<d|lLiou*$*=$#_h4STJpi;Bkt#S{f@oa--geNOlt1B=^l
zXTQ5ExyZsOq%bMs*uv`aHtucPAG#kkwb#s(SZHAoRG2d5(UFX!y2&iJZ-3bQs3~4#
zdQWJKp<iMB*@~mQ%_8h<T75hLbAHU*dvxRNd-p}I*M#2r;9B@#GkB7>zbo2~W82%m
zafJu1kE-U2hVKcC`5aYvc-ibGW@%A(GY!8r=PgQaSI>PkYipPEH4VNsVKR|REj9)f
zp5{8ZZSQn#y@+KyQdU0dQtiqX)6R=nVzD8hFs1R)kv~24xAP943%+9zUYOAL=tR!Z
z&d9FlG_GyD*3N||OOA?O7P;;dddI-EFk#c96NyJVmCb+G#a`Wg%3f>BgBcelJ$BOe
z<5+8{x#i)M3kNb6PBeBBPH){d=k(RIkDr~m^*FZjhb$DG-f}HQ>{fwC#)AbHPB1R)
z{Oc6`?P=ET+i?q7t6S=)y%CGr=$&!aZy~RB3)?pC-W=gsH?+2-darxSpCcCa@tfN^
z)BF~;IPTs(LbEC=7r!rf`EUF5tT>Iliggwrd<q|}OsICArvYAOHu2Gc!#&lzA2J{9
z{N1#>Fs|@H+_Am!YIj8M^nU((d+Ybzv+uWv{n6U;r0+u4ZKv!!&fFdKnkEI#8Bcay
zIAXd`H@tYO@oCY8tkYYk#Rx?iuBn%Q|5q(z$AvceR<=4JDM>{W!=Q}QD`MWBF^bAK
zD6vr0o_p;N*Nn3f3wa;6upQ&>^%0mgLt{&7(7LiTNv$nO9T#RDbW(0^QDgg-{r<ZT
zbBkEb`}^`$`%{0ZZF#i%!Yq9!>3TbE-WnmPpBg3~9Wze<j(N*bp}r--`~UnSRr~+k
z(%F(U`NFJTCuuVd-ZkP<k?JNJ!!l0Kih0Wsp}Qqv>V-*DowUO^)<)`WNt}7%K+wX8
zs!qb)t=n`?UF~aVb<!5&SUXeS#K=D*Deu3$&#PU(&z}vPGh=SyW!tK0kD6w7NvCPl
z_xXg+nK7;K#lOkHqT({4cM5z8AMAK^g7awSWXa?2rp^h9DTpdOD|wVRU6lQtX5XCf
zIXf===lfn)UKN;eP<5f|^wzK#!KmWUjKhKp8xK1vx3{SAaphW0SUvabH*Vb<>RVFQ
zU+A)R$}Z#1y`gHdAvhzY{lby5g}S$kwr;)|wy>V{{cro(Rr|lxs$WsdUuagzom-)6
z^1&<P$<hl)xEJc4FW#E`Z03co<xbi2IC^cwW>s8SzxTd{y-3sthm4c83(fMl*X~r`
z@^D?i?5(YCb;7fLgl3$c8}pW9hwhdK(=JS!?WCQ@v3954mWQ)090*-FQQJw_{@eSj
zd+)FPKV`KnqjG(VSdU1QkypmijD@DjEoOgoOg?m9I1#b1v(PE}*z+v+11t+gg<G%r
z2uaP<F)>^k|NrOqUryX@oVt=)SJHA9nq_n6N~o9^1Z1Q%TsZRmU#)tH`+@B-yMMd2
zh}8&2eTvLDs=m-vzSXQoK<dVA`_S+IHf;3INZKFpyXN%OzJ}&{CvG+_T}hQKNgFQA
zQgo7T<KpEJmXg%F(wDcetOR6#M_upTc~(Ig2Q?O|E^ZC$5sdo0`9fQK3)?ZS-X7su
z68c+Goz`tz?k5uU;ak-@)%=#QJz`Oxy)q7aFKiTdQa<0RHjgv6a@Oj%EB#x<_6SFP
zipx04zOYP$EBA+r$%p#Lj3?a}j)X5PYdd-M+m-i!XI1T2eNk^7ynVTzc+@7RjH6x)
zO;@*=tr3%oP&U~VmXQ>@Zkzu)@u&@s87JKqiq3AimLqm+gKI{@>I)~#7MeM7=iZ#S
z`t4-vR<SoiQJbPy#L9APJ)gXgcXBJ+GLBv!(X8paoT8UK&-(qOr!IGQZGF+Q3r&$u
z-f7%xXDDw;TX&(Y(uw(VtD6{yug;mP-%h$Zaf@;5Mk;MdT6tkss*^My=T_~og%g#X
zgxy=W$(+9W?c`%8Za)rPOU*5hrd*hH*-82w2k#tFDNA*e!nlmntueXt_f$Mye?Lb1
z|Jm>(Rpl4sZ!GgZ=(>DLOp({o)a6&|V+y?vhc0JXXQ^6rSF=;vFLUS0?zdOB&j~8H
z4eKa@J8^e38|CK;{XD7JDd?9exw8B1-^Fu+3~p(vCeI1_xH&khuF&gn#B!Enma0bg
zG&?!{GH0&nmQ|fRC&=KYrfTq<Aj7MgrkCejGPtYRDCyUEro`*f#ryxJ@2&rzt69Z<
zH(8%`UWMkTE1uh~+kXx^TdZ%o=R?qgrPGzx@0n8ZcIh;8zfE)hubrOb_iYmI>9|du
zH@(fws!yh+>)&K}t2%qp-ju~#rsvEn3o;L>UYT^jO#Ld)m!PBxK6`J6vq4AH{5Jh9
zm_0pfTlLDs_UTO4dqr+OU3w%>zkchR!k}{QuIXIgDp#iLpRUDMvGUo1={fH{P2!D@
z+r)Xs+pMkfWSXJ+&AN&^-lpn%rdVhOzrWWS9M?6cY|`7n^gSWP*SvW*?-7~tcIgQj
zebr}GD^ur9&gq}^erXeHoL1zErCqLZt0LblZBvco()k*cGIP4n>AhDr9rE1fzV^$c
z`q<mmnj52*-P_BMy(eY*FTYoLnO}p>R_UAOd<aTdJY8w_o-3PfdTzUIbK~8TruI0k
z$|p;^j>oO4e6+M}d0dyx=b%SBr$@zEocz{1-RSE-w_m5cCtmm4RQ|Jfde*%v%}?jN
zb>GiTd4HW<U$o{+&`EWD)0%IS&PvB^syys%mT$4LeztkatG}!{2l&*t@{~-<?F~LB
zeCwLU+odNY^;OSRt$aFXa!%~??cE3Wly`q%U4FxLd+?h@w>8Tyz7^U1qO2>n#QLb-
zuDMC#cl|bY=Ygd4?n0HQmd^?LxH>qiuGs7Fdq2)QrCv|w1q;<%EPc99Z`<N?Hx_yy
z^jSWo{!EeA(VXR1&J=nbj#<v~%u?0pzGf$@UuGmI`E<_-+HgZt)q76R#w(hpr{`SS
za7VLI)UR_*iC5B8EB(^{6W;Kz`4)6gN`LB_uakI%;x;)tExT8}@u257F7v9D2@|G!
zu~n~3>X@F@R;6ih#(UyJqpxiH<>#in{&muuRe4W{QT-)v(Zzd0jBj~28pd_~(FxAa
z_<7WG+q#W$S`yEfPWl+PYQ}@5vpVClW;|JXAVuGG*^1;9<%@rZEEn-9_BvUz{D#27
zbNly7-)Fa4nm8etsd=uD<fAD^0+w&#xqQ@4zTJB11NY@q{ydq|CFr+O^5vAaKtIl&
zGOv`LU?F?!qu=sl>)(7XToau0zO3u*9lwpWw>7tATOa+Fv;0QiTanxA=T4gOYRWYG
zEBb}i%h&z()2w(fWm3D}%8DmbW*zs-tavo#K=AS#PiF?ddF3<p-r2wUbAmox(o|hP
zC+OoXP1F22mp)w6Y%KTd+*9uLs5v;w?p2ceU-ji8HDz8W#g~WFlzN>tuU~FbQ|^_}
ze5<;8f69#)Q;w)D-;#6fXx}egKg}DjrcBE9TY2N%lv%QVnKxceIiR=PrOaA&<8e*h
z>e7vi>*fb@eY0Nrq#;;~&uZzj3Bgi))~W?ZG$$_h^OOl*S}y#jaJk5w0<V*v%R_vM
zz0Q^_H#t+_m9Q*WY4e;(Gu}>_X1VJ|eW3kyP1etIf{gBKigM2h+I&Hiw|kCI#G5H6
zgqEvJvs#)uQ?Klbe!15{uH{qKlz1K0T7G3snb%>d<t*2%R5zW|?ELDNIdgBYnDwjY
z7E2S>1$#ZST$;2mI7`f8Y2w0QrtUdHk#D9P5elu^{zJdi>!i{05S?<bvs}wf)|7Z9
zObxDAT0M7C#N#Q`w(h#Iaql@z*4J}`HXYOym7W{4`J^WA?72cW9!)tBuw13ha%t)g
zy|SGDFQzojpA%$sNK;gKZjkXQP2R<GldeDU+vr`iT&1qi>vTlyn;UY^r!;-^)13KW
zN>``f%9&55w4L<h^eOa8SrsgFIpk$+W#X=2uQZFLNz3Ykv(hY=KHL+`BtA!I=Zh&v
zRF`jwxwiE8rCq^XxH|DRrCz6nW8d7Udo!iU-EZUT;N>AU1zu-smz(@~IOTxsa+kVN
zuSey}x7@jWwD0Y1Kg}O!HC3bM25mg6X?lC^r45HQ8|V6UzA5rb+OD;?UO)Ryq1WNK
z<t*PURX5$&>}2-Kv|Q0G+uJ@TsNjaCs{5Rv!Yi7l$LCxsxTD!9?AJM`#Ou+N;3&V>
zN$!s&my66P^*U*}JY-Jb{@}N@KOe6NmO5vtT5wNuBDbGsOo`WN)z~)~d)`cG()QEb
z`D#j6w%^K~@20d#`*GeW@p>{XSg3w`=*!x#Px^wH_FJibnjQS+%=0PJcJI1jSbkoU
zwccXslYPN&-n^eOtKBd2#*--r(w4hyvryf5Ra5tN$;RZo-N9UC7E4o>2WypCE=}7W
zEcMMob;EVdiM4*7Z_2$+GseD|Vf|uCle3?u<(ny8%6=;?UrlLC_T!W(_j=M2ETkU#
zvc7ifvnjz$%jXJJz7d(dx@4pB>y^P=^DLJ>+8LY`XR-9*(qN|bbA&42PB|jIe9NAz
zNBicN`)O9ZpE8NxZ{?2{Q)c=5W&U_G<$(Bdmwi^MAJ1y)-Y?zwIKAN)Pu}k2#09}w
zY?ezCHv}s=&zV%Q<+1<&-}C>gYhR83_tO6V_xfM;|LQ-=|Nkfd|KKbB`k(s$r_L__
z_oe>N&-$>7|Kz8?dm*p8OMdUuKN%N)Up#%Tf7;#tdu4T7-u!(r^^N=g*Y*GYzhT@f
zUZei|;{K!W+}B&#->N>i-^%{idHo-=J}=%YUUT^8#rnE~*WdqnY5(tM{f1xX|C?Rl
zum9P|yZP6OguiR{|2yqa|KG`egU;rrr8B4MoLrMsm}c5-lpQf6GQlxz;?J3?&r+`$
zZLiN%ef?`?Qm*~gH7}R9UHvN(an*m~)W1_AF8b@9{%<S#O;6_i@}}hfb*`@8_1>Ia
zli;7Gy4!fO|JO5FWoc>G3um%!OBcI2ZRT0MnOk#S#z&pJShwl??YdQ#cl>$l+ka^m
zY?!aa{_7-LS6$Z4@A_Y^*Z+IPF1Ggd;-;g2Q+8L_hw8+BzrNn)-_!r^=KX*F{~+H{
z`5PN`t~bqf@-E|Cdt>jL{M(=B@AteZyJ7bKRO$a`xy;V~dTsyf>-ulZ%|$vAGm=j>
z$Y}37e`dq8=sw=~;w}AaWt8U^`_!D;`1J9a`hTI*dQODJeGE=BwNJZdV6*wahBHde
z#@;+9*Q6HQSJU3L{h9N9uda7{EA2n$zkjp;5x>veso4ib7OGBe4f7F-G7ii*%(AfY
zqm%OE7PVtsxj$9!bE&@nv^Ky{y*>qF=*Q>v@pp567x%Fy|GIwD`u(~Y_0zKtdM#94
z-4eD&EKA-5vGl}bqhrSDW0g0XyxpEhFH8#QGgU6miI`)bp5WOxajB)+w5J*K`~ODV
z+Pzitc+=UxuXT2pXZK%d+Uw-~jdSe{{VmTLF0{>WVRPf??Gc$Jp}HltWZ#2Hx|U%%
zXE&xr_wn8?=6Q3%aFcQU@gwVGqRYM~r@p^7Lx#KVU3~i0z0tufVm_i#M!p$GOBR|M
zx0sy~k(!}uVicW`)E6+@^fT-9Ka-M=-jK;Y_Vfn&VyA20^3I;#m{9lM>h-(3R%%-w
z?6@#V*h$-uYptc~mWNv|9FSZ%akG=~@@G$O6;=6dtA8+w^=H_Mh#8Ax_dK~#U~v4v
zLK)@uVjr248=q#_n|;fh`?CIH@80P2-`8)JyuW6;ko9`&v^xS(g~1tTbr<qlx3ZOS
z_P!CDbwhbeYW}_llZq|F=A7RMU#(+UWO@9^RGH{?-;y_!XUF%k{{DSka##85mJ3aj
zoxI~X*49^OZh1EKLfdsG=KL17dtAPIgl~Pai!V6YD5K5yETUNBc-vu1w!SA4ma~!{
zMfB+&|7}<%T+_!Y|NFY+?()}t7n<T*r~Oge@~C{FX?d&J9bu^-&KXbkT{yzLP?!HR
z>-3sa8y^+-nZ_4wd3_MJ=E^W3uT6LRZ~2*T?pD=LNj~`aU+J=UccqlCs0l6nwo&(r
z-S*a7?Arr=KM~84zw7jNbM%VZ3hu4`?`saK`WLOKn3a5XvyAk-=NXT)`^@$}%Q&3f
zXSVxWa`yXcQ)F__y^c@6y*HY<MT|!z%E%+*Xu?8M=N2;_Q7H*E6Qh`nNA3%C?VoaQ
z6Er*C)xX(zP5sX)$%miIFvl15)SNZ^sC#_c`_2FVzBk|1{aCd4*V%RDzvHXEhvlBS
zxbAe(mh9;=Z*Qr(?=Cxeaa}B!t9-fG=gf(XscGB1cGsOhv+kbp=Irlh-kh>E-ko>;
z%(`2~o3p>2dDFG`z3cS5`7_g=Mi}hQ=YR8oeHw>ugw~dnnHTE2o;qdgojtqt;id}*
zL>Eq6?Ie7?Wn0Yq-wqnP?;D*xx!vjL9hvNBPj495A3x9}qs(3GqjPFwYN34@`=#E0
z;`e1e&3|9NX+7niKvY3M#!1D6qV28MWCU*&gk(IJap6Sa!cJkQXuoINdON2kAAKv6
z{qD()57~W<_ltV|%u9Zh*H^Fm{ny6dx|dhVaQl5<zxjLgGJhv-J8s>dO5hQSd?)FB
z+`K$5c0YS-Vib^(G~vRugSFu^QolzpGyWO2!eVyv*_|@d=blUKn2`J=sjrjYa$C)t
z<L|cnIRA>T`5wJ&;osM9{!V-8`TyJNztdi>yf7)<NqZjm+DgSO50_pzpuKQn{dFhd
z{FZHd&Te-)xuHfogy;OmXUAov-#tGsSur8`Nn&58yX7{UcOWC*7wJ^o-+%62{>){Z
zx-;~)q_kb=n(36C#+f@q*Tld*BW2x%BQ^_lnLo2m?>W6Osix0VxF~1FjO2u%zKJI-
z)s{WEVYF3#_kAPYQyUWs{##Y=PnA;LQlGHp!X!f{?K-ij&)pZ=Haam&x44;c`Q`}S
z+GH7DaB_l-cH6TEW1Zt|4=vg1&KQ0Q?&}n^+|~p3WOR|vjay(BedE-<p}*xx!-cM&
zPT67{xe*#B8$2>nHeNU)v{2XkGwbx6(;Jhj`%JBia&F8_P6+Rtc-K<x+mjoc>bHZO
zq<eZ}LM7Nqvy4oyH=T6yR^wbdL*t5{9(bcykMOVm*9+s$Rz+Wz<V!b7etzSe%{di6
zwUhk{Rs}1aDlMjYMlO2$@rGR7Q>E`e8D9xiPrYHS8YtxI^=5B*SLmCsa}M9D&cAu!
zd#Aw0d$l{~J(tN@v(>zzm-SOheUE@i`i=#xhmXx{aZbCf<x-QJct(a>_*vA9PitEx
z??=usK7Xj`r*A~r%r-OseQ%6-GoMA4&b%jn<*q3!Z)Ny}j&hdX%IJXa+cZ~bH!hGY
zW<5JoIpd(Y*~1A3#oTx{8Kf;}<z&_=DR<&Fw%cUz+?ku(F5}Rq(<Zl_%5zOWuc_bV
zbD5QQhT4kiyCNn@T?_K2D`p&0aST@Dnq}yCVa~^<UCX!o-q?F4TH@o<R>RFYK0gjQ
zm0#X=VXtiT4CBj(nj{~`y~&@lK05ulS>%D48++sRmcN?O%IL1kW0{nAB#}FrFLFk~
zg+o)A#dej8a~FS$jQG%e(&)*SM8V}e-7n3~)bHh$o^~lS;+W^*B0q_+f<1+o0&k|?
z%1%5mZ=?RY147@PG%jHL&dR$}J>y8MWAZzWSq0G-rbIWT-4QW)-nM}CdhVfjzBdka
z#EKoW*=+D|WviyY&Y6mw#B<8r+;V1#H&b_|B_6n%HZOGg%f!ceL6;iXo7(P(n>?Dg
zz_6UvcZYI)#;IJ#yR$beurz1Y-EncpQJ2)|FT2({9ZBU*){C4`aPiO-Zn3mE+YO%2
zX=Ux7^*r+4w{_7H1#4%fmp-=EUa{Mq>(x8Wrd_+c7UcamzZbOqzwni}|5-|Z+dJO<
z=O3{BzjVG3-_ESn0)<Bp%{VQl7PHCV>7rIiah)@k`H2U2s;#O2ryKnF$!WdI6Toh)
zg=&MFB0SGDecLM|TTzq8oeM1cS#@t@t$y&Wej8Wb|MZ2o|3|*~Rxh^e@BCH-7nD@C
znShc?ZXPJ9gmFr32)Zyqv}sqlw`1}&&RH8mE=*BvO4AWDNuRi&o|QZIkm78eo*Nm7
zXV|!fuSL(;bnZ~kR<T{?iQL>_=7~2`qY@Gi`~kae#R5im7G6u8j3bJU$$VV13LGv>
z`P-ECM%d&zyCe6vTP@DdA|)>7Ci+Zo<(w<FY|a*g$1Sav$8~iquC=62&+Kny+&#N8
zEOy!5WF6icsu?Hz9F^<KIHW%BUBG#p)%T`a#<4KR;%yvJ8!CG|EmEehbvok5om>_%
z<HO!o&D*+XZlooii>vlxt)8{vjZy9<gM?(`^Q%s8ak|j(w5csd$mG$Y1%~1*z83l!
zrz{<f&vDHvcDvAY+3ev2UNN^d+YQp@wsL0c`a~ut9?Rk`4vUP~u!-|@z20R_ZsuKJ
zXB}>0lMym`vS5KAJ4^3P{ft9)j=^;T@H2Chg!x`>GFWu^(2U7qYI8OiJl)YMd0gj=
zWme+BiE28#eJ1Pj%(!x9^D84>A(Mm+3lyDMdL^_VCy{f{GIF}mGqLGekI<HcExr~h
zi&_PRb$TT86YCFIa0efYnqheC&>VkV9SMtPNB_C)Z2W&@LqqCWP-e2`X5P2eAZ1;v
zV6jfm&tr#LZi+3d$xS>KF7~V@Gx4C#<kS;Ehn1#Dh;6>Hp~barsg8+Ga$}<9XI||Y
z*DYS|cw<zXn0VlMuV{pmxn*yjo9Ep(9IuwS2bkM8?cx-=a<+cLg1j!4(qfervsE1L
z>X^=ADZH8b>r9wp(y~@VV;!Fvc?)N2Sx=a)&3!i|@<rNe&eM9A#l?2r%mO7XHBPAw
z!51dTHo4X4XPhZ>6yC-;Yg6cj9^IyAIbvH9Ci~tvJFPWun@-8*)P=Kkxr3KQ&M>@s
zXpW|sm`~oJO{ce9Jk(Ind)8*P-sK|4yS70A<^F<K?uN3IeiwDTJJ<EXl<zEOE5a|#
zDQD5GxUD9$>E5A^Qn6!ewi+b%wrZ}{Jrj|dc+QKPJIo~U=Y*<jdpb@pzjFE6+znMe
zcLhxzY+InXouzk&X2uy_N8xqcvx<B#^sH`r79+Uj!6sjeC!MW={klDsDfNkmoVkPL
zB4!lcKQyOWOzciBsBqk9*_t{%)2TQ#EOyz%Cbk{{law6`1P`<HN@`^s5^xM=<DO;c
zabZqllNiqi&i0<|21#>T4U=_!B$5+PEf-6xNlHAI!OiVvns_ty*NsCB{j)%+{-m&O
zsdcsE-EZLm+y9GR$!%aQwN9vayek$Fu$^7(N^TRY?vIp3o)%A>qgy-pb$TlAA8L{O
zHbe8WZb^Ql*skdg+;^=Lgr~(WyC}xi0}lT%4yg?>7bc`Ox#@_Sq;)Rf>}K_iRLMBz
z<X9}m5oK^+O~&Zbp$<W@V?5gp5@)n(I_sX1NJ>1Hz|GADa@wr)!~^x^;PBURWZoxW
z@}$^N*-qFbZP@}&bG3+BMX?upvYVd8h;Dgsie+-aiS66C1dDZhW+o>dG8bERW}Cs|
zZ0_QHn>IW+-KoE?d20XK)55cK9t0WBFIu%rm*>SMgC&;_bxamJHfMvu!yT=f$92wF
zWF?*x<mP6Zb;en5)){3z39<U(dmCDc+m_BT)p^{LXt|tM+u~}%Q{^m&$8%1rUpd~J
zxmGUVyp&}4`Q^*ScI}<gnx~(-@V0m4i*G?_T(!>=`hA`W%1eoTZcOBSFa7MEGEZ^7
zVB5}ej>C7PU7Dx%FShP2)|>CPUHW7w|Kjb^_1~9OiP_d?pGo|xQI(Z=M3_5SE_%j?
z6Njd>i>29YFnGSNmG!&Nvv+q7ro6i+!_)n9!^iEjPCY-*-nMj4e#Eirwx@ftBMw^g
zhS^oUGds7l=F^=_m2le%HS@G(RquQ@UC4c@Q(|q!eYfqL)AsqBFXTSgDcNnweK+l#
z)As4cGu!W&&2+zGq*MQFS>n5$88fQ2q@K;|Y}={6C^3)!y2bua$;UDd-{D&~G3Kjg
z@`6@IW*wfNHx705>-5~b9>H`qyhmQg=Vo@|F*)wyvgn8ns{?yKF(31oyz}-}$!BJ{
zZ98peC+3|_n{j)i<TJCJww-^Yny1R^m6@H}`6qAV;)yX|ZzeUjGQQU3sgF!gJQBs7
zd@W+ehFgcGgo>rD*<$d#tCe-S?=;2x(qbF$ZkUnVrkZ1_liHmqIh*(N&6J3P$2x8Q
z?R|V*b@hLitebrM4Lm+Jd~UA#<9>X<#J$Orqgdu#P<paMOz`-Y4$D=phXi$l__8Nn
zw2kPRqo>Pd#lE_$WzOWOT^8DvXCzP73;TJ`EAlFu-uOh1@#Ni~-9ao*L*|P}POlH?
z7jC{?FTY)}YSaB>rf-!#C-~)rH(pOp`c~m{D6il1=2JsSYg@JS_TwTo>$@L^tryGt
zn)MJtNw#k}VY$NfAfxIjeNFzY92E<)f@%YIzB6RJZlm^O)p3`Yk27YRu9ur!a56ba
zugd2bYyU~h_lB&`OA4LdWeXPichA@zrE=$@($j5XlH0eO*tyd6Ag}7G|KAq49*_*3
z{EGXmOh4z3W64fw)jmhA%L#v;dR(RErJ?3#8@0#W{U?9kN#?S<e3a92t?Lm@-6XM$
zi3aiAQ!1lUYL>g6+a4uyCUnuCOUA+V-3^kf)UJxp*Oe3Aa5LG-tkUO*TYu-x2Zo%l
zZPile9#2{Gb;cZ1x!?^^;_4~8!~`#I>6p37^^k~ekXrV{jj`Qxa-&3YLKo#6Tzg3=
zVd*N*t3AK-c9=2Vuktw&)89Gcv7zDp3ZFwc{hmK>B^&MgG-Hmw?XrYx1(9<mi0;Y~
zJYB!FBXXJRp&;F$X&DnYMs&|{jS|rbS(Nj+cA=0?b?yAsvqE_Hd&&tLTugRStMEA@
z*57&ajUnf38@1HM$5V1X%$W04E_j2VxcU=gU8S@vL1X9cmX}dWd@d^`uM)Ei-l8#M
zjmu51nANTaq(UdJTDjv!GLu-P&k3jg&WHzwhM#Tg)e`3&U$W=9q2&82pL5o7!5_Bi
z`JKqpRSL@#+#J;1A{w<s=eknzOfgIEtr`)FTyCzbG4ttaSbo^Wch#|{vi+Pp-Wn=y
zw^4hv?D&y8A7-??mYZC3HrZ%hmCrfReocw>tHnOKgzG-qC}!Bd#iL?{>nUbkqj%X8
zi~YNsc6&|Hy;xtUb#RluRamTGXmQDm<19R1XG~C(6E?h&oWxe?bI76JQ}ThKq<po{
zId{3>4-57DPDtn~*<Dq7Caf#umOatPtGj1n)RUeIixPGSrQZI^tjlyS+;pYX2Kk-~
z$Nu&mZ`t$QQ1E?~&lzjE$se{IUt;rlPU*4W{*#rD3|ae23-2V=%PF=-pN`tGbWLmY
z$*3KzYg(g^N9{;m(;9tLIfs8k&NmyWbrlDkr<PlO4Zh0p^o;*SrIbx#f|Iv&%v|Am
zh($N(SoTCizwS93qeOZpPUWgp&=xI>|1_g|l6s9=|9qq1Pd$>8p8HJSlQ7FeM`!o0
z`B{P=I(JO-x;R<*(Jl?&1BLbdoR-fF1>J4co^>2ok@+@binHA0!VAeod=)+i>o(py
zylJ&{RJd-^6fr~PtsW8!T~8J08ntCkG!E%*nyl6T$?5)b*8}{k)UGbwcQ%=6Tb0j=
z*#6EPj|~mC+p0aBc6`a5FEe_i<t7*3P3Btn@=*)weX)MJM)hSG6F=`2W4%39WB0D`
ztsFZRWd)U%`d9lL(Cp`Y`E5prUVrDyFEd(xJ8$*e`P@*l+*a**-*J&Ua$4QOMUmmU
zk0yy3if{GUvB33IrLNJr%!$Qe-A%?`Q)2H%YaQIQx;pskl2@<dKFnzNEGJxaE?MbZ
zmCqT;eou?HhMLQ5)E3{aPcCZf+`jvg2#;vKYvRqTdl#-2D~#=)ksYNHb5-eSmzd=A
zttWOaaXol+VVQkE+%M-gO*w;zr?Gy2tD{)<tad$N9p$oTt?L=%sjil<{kM8lE^<AV
ztXm|P8TcU}Oe<x=nvf$7CzIJc=6%@sL{r{#-p7ScEag4oKJ0t&H1(gulhFFOr?*`c
zS9RB{6=Tfa!V$UF^@x^kQdri+4Nl!to<^nUTvke7DaPuZ;_9@`OKzj<rx|A_spm|x
zNnQLzQd;GFq<-Z=tAnTcO}kb3BUHEoYnNHBay=lZ>%^BWSm@D>diVQJ$L^-Ro0Jr}
zRr@0pDt)Fu;ha0Ee)*g!Hji7LSRPl^w@|D28JO1pgmJg4;wpcm>La?_e<^K_?w$}E
z<?`l=Qd+wh=l$%7n_|0ra-*K)TwRpVz2o;(`J}RwUORPE$~`^a6-~IaRAFcI>Q6Oy
zf~MYC8*=b8zrk!({u>~N)$Ls4dO%gzNiR#V(6M{Q=O~pqmzAEbsTY$>-*Uoot?NO}
z$cYnrC%NZLuu0qdg!8qEf24NhF{zWqVcu~YygqzN_TK)4F?Nx_)#cNiqgZ6FC_QNq
z6a2lk<7Z5F%lR!HGgrDE<IyczmK|u|8>X@(_*2K^Nyq0*uz9%SiRN*Y^A=i_=LAo3
z^Kr-3Ma<NyJRlO-@oMv_<xwp4HH%zNWauinWeOSxb+-scE#bMYl)O~TQhSR=gr2sL
zQRJr%&q>F9rr0DlJ<)uudR{`k@?6A8?qeKrbuN)=l?Q?XJ6;K&+8)Jn=BiRkpP1nN
z3_;`Q?w0jiJ$`z1_vmjuF>|TwLGevWiry;y5jvG;luinZdClA8@TuqLq~~WQ*YA0-
zJMpRgz531N_ox5<zb}9Oy}!Tq-Q3T=+={oR`Q16W|2IFb=lj<8>wDeS>#z0yE=~V`
zZ~fk1zv8Pt6>fKD<@vC3LZch2y<EWr|DEDh^SLB1-F<8>J7v}X)$6~!yT87Ee_Z~*
zed^b(S^0Kcy!m*))xWi^)%(9ix&HqC?(+Kj^>+1l-n{v^x^I5yx^n-#zbafiV&&JB
z|F|6<_doW3UG4oBC+D7%D=FFX;dFh?TJ`+${R~X^Ug}-HQ2fBH_Vv=~@$aur-aR*n
z<xX|nr{`O%zb>uQ-?=k6YI@*a@9Vqyqj#NByU@KV^x9du$J^rN9&b}T+t~E{xxwXC
z^5+wsKUbVzUbeUXILn&PGbU_}ef#x=&(@k)*@(-b>t2X2{GAzAe)aR^XSMOwGegC`
z7gwZyzMy9tX2LJKg>Ubk%AN&QUyQm>JL|uF_3?FI{FV>Vdw=iP{csW+XT%>R&gxAo
z9GS$*zW$ir7r(7@^=|76Yt)MR&#@J?OWk#0T>MJ&ckKGO{4Z6T_dcq>yZ3M1-Wzct
zjQp>-7v%e&`>Vob5&b{v{xK=pNd9k&U)_$A|NMGq-MXmP$@7l0)I2v-j6K`<%GB!2
zD*5vZH&^Z{GFx42@zRh{-A3)n4}Z3-_4?)c6<fd0k9!=k*RC$)>877sR{uHwR{xQ`
z!Lfe@J7*j#`}*U3-+hyfyJznIbm?`y%^MT<SKrx>nV#3EsB_yqyLpS)r<P}utWPCt
zGwXNQEPi>`cvZpg9y!zV8XD&_vi5HKy=`5`J2p;>uS$idf0Q({%vmX3cK@5G;nGef
zHS-D9SC*)8&4@_<er)yEs~>jkJAK{a!AbuQ@~`LDttvRM`Ipzr=W-merBCLu#oE^0
z{J6P(dsVCc_g`yw8~^`W`5^CD>4SIsO9eLWlKt}PbC$o~pSP6{yn@&jm%mncRG{s#
zy71f<z5up&m)15<@Gcc7+$kIKc+KzMpA`~vWn0dyZSJrP{ZJLqTfEfDL3D?DnrzFQ
zRm~mm$^v?g7uPmq%~@G0V6>D^NiF=~?6uD2q3kP|zSqlzvcG%!a{d21AN|)Z_#eG4
zA~#(2&*X24tD5`QF>gQl$69uO2J7G7Q}$kRo?5%w)cg4A<`BmN8?87jqu2%AZ#hoA
zx^mT@P=O1v?C;ivvI{D&Zd|>fe*UM!zfWE}ur|~Bj#X0jExxOcC;nM=+_=uSsxf>!
ze*o{EReVnSRyB8+uB~r8y}<NC@2P(~r+#dF)e-vO-r?5@PoiX9VshE99+2P4AHe%(
ziPt7BC%&tWM;fvoXl3x$EcjZzwnu+k-;Gm0oMc<(tZ(ipe!D=bBJMZC)dQbjE2KEe
zy1dEZjY+Th_<sMZA3x{ERWAKMzk2`8?!Qkx|Lxq{S3c!<J}aa3YlW2Xo%Q>o8lyGe
z<n4atvTW+%txXLtO9hP9@hNSaqJD|%*LO|(?ec4Ksx#}O=DJsB_OER2*jTUKUbk<{
z@vX6@U)Fq6T(!{ss^f_ZRvi*?ymzCX=ZF3;ShVSFq<oz0M%&lQuM|>JWOtOmFAL!P
zvw`nU+d@94ch?<{EX#T@|IDv#*A5h#27LIosw-4r!&*M4ZPyy3H-kKq5AsO&YlW0R
zSr?rg-WaxZ8G4WZ*8i_OZolvA{{P?Y{~Z3$9N^8&BEkRyvvTqxZ~ffw+n~w7(4aZp
z{}PjUeMM4Aa%c!E1M|~&rs*16_5UvT#?Q#G)SK~Cn`&^dKt||MD~5QR1LFK2jxyJL
zWQd;|7xR!c{Ald$ZMhQe8+?_V-1M*aTvTo;i4;x={qW4C-gL?h)h@vtmJj@!lBRtu
zjdXF@(U))|EI{m_LhAKaK_OYzJ1l&xYx#AKpMU<Xy?)6hBlXR{Y@cZd{M6ri?_a^p
z>+_!eb<2KgxBboeb+=CKS-tJ~)_(={f?rnMj}N`~(BSm*>Fd}2epUYC@6Otpx1+Ac
zKA+Zm(WYcg%~qG|8rc*5tuAWl?fL0mdOtM3_UXFQzi;n5lP#<E^nz8?n)j>LpZWb;
zse0z#GdI6~(|ND`euGT?|2vcPKbAgF|9L@svUuL^tjURUxBpd$e7k4r&G6dr+~22f
zhCOe+KL38$Tm3!%?p(W|{`G?E28GgDOP;howKJN(^Uvqq>wf6JzWr<3%=K&e)#ayM
z|MpcUKK^REs6C7Qhv<;s%&|egnfG5Wi?Y;cJAQrRw0SHpr=7I9zkFJ9U1woHy_fr;
zmjNf7U#|M#5%cWdwpDkYi_6^)y<Wz*YObD&q-_i5cXjPpujdOp{Nggi<G6*zR8^F;
zCaKzc)Rbtgdm?oF8qb-jeQUy`QjQ35DLrYed9mt)hg}KV<cSks7KTeo&h$_F{zu{C
z!Ie8Et*Plui+U^-ylG43Q7@y?g9#eEVtMtg-VgWaF)Hd`S-GQMdt-&T_Fb8vU6vL1
zLmy7OGUJf;OW7qKysA{W)-Ne-IHa8^<zJy{ySVs}^F^asAH1SkIoB_7ci%IqZ(~dN
zCC#})ah``g4xL^YIN^g!RLYUl3;p{(xcqVz)NjA$Vl%N!@X6B)aud`q>DJjyH2e6`
zWtMi~{ra^9i)Sw{6<Bj~)zowM{G26A^IbR3u$`zkvAx33#PdyONd`-`WnBIe>)Gm;
zv~TBJ-QhNQ;_Ia^LV8T2r}k9Yh|JrzZSmooQ<q6i<Z|#}C}L<3esV0W?NpM3-;AF{
zm0Gu4m{x3f=H8vw7CPy%*Ox#RLEE+mio%P%7Wyp{xFdh2zI*wi$O#KVawS$D{p6-M
zuPcqQadOJko+ulMS*)T@JvMo{IaL^xz0i2d!Ne}0GEMq_Grw8YgN4WVw5=}8c)0R~
z(y|S~2D;IYU-qU{Xw5p#7-Lv+L@-wM<|XB+fjs$b?-CoX|EPKy*k$hgUt-}E+f!Y<
zn#&Si7R}XjGq91IrCncI-}I={B=Xfg;YuajbAC1=dzIoVG@@kg`)NyN^1Dvg6p!ml
zTWF*2dVWq+h32edDUX)E2s?4e`=b2;A$`~DIYRobyS?sKYdh_enYLSP@r3D%{8bm9
zbH2DN=)0=6-I`fVcS@6|2tHr?VyZ|9^NvSd+TTRyi-y#Mz0qFrV@*V4{R8Lp4N}){
zBrUO(sQxZJr|iI&yIfYyYa>k8U6jt$cU|^-*CpO%FTd<q&3m`9!MKV0(A*^v$5JAu
zp1$KgSAOo?H1B1n3wVyy?>_Nid+B|TiTQJlZ1`Te%3Z6xT{89gSN5NGz4~`g>als>
z>hryDX)LQiT|t4&@rMkp42Sx8^R7IuU#GHbvD0L^1I}w)kDUu>%Z-0l8y2r;kRB~P
z-IAkY_5!g<-=?4blzX;wtH<U!mW>l0nth#`tPuIk%E~;dYI3%1Z=&TtyZyR6KH8U`
zOT;~&Upe8-?PWUi^ZOrnbuR5W6Dj#m_wcs=pO`*>QrbUpDr;3@s_3$%)_;SP-umBq
zye_l;&Y?G7?q;T+JG8-M#@ur?Q}aLYeEu|7{8n4$DsJY@w+wts4|W)<zfS+j)^AY!
z+-5_~p)WJP%kE%1oLj)Vtb?aKdhXT}ha=Lobz^nItd6C~UrCiaTr{W5t~%^OoY*eW
zNg_g~6}ldK0$#9+u4v?{xv8)AfqAd2!RlXI%+z!qzN`;?*#6u1_xC#CAB|e37Jb=<
z&5tdF1+!u<o?tPIb!1YB;tuRnS<No*uq*J4>7<Ok&hDD-0u~J`1oOXetz<ivbnwg#
zp47&LPhYE?4PrZdtat9C!>8@8zn;>$!h1>Q$HV-~B7c48z2|0mEMd*X?;otP-DYI3
zF^pa(d2IzVpIk^@{goAxt`bp~7?RpQmG1M~v?X(DvgGWEd($EopXwDA<hMF;D!fNN
zqsF-9%^V@oz{oXw8s{3`{9%<I@t9{)kNR05)99zKOAaQj;FJ!O&@nqKmDzJZ>bit>
zs<A)w!Y1cq9)50V+HBmfZ4y_!Npa)i=4LxH!MwxcR%2aR?7n4NuGQ}iTsI;0EJyLo
zo`A?6m%|U-6d4vQDTxn%<rCK--5TTfq_xCTeaZ3I`gcY$%jdp-a^S_X2fLJICh9%Q
zlHq;c{P<Ab(&me$@-ISc-+2W!OLv|xTqJSlgv<E??zKh5yN+7v&9Be<pZho>Ha_lm
z<L`cdCDYR?uMBIZ|1S8Rykq~{`eLcmzfb3yRj}^#dmaBoTIS20Yx`dPGM9KB|LmKm
zwr}3F(|h*+Nv|som9I;le|}Hfztd+9tv&PjePm+!-@5Mm*CxBz)){R2bk!;Fqjz}s
z(I(rMZEAZv{HLXPyPUo;eYJb)=Ih5#73Ew!vn9zwJ*OtJo2@jn^5MN(QQyn&eVe+o
zo`28h&DFObZW6e&^x)s-JL3QL70KPo{Wnea|F)WajklI8PS1P#T+inGowC{KUsLm%
zf7eL=cV+L6h`N36l=A8AEIaQkZ@sQ?Jv2Y(_2o@Y8PjF9iBF53KYc$>@yy>JEIsyp
zWO=ws-{!Q<ziCn7mcI+?!=Ap|{Ejzn|LU!M$@c;?>x+IDMGL$+HfL_*jLO^5+harL
zP7i&zZu|7qoo}PpUOT_}Ro{K1+ZFShJ&gXmVJ}^`_?(f<@$ENTUvGJLe$|;|G1=04
zmC-x*@;>}@`uXkC8~XaJ?iWOdKDT^(bNct~Ph-OB-@Wc_efs0%^dFCI6wO`!L$5Gr
z;o2KNRAj&K+5h-(D7XIApSL!LKTghiA(wOI*Xsl4KkfXQc(vYgx$Wv}DKkFLJiXx@
z`%aUut?66a<#%qo_w0J+|EG3;N?A%Hd*@HR9aSH+!r$gj!pvo5-_44DUY&n6{pTOk
z3Yjah+y5peRy>b>cJI}JqCdJ>;Ww{q+GcY29^u=rSX1}#YG~}%*h(9F&ZYIC+WEg7
z*WU`)+n&?SXT1LF`mf)f$M1NnUH@?I_PNoKf2Xb66;l`Fb^OAF{Mg!?+uvSnod14>
z+0<Ief0b>q5zk9mzFy1C%(8Z7|ED1NZ0kSA^;(DTAG$vM{g!up_4UtlZ_eJm@9ktC
zDb>YGPZ!in{@b_8X#L+Q^LCqTEuDWkCVXxES*rt+xPGrXzj(^Qb2r}nnek#)uda#O
zy#C}a`R0vrYTCYAjyj$F6nbvPT8Yy+y*@L3>RM!+z8clJLi1A3#!p{$ZXCX#?!Dz}
z)=s(Bnf>R&4AahtSr+%Ey^bzOGAL>5TJa`%L%!naX|HRx?sHYsPEMcjDLO@MP3txf
zVY5jUFXZYqH*IR2m87F~??gdZ>Y62rz8|AgR%EMfy7yFQK3ncx8&5ZW#%7bF=@Ylc
zscoux8P&ONT846+XV-fD<VUAtlC;AXJ$mgO>Dj!mFScr?{8iV7dcLvI=6zTB7ulY!
z&d)XK-@ktk^T+LXb94i^e+s#7*?-WH|9kq++<DR4N<-&|*Z<F*|1_uGsnkbd)~ttN
zyHA~ad1}?mRg1h{^l_d$^!TuDweWlwr;D0zyqLGIJeK}PQgHsq;~kl2?k&<_5WV2*
z+gK&FdA@+8ojrpkLqMyxp!?N>Qze!x|0Q;G_Pkr#7uD<@&%T-Yc)=Q@w8?cDS&N>C
ztY|m$e38dFvuD!b$ey=3_3@n!kBr^U|Ep#*E;sL7^m6V2{cv86rp@vXvM+a?|IwDV
zU*Vij%`uBL-+5g(K5_WVV;R7oyyVomWv9-qE}WZMz58`fbIZFa5i1LI?_|YTH$I5#
zOsY9%X6KXH|2uKUsT1PAmd$?G@otWW(jT+<U<J$E+?=;6U5>jr1n*Z(G_kF3d+>1X
z2GezgHB(pec%0Z|XXaKSq-LabcKK?P`TRDCwkmCN5Bn}NQb;><dz0}wK`G9u<&V}C
zpK)I%?R!3?fA=|ahglw8cC{(L7Ou>kwR6wGnf+`|Gs}ME8a<7=IpwLIOdEfbdF<~Q
zbDBcT=S6=yd5P7yHR08is$3C{F2mccT=nI?Yo5L@ae6CnwTmtJ)X$mz%dRYYd2!qH
z3p|eE7g%;3m^p3kXXE(?_Bvkc%WGwDRE$4&o~J3{<*Vx{?CaO={X5aN^NUk=$&{iw
zhe~9U*Ox3_$@y;k=b5Kp>c;u!&r)2}Z@`&!Y}UpC?Zv|Ss!!%cTjq+Ld~u|DBXi&L
zupLt_r`1n<A#M`!V1m7gZQ!QN$qswwUR%8Fl-$<K0>0<@OO|dBds)e0`03s4%m@G2
z4{p3_tEMtZCGyzz%RxR5*bZL(Q6|+Tx9ADmo!_}%RVH0i|KH5Nvf%NOoCSFSwsZ3Q
zY-Z&6m>!DU!Q8<V{w?#hf^#X8xmVJX6CS@c7CKH_r#>+%qh8!NWyKr0^0(I8LO)+T
z+0}S%&!vw?f0=n-UNYxC`@WWC;#aOeURQYEb1T>5l!X=QPCb7*&(%zHpZec*-+JBG
zPC{QbKKb4HksYJFx@V#B`ta=4w|4Wq-|$mKXoau(Jx;+{*XJJ)zmmzm^ZubzuYUc>
zji1UP`0tm3)SdmGe_Fo%T~l8@wQkx@|I^ohhNgZwF8<nc$*gCU3w!7M)Ba>8dF`Ry
ztNzpV_fK!X|Mb4uyymp&>5*5TR)+7~Gbu@`RIqI!&o`;un0tJ|I$N51I+tiJGK%ot
zJY%h~Zu*f~fj03QCaft~ELUDTahm4Gl*f}j3`%;$)O6DFg724KEnhx2wIcTF_4<!S
z@2y^KzY;d}|LtixuTG~%eiwTGB=>RpZ|>v9(^D({&fLHD_q}^ZmjtTZ^NhFT*ExPa
zdIo#0@{_rLy7~M6{@=aoO#Hsjug>dgZgHA)sZ!m@o}tis*ZqfwS6OGRUUO>C+MPF6
z9PBmeQDIA-YWAr2`(akj>ZKa1U6r*{mCkZDx7ME)><V1^?0REtonGm>fbWfZOW6e)
z1Xw0Mb2ECs_o=793HMs&?oR<vDo(VYOR(vhGG#}5`HXEMm#vQozT=B!X*qD<OuqE#
z5_R{>f{6^r3uD3?-g&SeDU>OHoi$f5cEY<S7JaWTPTgUDs5eL?chOGYTJI78UEZR{
z+jg7Z=FYXQzi87^Alv=Eb7Pvegn#sH!Iw2Lt2f-=mHE4sN7O{g$Ct^1(~(tS>S87b
z9zL%L@7-44sM*~6PTVLZL5C&D%HLqh%&L_!hNde7o*w6x)D2;)-svJG^QC60vEKEb
zP^F})Pj(2^ia5ITD^^S}lr%cVr24Ft=W3mzvS@SA+#t1(`U)mr>pu=N{x;1rz9F&u
zqL!Zjv82>DZLD6WBafZCD-!gDA*fl#h4HAc-;x=#G9EUu`N(|qFzJ?k_R@oQmulGz
zuL_k}NBK6h_X|gQB(-<82r315^%b}XPGdgO;BkR(a)*p<3d4)`*SmuFmE0dR`FE{k
zzuhJ-bN3Wap2((;3+q|uWys`urI@Xjyp|Ev%sTIds>)2kK=Ctbb2B&k+~_!TXl;S!
z+;U}+sK(dZ&gkFyCNVAFdM)3}CBcfKEkO-U1?_8uTdY%ND%d@~ESkX4X1PAYwPu>?
zjfI)hRXWaF$Q%@3w9nwVsAJ8sWm-uAh7Tl~e)PBttO(IEc+P7tu->MAg45C?d`HsH
zJYwL|F&CI`=*_Gy!1`=Y=FG+)J^m5~GY?#4ePkRLslan^PWT#!nq#W04gxCb0`m(s
z8K>+#IA?l~L(MTAj+zJTo8$!MZ#>0lX#HGNnqd-8z+Q)|5>X6UqCpI=eBX+Sew1A9
z#Od%>IP1KELfhPp+9eH__3|UE>z}VRbf}s4v7c#%Ly*tR3=V<$g}xaK)^{x5_iH{f
zp2l>?a=mt!Md`710wU3Ote=<K3eHd8<Lvilg2?{4pRbt+&QJFdPEvXne6+^${h5ii
z$Cj(67+#(Jd6ARX5&4Q^>n)e;n`54~Jf_HDZa|t$!0Ib)B7yPexUClM)_5Q=KmAXA
z)#1$ipg%?Tu54|2H>;poGp|`QWM*6rN8ri}G0v|}R|W_3ZhX}fpXt#Ue{S}!3j*^$
zmbaDf|J^S6$T)23!!671FW+UF(s4e*w5@#cJ=-otyT|g07Hb#i#^zd?BvfqN_n=j;
zMU#K^uNgZwgg+9ih`6f$wnofV_sh>?hr7;~U#Wk0$Sm@}AyFH_tlJR>h3DqwNUdYK
zQ6+TJr8;CrlZa0shojNm=sAvzA+;*MTKZk)ZU`>hmU(E~T1{(XMwQD~)YoZRFZMQA
zt7&~-qj8EVBd0ruvvH3kBg=WEoXxJwZr?Ff+LE#%{8#N0xkK}uC-kl{&3#<Sp~a%$
z$Z&|?CXlQC+()&`AB251OWYacTT-fSEt=V6y#M6i^D|GITK~^qaN(|qtwOYk&5cIB
zC-bLh{y$RmptFpJuR{90u*WjP`8SRgzhP6DxybI~p1)f@pKN(IZv*3mJ*k`7*8G{c
zW{<yAh0+dH>BQNR6^neAJy2ifBUzy|!6$V7iDO9xUb#khpTDecIjDB|y>PPr2Nz?X
z6-*~Z?j+5)Wh{PXEiW6($uD0zAFO1Zm(iAMAk8}I<#vCuGY0cB!(5~z8&@8Wy(}Bi
zR~Yi3(@&D~vXlQO&n3dWlbA&29QY=@eElk8<3{zWyL0}pTBE&2!%^e5A|K}g;XBGV
z^b78HEGRE{9CGn|o^Vs$tNLZST?!n*5C1V_G->naT(-;I$ULuuHBzDSD5L+CyZe|<
z-rsk#K|QMRNdfDmT$2K(^vY?ClW!;SR4n?|5`C?0QtAE%^-VW*9K3(uUbgjIq1J=W
zAKIUz^fe6_Ip2t^$e+z|B=5uxUcbgCTTZ{yP3uk)-qp;+G>@aVb2Gce0><_Anfpw5
z435q@B+tzes?ZVSu!8BJq}G9ck^3`Q8ss(eq*(SW`*}&`!JQ>DPBlze$dz)-p65Yl
zMxDx01y+Rv3_kH(rmgBuOT!k4sGD>dq;@$nHYKcxvVGX;FjaW{&cH=CTGXQ|L#ka3
zA9fy7_O4J;wYiwREXeandPk7r$9V-k^)1V0%vR2@NsC}v^0DSr+llPFP=Q``m&?V?
zCi5g_N8WD;v$xy+w>2fpDtOV2<Zqq7(nNQhoUCz5tDiHy;}r9x%pFHVR@^fGE8M<X
zL`^!lgw489en*qz<(=PGf657Z8riu`UcWf(>DKhb+dox;c-B7p_Th%#6kb!8^J_JC
zZ@yJu8FaHGG|=2yVb;85zTV-cT`yO#yuAC=*m9+Sb6Q$Tni&T}vjhVJb5YDZ_AKvw
zkp?FHk{%zQgb<&C8V`w9XGbFs3APr-1O*8Jj%KE&OFBL*4{f9lHSTt-d+&ckQN4A_
zi76tJoU;mhmiwQ&I`hYxdF&$h?V_cO`PaxN|KX@_@8>o8e#ETq!Q21+OE2+qMq5^<
zs&71M@U5(Os<+v}_kOZsTs>h6CTr!sI=s9nmgCD(Y3rYh^)_EJP$+7itjw(0=V8{6
zs(0|mhF9uGYm1n3mx#{e@k=w<t9!RAI<BO!cdFize|@$Km^1#pHT8^$4W8cq#hzj9
zzKc6b|DUsTuV0+8_4>8)kDlV+c9i|!|Km-XO5pDLeRBmbBrE;o3X6U6wp+q8<k8#(
zT~puvtUR@NnT_a+FI`{C?PNteHkaGSM{2e15NGR{x8z=qv;V@o_lnmY3RI8OQ7GZq
zzQ(l2{!DWnPq@!}-H$#l8xO2wG;H@}j9R&yB~3JzE81$$x$m3n*EWe=n#7^Fbm1D$
zB@ERE+)8c#bhvV4mOVWX-Z1&aDND9Pa>e=YN_bpe>YD^UTKsm-haG|E>efHv-O0J2
zWR3@G_5rqiRzJ1A^4#I52w*$v@bs&77<b^M$JIK{qDi+UJS0;ybIO8^?ww}6_jSe`
zMe+Ob7dlrz>1j)4+i6@{Sy<1RB6vbwNUkDm6~777Ln|>B<<;MsWf_Yl9@)yRPg^M$
zCo_RX{b-w-@i9l2m$DYJaWN7bb`;D#U3Kmc52Nt|Yk{Y4W6o<AR?m^1aL7MicfY)b
zuU$s|am8(q&t6&-q^4*pF4m^9@kw^6;Bue2JbcHQk3RloU!FaGm5{Z!?*)_ksU3D@
zk<v36pFjN-)1B>Wd-&nc0__ERBNN^kl`>5{(y}~tL$5*Bg&SP6d6zpb)8||qXufIv
zGJziR4>SK-$<Is-dVBHp<OglMufHpI#yX!?h?rG+`2~+~rgG)+Zuaw5t{ZGxLRen&
z^*y;+dT3eS2gP6R4PrH{bJ!L#x(0{nMb`hah?zMp<-!Adzbd9#8gHE}K1*6Kmv(NC
zXJCt9f2d?>|B_WDoV|AA_BZJ>Lb|s6Sjp}<Yu$z87f$BQe()-8wqxdf>q1GD`HjV0
z3$;YI+*QkAN!>rEcyo;X?JEqExXM0k-1$qxcA=)xt9pL*+>p9$Ca%wJGI5D-t5o20
zNsEZ9=UirFe7ITuj@2HYge@Dm4(<AK)BL7N=YxCO8#hHfYT4LxBK1>ELs~_Vtb>>9
z`)TD1_q_;bl2e)1y?gfFyXGO&!<(7AA0K$<kZJlNVp*OSPjy)OgB|D3#c@qo^!wzL
zNssk2WKIbdFkL&NE^p<3$1ML~sC>-!=dVr}&TVh{qJE^l<woVQKc9IM9!{ulo6_vY
zB~vsf__o)Yn4j6zVh0yX<cKOwcd-3(_kV$+nfJqIe0n=MQu#mpxVqlUhKbc=;+=;l
zt)EMox}5lU{CE7NZVl-#ZfAx3R9<FY-)5DtM`=6Dl7$QJuXek)@m^CTqw9l{PqMai
ze7PoM_}p>vhp%%qS>o!SXzaXfbl~~<^gZES-*}>RE~u`XW`6mwM$z_KVaeE{qWB9-
zCKfMMTfN4xzgc5rxg4*u?5SsB3T}I(4zka2E$rdD-7U1l)$RTBwQt^7OkTBalBj~c
zz~YiS_HJBxIebok-iGh$+t8OK-Fd)J!InAn%!!LUGxAtgvvc%bjww4BTJQE``JU_2
zg3Wys@)p{<#Qv^xbbKat`=4$>N$ST`7Ms2$od!=BcK+F?$|CV9e$&&+mqu5c&hd!m
z?k;ItaLUJ&NAtwK6R+}k9==!oX22%0;o>Ycww1k^MoGQ@+)k9m9o^6=5$tzm?yC@m
zw*L}q(+Un9XMgfoh)>nUiqkXGTx?!_@7W0g)_3^)P3pN@t^E_Hs;-@T?KaEoc!|X8
zdCHunLCT8GTPJ*&d}@mar_-4O$JO?UzZT^d`#7y`>I$B41E<FB*P(HppVjWMT8K4o
zIkF}(VA+Wzo_Bma@0P4pOcL6|aI}J9@62ON=Vz+#b3XPfH@xSMW}BHrmf0STBT=sP
ziuKCBk|u6atSbC?pyb)Ms}e$%3gzopgcUYy^8Ff6lG$}AZnm%Rq*HFo4RqI)uAK0G
zvqH}7EprREI+T4#+W-IHg&2{jo``oBHk{=2m~&P!C))FU&fRNHUM2;b{dYS`9xq)y
zVc~~`D`XSS9k&jB==IZ3*S2wr?FoaP=cg5J?kUg9sxLWi_n7I-Z>C+JdzbFXS)yR`
z>Qg$;&eE?X^SmXla_yMr>DRb1LwO&EQL+4s(u+quBqlCD$yszobkEZ572U^@EGi!U
zsh>OdU+S7k>mIc1_o_G2I4N@fMCKu`Rj!fW1f{-6bDF05JU#sXlvem;$)7e$KQJBA
zQG0bj@$#vv)S^@G>kE=SyjiCm4EgW6@I$f2-qW{E6-i%{%5<IDKgn$c<9w9`lII!H
zmo(jU<h=HIm3_6sr+_Kj{BEwenOmf-I9-JOQ83S}6A9v-EKCPy$w>(=eqYwY!8`Gx
zzt69VXQi`~FL3YPcmCw^*56fE3I$613t9BOdcAwpeCP26UbAAcrjOb6OqMg8Qfi{l
zK3O{XLPkm3-nM<*vu)<S<k2?T_e*%Kb(Cq>dFy{y)sHz9e$M9ec+`I->%r_xHzx9a
z(D-Vw<$C_RkEY8?#G*FNQSW-yd8LH6;orSuH?K(uZZ*4;^yBclxf_<38g8GZ$hdB~
z$#M~`wEHO!=M{LA?COvCC?n3Za-vH8v&F8QEH17E+953`5A&>gB5fUdQY7wkG1ElB
zP`47fuW7=(S9Nc@@|+T8Ub^sW<1Ys7WzDap7jiDC)iHHxnCr{cSoLSsoEZLUdB5Db
zY$_8U1Zy;NXbLP9seI-T>TqM{HP4CM7x+I+vGyoYKEgh0!$A$k>2Ca|)7SAQ&qz_8
zRIe)iFIMi1M}k}+i-51zLUH*E5!E#nHcwQeS~`D-sEV-_&fBKsDE{tCV+{Y%;PlRU
zF9bAvUwy8=^O^J3GRA-{jb^%symNYU&SrSNd~?ZHl702&^NBIjY~@dQE%3ElCat`|
zywYXjT;4ydlTE*rcFbm(bj(Ke>|BMw%lzUDdT#Yo#B8@)$Q?9mxxOv0CO2Z<-Sf-*
zYC@S9#MOKx-b^mpp;dS@<CoN-Lmi%Tq^GS@DlxNJe~5Fzi{1TV7T<1**xVNp6OF2m
zopP9|>cMWSM)oLuvvdDTZTC<6uyn`MlA0qHEWQT(Q`ZK>$U8o&oua!r(z|i}@Af?&
z@mtLPa576jsGrnw-d?RO#<6ryi@u`K&l&R~)2H@5YP}&pBia3l{l+;Tgsv@If0e1V
z((jt|`}-wZuYR5Lf1!km*VH>g!WL2jQZlMHW7IMN_u8liiZ48HQ@=Y?tF5-~{W+!+
zw+(#U9(_~DS7;Zk6sj@P=K6W#ic(eSdB;sY(?wWTpGZr&5}s1;IydUR)t&82BDK^6
z%NHDvX}a-HX<^`L1?B40x%1=B9Iw3m!gl35fBV}ke_MLF`c`Z@FCr7S<;cBG>5nYu
z^$Qt#KCL_S$MNq<Hl|6wjg`$DZrU?AjjDJp)^ux4N$oRDHaxd#&gt{hioz8B2Wo7z
z@oLGi(1~C0X5PL9W>af|1L_kB>?GVW4a8PWP*j$%($BX(*?VZgCowMbU)5bqXMgIn
z9nDBTZ(N}B=KSeH!q?S}Ew_4U?{+udP-S;vztE}T(>5}U5w8#S-1#+MYsxb7MRwck
zKOZ-q-myC@Yx&u;zRt$(n(he;l3KZ2?U!v~YtB{<lWQ`c5&OwV%tyUdDs4mkq(hGK
zE}hMrr{*4e_^Cuvs)q4Q!^t;Cyabos2~F8LbJ3r7>jVy8*?V{ylbdk-7ZtXHQ{4J~
zr+V>8ipB`VITtqnd27F6@gcQT&hHP8+}k`=Y|<Y6-Qh3KJrR!W?^TcsKh<){?d9t`
zN=uKg(3`kZ%+p%>8GBR?SM=Q<3D+C=-^$i6lRLilriMFT>Jy8+i(h&LavYX)9Bo=z
zkz}iRQfSTRUj59zRWn%x0~ddAiIMY<IFh7TdNX~cxTo!N_wEObe6x8sp5_W=_L|Y;
zC6RQnyE%RB%^&NEY@cVPYz|r|KKW{oLgi_fqZvEyY+I;d&obeX>CC?k+#Z`g2a2n2
z_;J3Stv;#wN#}y>v^hp?>mPD(vK(YP(tF_Mu0}rAb3#8KpFNP7_?Bf&<wF^k8GIq9
z9u++Kw}>;;s3F2o!8GlYpq7@7W2PEo+q#_HpZWvixN_xu9?YBSCb=S$WzsCmWaUo_
zduOFwQ@X*^<S6sS`0|BmpT4?%OlSC18(7rv(BX0Y{n^I#F{~L4R&xUQClwzw;<~j$
zI@R}eXw53QwPE$z%!>{%E1dpNQlrf;bmMa4RrYTwDyy6MpWIw{l)2t&%O-}<?+i2N
zcy=6$b$+xqZ_fmV<Xx_5?MjY@^8(#phdzkV(P0khmAAQ=b^lGSchH2R^CC;+|66Z(
zB2hLo+Iy~`ru2!={`KD4S-<+<rkK1DkI0;`|K5)f>6@PCB?Rjvd%K@&iEhuE-1xaj
z`|b%QF)8;>MVAjbA#KkX8fK<WKKSm)o^Jh(UN4%m+Z{hooc5v1H^N4Qp-)b`BjCT{
zquUGrhB#b)&1m4cceZ~HL;i;c3ljct^SsE``u)=(S0LZyYoyTkfP^3Q$B&(oFW)is
znS<!0t!t~j>i(pLb`>zHZWeY){9BZB-Ag6qrpKq)cN2}1jGZ|rU-XK|OMG+ulVkYB
z2O%B{pDEqDkYPJFGty)M&(@`LvwrCOGY`rA)Hid+(LFm3SjO_Ov*kB9#px`2D%yIa
zxuxql%dy9<ch>Ebo3T`{TAKaCV*mP`uZvT<{`jgTIHxw$GqG$_`cX3dOLW-h){V1y
zgcJWvoqR;0G4?ydER(1>LsqffN9q)!yvy5zOUz!U%y{*E6Q}Ny-5H!8a&sAuPW9NX
zckJ7fpm~lLH?bBMHA_ezKc=Cu^ZAE&$$}SNRo&Sgs<Ha|3&)iHNkwyvuZdZ2|31mu
zyZ%J~vo?m3=K|gDIbY>%=PH`L>{bxd+0-OE!^?a1lv29C?70*vx^n;Im-#FD?KZA1
zIK8xi#qX}`@hRppEf(i=AOB&uKOye?byMD%RZqI(jM-RB<Cjd>zkANL>AQ<RH{OYT
ztLLDzNBnT`lh5J>qPJW>Nc?=z_@`A&sbG<v{e;CP_1Ew0%_!{L|KQr;GrRt(KG|Bk
zSL=PL<@760odnHRz2d0du~I7iYfd1mVR&x+cF)6i^7ia&V?MD#Z28Rl5?iPIe|t!1
zqvxp*Pt^-0DYsSCvkk@LL}Mba?wq?`>;BCyBW-`f{l=l`Ve4MqIkoas&ay{$>hgj^
z{rLMPsz09Rcq!|3z4xybv!?BPI<I|o?(2}m?kL^BDZ6id+NV`}akVN}?z%&}B%QbX
zc74P5{@%nauBbiBS{6RL_4A_P+sH+!JB=)At^}?W&({+A&OL9Pk-40$&6k>c4NJ~n
z@a6a&+dFOP^vVlM9rlHL*K0r0Gqq(|FT_}7m2R`>(vlA)vo$WAb@A4#KVy=2`R~b3
zx4M`vugE;;JVRa0<8XJ%*5h@D9;d7_y?k?TNvFu4*~@vSaL>EO<du3OL}sVgnFDD@
z8zXuyh+I0h^VhF79iiY;Q#*5O7CuP%;=jPx%|c31OS|vGiIxZA7cQo#Bxzl+Ul7R5
zlG0(TZ?mSt`Tv_m{&Q!|S9$7nse5UC(miXxFJ2-aZ5J$=@#dXYk<>Q9^vgHC|5@iZ
z_1VD%>T<cB``tGln3O2*5Vk$=?+=eH&%IXW{Owz8dTq0!;5W5<H^Zi#oiIHxtzxc6
znIXSKzPd?9y^grSw(`^#&DL%$R+s-1Cfv&m=bg1bBWc>ojDp%J-1mBJt)F_6hiO9Y
zMd|wb3rE=<e;!#cx@7<U8;dWAo>93Jsrg9wE!&$A<#f+2GyZN`mZxX2cOxTXVbEpw
z8?PSd&UTKzwkvam@RZ)`oY#GJZd;VGHIV<~-xFRAe>}gita$L`BHyiZ>CZY-86$mb
zUM=`^giC#5g4S6%L#9UVWjkL=Fi8G58D+o5Cvsu=k-7C>na<AIvvI@Zr*}1M*Bw4^
zL;TI(?M%j7K2Km$TH(U7XujH_*|`@ac22fm6KAt|YJuU}jT^*WIdm#laXVdfHhu8u
zZG&%Xg_)?tDrVF3u9?RrJ%k14@vX^nI^Ugk&V!es;`EQtzKLv#51orv3GH8LcG=jK
zDYP`DG%@Y^r=W9jUiEF7z8j7hI|(n|dbld#v}9l73f?7ttuqQ-54?)I^6R_LIR(4N
zQ|`XCuwr~KV7v43l6e9RUyl4?{J?#vbEETqv+xr~)iU;5?{qlrU?aZaOdIo6Iji5l
z54>A`GtTR-U5huzjfrO-^tSI?c8bfT^y6FBYKv|ohTJ6&WL-sHEuNt~rCz1D<lH6A
zD=tsO7W1%M&yd-f$m+j@Gnj3~G3R+QR@%E=yU)mN%;dTDGgjdC(<TXq<L+)3rpa9D
zmDZKz3h%gnw%^e9fq3mq$Emw=+}<VeH(V^Rdw$I<sl_j&@?FWfMz%8|S1uh*>?yV_
zyLL%b{o$v?#v^||@0^x8S^vFp-YV7ln@_xVcNR=q5TZQidHKgzzy655Xj5S~{uNNU
zsmY43dhNsga$Ci0<im7omfyeeqv)wr^SWg5;=lUNo+Vool@&epigb(Day~Rlyfx>l
zp~sbTC0_l#7iR6dDQGY?C&;Y&z$4~}Kjz9dpOxmF{ki#`!^}S$&;7p`w!gXb@mA|4
z7dY$h*-LA5?6hXRU+#8Xl%xL@8~3jKlK6&?GxD|s?RIO|mgp8!y`e9&?nUh6*Gx`}
ze;u53&v56u1d~;g`kJ<9PjtBTG*srTs_5XqQGWIuH%Ipiwy>)EZ+0<H>^NqubL1K$
zul%d8Lf->dfBmv#)uxj+v$lUP{;K%)uGWR%^0y*S<LcjeUDEaGnOn8=$`sZmOa9)H
z{(PXy(M<bSxZ&TM`iX8;tFF9Q%l!Jn_FbY|b{zcm;<e|ooU8G1ugZNJcAoe1m}2#~
zwMS~QZE%zFf#!5+Iqx^FGxz$uD(DmcbF@IAdwW~I{=psdCf%-F+;n2^stdV}&EChp
zTY1(k%<r2e{W9d*hx#me;ht(g)t!aO=j$1God1U>c(A^;*f!<Q@j&a+%$V;p{%XeW
zKJm9dDkf~&*YkH{#GZFrIo<b`zExQ4DQNi5DM#o)S^t}<ucHhqxJ~Dt-7ry2;Iro2
zfB&bye?6=6<duK0)t?*;pw*vZvX^s@FfcIKFfuTRGH^1aOb@%nBv}ty`<d(Kn0Ls5
zXU})hec_QerEf5VD~0IsF8U%9d2mUXxpZcv!>iY`rz{hHH8D5S#Q2+|HE(Wg(W9!%
z$E&1gY0PaoQup}x?NFtehoUUJL%#hw>iK&^RAG+Y7cB=?g&hkI@;w&(`F@%GF{>MI
zSAVLly%DzFp-m@ZNvhkFn}&}Q>#O-fuY@uGHa~w>-Qw}IqdLo3pG{Kit@mk~eoy|r
zl8MDs8|6bX=Q^V+AE@{EN3{g`)qKn}Pw}`D?{vv8A@NRsW7_xT=6^4xVZLPm`!+}U
z0)GQH149G%WJOc)`l6IXl$EXtxAe9~Gu$fok#PSo$%6fiwSiH5nZ{DBNEyGr!_N&=
z_SZbQx=V27fvI~XQ>3}fXDT%n<i>aJ=fAU;)6xC^#s7DC7ix9*b$KlG@O0REalg_8
z!2-r&#&pL2j*snjE3IJPQtOc{>t9ou@YMXy@@H}Nm5D06wcDN>iTugoUu4Q;-Qytc
zrS|kI_w8->-xdme_Fwba%6k1h)xz`N-icmRSBW~g!`VIAG1BgKw%!VhRW@6qOjz>X
zHzqlx2JGFE)pexkp@Z$bx6T2DA%TKB_I7tYZWH2J)uMEAhSr&vPp>p?YPj8Rpxs|u
zG+Sr2h)<{U+4@Hkm+IP%SsAPm(hT)h4LX&gR9V$N?Z{Kk%aZS<Kj&;;#9rnw@BHD-
z*Y3`qYVnv)=N41m{l>23HJ?{)5SqNB`r)&C%a^i#Iq>S^r#o}Qzir7#p3(fA>D6@C
z$|XFjgO7h-=`HtuddofS^`CDiR(;W1>ApTlAmg|9Pt~=r(rTotBkK>l%Q*?~t@*NA
zeZ!h*Cl<E*?_>I__4ifl&78y9VK?r|h5ZzGTD~k^)oFIDkE&PCqZ+Qf_{&?O!wyCr
zGT5JVGF&od-^SV2!QYwxmqvW+Jy~Km(Pz!BuPblm`7+M+KX6wu-^p5J$Dv0b4-|FS
zf3Q~MN{_w1-86icUUzcrOP3Du`pXYK%-T~H+q5BJ;q%LvVm9tpUAFU47h7}DjcrVE
zvjgYpOmI7T`g~vaw|5t}R<ejN>@~b|c=yJN2d)~voy->s?oajHws+5yyNN#!Z9EbD
zEAxwqH*1~q>u0QAE|@$$?X!E2+5MeiJlU@&SM0v~E7h3o+~R^gx9|PBU!XfLqWyWz
z;rdy*JM;AoIoj&xzfE0X(WLa&^1?**Ylfb(^Io|B<~2EHy-*@=!Tj^z4A-64X4zC>
z6EHFTw^p9^v~8c?Gao&d-SJ)Z{3ln(3*VHVJ+&;Vbx;&olk{A7{@eH5tXsopdKj!Y
zb?8CR$pcf;G)`>Z+aW4)<xuM+$%)U-7;og3t=)G0Lw)4MiQkO$jMhs0s@yhz;iDCQ
zeU9J0wyCW<NmfF%cAI)8cZRb6)n}TqGadS0t2{1h+?0{ONYTHISDSBZet)^}`w7_#
z<lY8{$V4uYYCh=d_&{ua!-SyZ8>yv_-u^k{75nN$@X<=Yv{0!^;aRD-_eW%fpDSy7
z;-9gyaJzcJwjGb_KP|njeJ<N-$AuN2YZp!6xuS7@`~C^bSE+s~VR)L#|6#WDt(?4l
zhxqkek9X~^OVem_yQyN>weHHCBVO#<g*(@-xhHTu@9Z{}%1^ttc23QEy*GdAOP}EU
zy2!qxQ~f?W=67!PXk(3IcCvhCdUM*gyxe<pADD~An17wN_Fl`)@MUM~C&oKC_XRWA
zF_o9u?EbG(@}>6v@kcK!cmm|^g`HR&z`(X^<$u%Z<=W}qpP2oPHfzp2H+%WBz0zU2
zm!ApVsoVGA-P|ck8&j;(t&66lANYMu`{TRYmTh-qPfb|<>Pe^*&*c?$KU1HceYt$b
z7Om%>uWWr)B)!Z-N1{%&LU2ZKR=iEU*biU((oFwHb01#0@sa0!c<Cn@)^M{^$Mqh~
zT)X@3^_I%Hx`8U4<vhDpE!CuJSWY?b`K|i;WWN56_uPA)PmT}EJ-Wduu4OVK#|Kue
z^^(4m<~PpUm;3yxh_gdPr{Eqg?+li_jA@}8_god>-@~=$lhx)Y*KChGx|_Dt&~xJT
zq=I_RILDL4X}nuzv2oZjK9_HCx%cGB?n%!(w#;JFdf#Bz<*_=^V6s8?f=_%NTV`?0
zdG7Wv<%z%FKIfmTT=STo%U{T!_KEk!;()+z596OxW;Rcs@QEj&j#1R|2M61WcRS`Q
zd{SGUr&_^k>|NB&cu-wor@`+0Gkvw$p{I-mwqHvOufKe9OGHv6yLRb~uWOHoz5R2}
zq=IA1v>Ffob1g5e)I4KfSzTYvzkiu*bnNQg8@gZZ(tBzuH|NFSZSj-Ybt-k)x1VC@
za#+AovQsvE{pPE0*Ev__vTwI4U3WI`>!qmDSo2S}c=PUW+H&(w)brr$r7G(mp4fI|
z`Go5^1#02jyMA8JsxMe@a_OruLzcM32TO16D>>)jw12%YQ>&fw1P+!e7Y+GT#(ykV
zr%Fw?Sw4NaUhDIBdulW*`EnCqvNU<Ea4PYVYMmk}CCE8T#gm<hQ=o+@(c;b8J&ZyN
zE*kJ1HsEVEU@JPJ!8}z_$#~)k50&6a&lsj81l=&OUwEEZWh=+wjK+GqFa10Zxc#=z
zzGfr#oG0e@r@Y-3GHR!|zRMQ3#X5->WbN2s=P7;lO68m>S>jt=DxN(_bJ%3O$F)UQ
zduMF_JYgv_mPfqlKX0x&SH758a3PDt$BK@#N}X!*_i9u{whI|4tM*v?tSmb5ImhRk
z$}{hYpN{A~dZ-{O=H2eOW1nSBec5$?(*x-eCl@i-l%)E;N|97k(L8CZI5mY=Ha0wZ
z-piKlg+F<g*DYqBWOS&DXU_(?Q}aWLT8<Y*i>vm_@qL>deEEE2LdBQgPuAOhvQb>h
zQ7x7|vCf9^xp8%ngPHWUWly(AU!HPBQ|F!I@vNmy*BJv22kXR$MNLZlVz{@U@m9S9
zV`3=7)MFw)Ci_j9=`WyW^>O<`v8jreh2)dIUw1g`|Kzdy&D%eBgn1q0?mQ^Tw&H-*
z`?D?2X1q?#PPkxV)yVUp`%`~bz_as;v#;4*4`=!%BQ>MmsD{}udQtJL=ZDr!{->$H
zq%~ts<vW3kYq$?KMsEM?!6fldYWqf)=Y2f7$Lrq<MFi^4()4(?V0%XLQlYh$ryrER
z(rYvOp0nxo{*af`ZuOX++*X&dsO7&OhokNad1vpvPYd48I;9j<b=3XGa;3*V*cX3V
zc-K|VX|J$We{aTQIlJ_}vuRiO6%JK*Z7S`JQew>L-Megyt-0cdg~ItVrq_by)eXfs
zVrRVBE}wL!UcoldU|VTc>fEo&7yK7991iq+_2Ndn_ogd?%cSM`Wtb#h_)ROlap%hK
zdn^06PvuX4u3D-d?|0zp!D2-V1=grc(RB=-87+FO&wP#YtasdD_LTS0d}6jkAkfNp
z`4NYO;TG#ou{}vBev)9hQ~K0|Kl@7xRLXAhE!~~emu|7*Kt}y~(ahxMi4(lTIht2T
z-LT<UaYtHpqHUD5x^hIfxrvA9>osy`4fXc&S{~CC?2?Rhy|s@++1cG8J1K18rhiXo
z`Q=SA{=dkODJ%C=zx-z@ha6pzvyo@o8egnlQQOT^%djN1<<Nceee(T*J98!ex;xhI
z{BiX7ZjE~(@nyHPIkf)Oudh(_DfzO8b@oN}o0b(9wlDEnCLMj$Eq~I~Pg5LJCM2);
z*dG|_^8ZyxsrekG!0_ix$-g^%eI~hozPnj&@;ASt2^M-nmLIP_dMVXk_wHoknF(80
zZ)m@xx<z=|`_p@pUn|s}I$-fwXN}5n2XphV=UWXEY*T_fK6-FU3U}1AuAWuD?)mHU
zi3_!!1wLC*)gY|&$kdE${j@*rcWkHfb5;odoVwtAcDR9m;B%&0hJ)-&qbxT(NON;g
z-O4ALck*n&&ctV;{KmU{zbEn?xqnNGchdTY&mT#Z1+TC?B_gKzE5XpEZq}jAeh=Sn
z^q%K(Y<Wp`(Jtrt+fo+uz6jBJ9r=ej%BwzRD}Vj;+R(j>rg9M*zRWiDynL#fGomD|
zqU2P5%IwZVs((A!;?n#-39%RlOX@z#RQ3pwDG<4kwr)=Rq-gPF(FG3sIh(JpQ@cH}
z_EgcAqXA6fk{exOwPqTaTdV8dwA=B6yQev*@vyh{<q(OYX~(xRPkXe#%{jQ`YW|M8
z3BR`1-;GE(>EVB0V|&c~Q0px7d>y{CDKhP`js4T^mdq&9zFSvxP<7v9HqThjCj!xy
zChI(8jXVM>7yf2+U;EkJn7`JBfBKb;4<ihoSO=cU=a}7gWwP->hGxYgMQde|O3S4$
z#W^I;$Ie-#Qf>dFXGPsfuL`vycBP!j9XeBNZ>yQ}+PT*q@|!8U%G3Pr#s`M8Jbno2
zrItx6oWJI?>#O;ib3aQzZ)JqF-yyw~U!UUAS1~a#1n@90NKRg$CNf>;J`*pvxAJyM
ztp9BTk+%0gMct>WHM;Ec`nB<%s8xbc$%QZj&V?s>?;d+_W9Hi>B`f~d7Dio}z;|o4
zqVE$muY1q;*jd&+er|hW{jCNSr>r06)SZrb1Ru<nt<c-zWG{F!=2GU8&(~kGf7rKe
zcG%su*B`2<PO+DL#<!3+_E<s!11s~Q`i?Uk+Y)0_xqPPb$Ield6XKa}GKHy1WwB!5
z`yE&KuG{vuBosI=i7JcUy-@NHlhN&n+w)@E|L$47te)YxW~zi#+~s_8X@jmHiAgLA
z80X!$%qn}8yW>r-PjubQ{p}`(``X@j>$D%VHmZ4?(QGTeO2SuV*(?oSqo;E|X`0k#
z`_!8*`7^OwQa^l7hlQKWY^6vZ`vWc)HTebHbJne3;tp;-*25x^X_A;ECZO5M@_PD&
zMz7CBmimrI-!w^1I(q0@vyjZZxgJN3M3z2{@%-qprO3}kOLR`$+|s$+CSvzCZk{vu
z@R`dMrJFzZ2k`X@i&gZ^&HvD~DJpk=>fVY+1}m-WS6|zkpcXhuK+>Zp=kmcvR~@)d
z)f7&x_npaacqRD8ueVitcfT|&jLSWjdFqDDI*C)kA=(>`PO4K}f0Uu^bmG%Lcdah7
znmD}@{H39{=gOSd8C!XmnsR?|PpaceHQ=7i`IP08wAajcCGU1zX!P>%P@nRkdc!7;
z%?aG0u?yT)IZf-&dTewHm0c2ZdB&ULQ&uxG?+AUg&Hhe&W@zS@Z6($JmYGyVOU0D`
z|Msh=(=h30|Gek#n^IaF@Bd2UU3)wCLx<6)r?x*11?>L0?&8{YhfmC@+<kM}jkWv!
zn#c)rCNWt}VtJV4{x{X?>{bgM*|n}mS4(s~d8)HQYPwUzRJ+HY`TlszA6dS)xJIa0
ztS+M=X7|lo7rZr#a<AXLV83|F;<x*If=t2>y34!I&s?=2I@Vst_j`4>J!-lRt}I|b
z&&R-UMs_;eBPMxBf{uN=X|V<0-~Il=1sk02Ewknf{hhu%YgyL(`qC7S9|D|DlDsci
zm+$(`)>ET0Kl${H3IFZ>{d<1D{_pdsKc{~O{AB+d@~`Y^{ObobZ{LRspIi8)wf;i-
zd+A?)-*p!(EAFii*<P?nS#)Yt&DpEdLuP30+4ZT)>f-;(?C)~*%U7?~j#(I3zhKex
z)z;ZpVvpa@zFNl}Y7u;)^pRY4dARtOtnXg?%wu=Qi)8Vu*Ds!bYHFx+>p4eFu7lOj
zSN^?w{`InCy*c4m_hqjC?)&%lx9a-}H`YD>z3iLr?|u2N?r-}3d*8p@U;E#`zVG(?
z{+0cy@;_Yvc2*tCpV0Hm(Wr>CzC`n3){1D=<bQ9p&0M=wlh=DaaCQt2FG&CDdbRgo
z9>;0f8#e292L5FK^)W+LiRWF^Jx&WQ(*)o0UtLxOO?&nV&aB%Re>GpPrAp>%NTtGu
zFUysFm*1AN%9=5Y-%_h?u@WE8gZuwD>Q4snUUvWTtyEG}y-;rI(JRYBIj*cbp<B=Q
zRMKz4POT|9GJA_V?rMBpu%jVnf%1>ue~Z2Op4x6-eb~Y2-G+q`Pa5JL9r#=DW^ctD
zH$^5HJ@(J*6r2}6T~V#|>4x3?#nSuMP0+k!^Y7nXQJWd-(;e1L*tO{T+C(1PkB)nF
zEo0pu{d51}ZTWZp)AftzbspH%X@4lWf6jNm_S5wN?(=uce4O#`(vM=r75&MTPx<Xm
zDP$}7O*1Io@rQrihqLl#b;^&Qtlckr=5+C!Py^@A$OqT|E$nBSBe9;*hb?HrPO0N7
z9+|d={}evpddB0#gMGG+3kx19*{9y)>hS!#^5%)aKZPF}_3l}pk1*6Y=E@{`a3Z(X
zjh*QYrMIj5kJV5A_vGCvt_04#8ZQ_Wf)3VJA8I>q!(43;qa(Vp=-b<H9jOU!Axr+v
z`m?J1onRR2<1B$q1+(mb9^7?u-(Ka08SLy5Z~WfeS<K`nsqt*z3*(G$)obf!yZg22
zy`5yJ{#edEY5#*m=iCF|ZLbtNotB*@t@rz6Pd@97l6i#}k1rHyspp@Q{=Uv6E2Hbe
zm%k6=q*u33->ZG9IR7F41nz|b&sMl+O72p5R<^wM_r<l3-`?p?zjo~Ct=()fuDx>B
z2{pPu^UgE=dgp&n&OLQrwcWSnj*4dg_XO{&et)Fw&hGGsmGM)f&#_m8Z><z|W3=0x
zFVDE;kX%O>*WsL<`SmwGy!Ja<Z&<9khWm4t#ox}=NAAW2gftzx|2`>_(Q0lx<A#Ti
z&t2VmG3p^#1!pbe<yj5YPg54%t@Kg<o1o{}mms5%tf8&NJO4#F%aKX-8|TmX{K|9{
zr`%4Sc`S2|vTf_q<Jn_=<G5_cyO4PTzWd%z5_IPYZ7G_&v;S*VTtWzE)jH3!7CY+K
zoju^$k#?9TOFHF_n|Vlzu)O)}Hf<g0g|BQYlK3JW<$r2+zfFt1osi7z8J@oFAm={T
zH+!DGJ3L28>ARWC^6dv*A8Q)+AD7FX^<d{=Zh6T~*Or;~PhP_#Z=BNecKh3~pO+q(
zwCNqR`et^b;QFNUFQ4yB<=T<3{(QIftO@q)cj_G(815bXeJ0jO;n`x3vnT9aejU(w
zc>d|$|2Z2=UK&*^GUb?U{@#7)MNCEd`n~L43;Fj?&_A-E|IO)EtuuBP(r#FGT+7an
zi*0W&G~6x`6!&G{Ic;S|)(zgA5ezQE-Um*L6g*$??IWkJ@QN3Q%z_v1_wJt?u+HJ!
zL%&xytdwKr>l;4bd4D`p_UvQ1mY{itCmHJ6jFcYFIQo0r`RW~xjUPW}ltkF~yU+gl
z`9#i!db#-Y6Dye7&d;|oT_CoTQBGJ(!tKN~zP5`(Qx0euG_5!O8pru_!#DoJ0(`}N
zCpPceCl!~^6cv;h6=F5(M~hdq`1}u^w+hx3Ej2y1(eg-foZ`>=V_k;Fmans&ZLxK~
z=^vI&eNkZwAwlQ3T3%^IHD2vl6sB;mcUNA`#?Lnjmrt0edob0<`)^0&)d2gdZCj0>
z$j`1>KeJ}W-tvgv<FNsCMZWHe!6H^wGag+?`!6!_$XD*aD>k?BN<1pvlf3TiYe6p4
zxc<cp&%Z8AVTqgn(tWOJ&VhQ4^)Jh{8X47k%nQHkbNH`IKfO;(Dfr=kr<_x>B04TL
z_=zdK?b&zT?|aw-)rL!p)3*F{?>c(p&8znNt5UbzXEe*6SiSquMK{CSr*4K@l#2vz
zw*76BrvK&h&Fc^MIo%6w-0}Nn-F+8+#-$(i+_kP)#2h=;sejR2%cbtsnkTtUyX%(+
zw(XUFvM8<mS@VL#Zn+<o{5zJ+6|6PPd%UN<xyF1?{o})a75%4oy`BDsfByDU-#DWl
z%gldpmv^)JiM5}89)34r+UaW(rRKXw+*<!dHLG#|&T^yL&ONJHCVt;s%-J00(;fQ4
z-|73m!qczjh)E}GUl!i?bWzQnuq)Fa-#h4G-&`N_M!)=7^Zak~#B3`j_ZNGv-c#Rv
z+v5Fwh5Nkk@Bi2!eDCq5nUQzoE=WE9!SX(DhOJ}X)boemXz%%ZPVC!7p6%Ba{?}jA
z5b^4&X16`f^C|YLp|M@W+gvxfJ(B--E(yK2|6uN%@A(tnw|&pAxxx8f{U#%-C+bg}
z{j{^3an+0T=(p#tPCG9-U;Z~^T=CC`?0b|Jmp8^Fl>A$sTGz0DHAikkvW8`>u))SA
zYp4E28X_Om^!7+yG;ZG@*ASGRCVX#y+x-W%_Co%)@qEu_?%bHOF@K&muY~=%H&Y+n
zU48f4(G#lsBC~fs|I6_#>h<a7ev`M~lU(GN)d#LfKJT>j_P1Bt=d^w-j=s-#ujtZ^
z8VCEoB`WqE(}Q`ZwSRhD@$0wnmh{;27qTxz;+Q(UKXjdB7tgkcR&$oP7(eeuz}28D
z*Gx8xY+u14ZM?Qsj-zJ#mopEY^mlPxRJvfg%Hib0J%M%n`+3S1y8qS94eyKpefQhK
z+q`1>&i6mphom)~Sa)FJkGwNnDmSleW}3W&eSJ@+<nG|)o*gwW=VZSRx-7rvp|$k-
z=K@bszR0l2>YZPBG4Z2}RPrC)4{98&*NXOP#q`R(HZrNw|FG%6-Ir@LzWnew$yGm5
z?Vn`YYs)O}vwI6`e@d9Ii+prb)%$GKomI)l@9Pz?=~@3NV`#6pS?tKT#ijLT(PMV&
z^IamFkI5^%Ha0sr_m;fE>EmzT8+vK2h_OCu*7R|5oLBgyBrn^zvW-W~OKsju1ukTo
zmHv2bnb4k#$-*tRyoL_l60W8*UA13$$!gz^V$_=#emi-2&v_y9)E2Xun#ra|PY34f
z7haOvR<|#af9?s_W(!ui`d7X8H)~jL?pQ5u#GgI$7sF0N)~^>MuUt^5S{7>3v1GyG
zLza^xa#wfS#Z|EXu3+_y^(;Q2vm%3Uwl3@0g;TC9Hsv~-xMjW6t`m%sbJY&-nSQ7x
zZP|`B8fjf;=EW_OSi3T{>%z7$n|Qg%7shM4>)KB?xh-3f^D?>1|B_qN++Xqai(a2m
z>|-mv`0m8(g;&Fla!lm(?OB}SE%>-2^3q|8qH9+hwjJ4P{p&>Kg3ic}p3@yhEGf^v
zC?-q^Su{29B*)q8r93|#Rcn9W!&cFgu~=R)d)5ng?+Z<jyuQqc%;6H;vb@P)26v^|
zF^}{<wff!eMpwi{O#1`utbV8U$5uXIEv?UbTKw7L>0;gcJDu#3Vh?_dd^s~&?dosA
zjgC+2j^spbmA_cMyX$Yq-EC2|_QC67T<q<d7V#S_vpzRfYjxNGOIsy{?F-@<Uw-Lm
zxI3+j^~`1ECh@p{;*I{i1xqu;C!cy}Ta@9n{;#`2?urfairKebxqAonys9ZWp<~v{
zAr*44{=va$W-SRjjvPnU!yGq*4jM#l3o%F&o9)E%c@;x+^3TAienzdB+ts#?yYA0>
zRQKljui(vpjGJoK{OfT|+8CTQ(|^s^KRRAr-#N}CBtP8yW7fvjMNdj+NbSA$ETgC4
zz&R1)x8)Lc8;z3VtCq{RyJuJOHSC>#vb;t2@PxT5mAL9%&vjL(d`o*7>1t9|;WPb?
z0PoDBn)6Izn-t93Re7p-rd`PR7=Gwe=iX4af7=!xwq5k1IDGN9J9}iWuRng%P^fdg
z=CkDa1vQVd%9h26RNlI__Q<7k#kqZDffFQV885CiY}DU;C}2%+=7!1FkB7`SDW|=8
z(q3_inf?8_yFaVm+*xn2ul-oaiU1`BH`bm$zsJX<pDTGv?vnCNy0`Aw<leKZCwj{G
zPY_>o?5d>Ei5e%*w>Rrd4%RVF+{MJ=(-xwz;B%9Z(3$P~4_%WqUeRW(xh)|^KX_Ku
zj0b_?hgc>m%KB`m)Yh7z@Y?62VYHOPwqtA3mGvr*{S#FxmF1Yhqv_~SpYF!ZwC$Wk
zQic!jk3Qx-mqm)JL`u|(mPm%YS~cTfs)wX8$JrIhZ1L$A7C607sI{4S;V47*E~&PO
z8y7bwPGNbb9`QS}bCq#&|9i&#RXPbO2j(zd^X}NPQ$KOjVg}B+;w1|VnLKV-C9_>E
zP~=IU!?8=M;!!~QKW(M5AOE`gMC+%0uRN^wsaX1KaPX?uWe?ZC=jr>T-*4O1Y<k7y
z{=Yx_%Fayu!)&egm{o+gOQ3(kgTRE(U%$RNX~eqDX6inhx%oA>ezLr_GP<X>?%kKg
zQ}@4Hx^dNcU%i|^wv~AowA<d4>i3>ryK0rlY89njRi|eBDb?@waXWS-^!J5+?=GD`
zwe@$sAAgE@e742l#P1`!{zVG5UhUYrx4~;pT&aGq-!(anMThTJtUV#}YU09r`NBm9
zSBBjU^9~mfiD}mUu%qqpE!IaN!pq#R7TobtSo5KDlE+7m$}?AAywUvUDRomm@w<T1
zzQ6qU{eG`@oimI3$M!>$V|s3W{?fNfscX)o-Gx6Ar`L;EzVkUN$2wu+<K5;`XZ&6S
zrOZu#GCy*n#&v&jA^SPb`<}#{n-!nyu)N^YF@4$NXOy#9o)xS}-XO?XGdu3>>opd7
z8_TZr-0NHxVwt^MvD@xZE$8+N`*v$r|8L<4>0qc2jXE~#tBHgB``j(D&*pKw?OERO
zBBZDMnBj$%d%wFb*I%x>H;eh3#;X<8C$_IC{r+>>=C~g|nwnSVY`qq=k^lYnqIYt<
ztSqV8J<H4<osV1n<(1UW;QOEN1iCkhf8J6o(N}kFSNy;40Z(!nbC239FPMG&`=j-S
ztKa;bw>8f2mla2quEC{;kJmqony0sJ2g|SL^{Bn!Kn^xmz5`MW43X3QJ~AnRd&8wO
zSp#HjwtpAbf4A=F-Md?L_U+KyHN~|%S~E|V@918S!g7u7?85SLP4A0Nr>}qCcYki>
zJL~Uiljo!qoy#|xoMKe`Pr+0rMTvpo1J{J7Pm=!ZHJ3ExVq`es%))Ttf7lBCBj0ql
zuird*pBxv%%LhRx&UvTDEbpJrVUx#EzjH}rSX)xtIaT4#?HL<x?3TRwOKV#D!XrIz
z=VrR>m%dj2+)%Z2%l^9H;`s*(g9~5Xi93AzSZmm4k@UO(Z|na)a_Y{KbM7Q;a=9kR
zBciiRp6!pE(X)+@eKt!5OU-!t((04yQ>9o}-$zrgi~V2Vel0=CB;rMW+`~Kfc%B^=
zzx<<Ki6e3Al(dsd8>hzYs{FLuKJT)~<{K6JGp)tjKS&(7{akD1@>Z=IZ2d}Hy9-Rd
zE;+QX@u`y0r`24!H$E3}i>-_8cqH<HZwK4Flu!BWZDL}D7d|IT9=q?9w{1my3zuNn
z?8SFGFTCA-^4#>^${ieTPj9Z-xxCi;&(@i@IdZQ#L|&_RxuvI9Q|B&ryl1+MdUC=_
zUUl2&va8Ev!z9%mz5V%~I)o~3+IMS->-VrH%U&s$?^EB-H-X>S%x>Ge<ez)nR>>;H
zEfcdh^-NrN)WP~%NA0%ai=TJQd9(RU&f^EYM>*G~xH#^}({C$rjQ3sG`>>4tZSn<&
z3+lP4(NWwtdh~@})W6?Y$Qbbd|3=?k&rj|aW@bp1WMB|$;9%JJb;I`JjSn8T{c;dw
zsA%}FbM`^uciW3?y{gV}O6F|!Lear%mqssU-8tpF@Yk-q1A)`4uN-2t6<<2_iFs!F
z_P$=pC|QH>L%Avk6dVhF1oU{9d3vb{2yyMutEt?@|A?>ZXK}3@Ls|VM5BtmQXFa+s
zyN>C+`B^^O^KQ_&-n{>PU4L#)jIF&<QS#d0^SUg--!JSQvYTJ({=lx_{hs63=V0}@
zUi)V&?Xe1Ch>i5S<9n0$*!K#vkA)YNzQ5gYW9H5WQZL<Z&DpV7{HV%}?=P;)F-|b^
zpD?NH^=$WZ6>GF5zXjB6TYuvHLe2VHrxX;mW}2PJXN_K@U)Mcp*&$2iD|^N4+0U<g
zJ9X2B$UXaK-V46q;d$C*c8<$3o|C!J8?HR?%k6SGdiE$=46iZM%i0q{K_PVyCo8V{
z`|3`2P~*eNEvI87F8J(U^;SLW_dDH!<u)omF66#Sxsl->aQjx~4fVN8dowm^{^I;7
zt5kn1V<*G8V#|lKoAzYL-1dwAHNUy~d0n}03G2T%`3ZZK6-!+*%x5L}R(zk&yq>FX
z_wN3sr<c5$)o#D&`;+-@@vppO?>6kqxU2Q_vc$b9%jML4A~}p|&p!Vmm9b7l<*jFx
z`Mmw>ie<_IJ_`Q-yDK|If5EFo-QOQ(Y{+ged@dF(RDW~JD$|6cQneR8@z!!lhwVEz
z$Kl?OJL;D<I2w35-Pc~#kXyfV&G+*ciq~rFlszfhT_Jcj;K`QrjO+`YzU{eU!K8ob
zNR#}p%h8z!Ox}K%*dkHAm@C5KN`_WS^a**@LxtXwi?3_Pd%Ni^*#1+y!^K3~F4@zM
zrMpmaqU+g)#1H)S{jq8CDSyr+q|H%U(r@%^fxW8k{@1Q60=K+A{Bz5WPe=S}HZKe0
z%Qla(x$~z!cFk6ythoCJ-pc<!v$MK0`b5d|!tR)Z+cM@K2}*DjcNF*R-LP``oAtfV
zz6VWe+;PZ`cc!C?`_kK0tDkRsex|e}vHkI>c?o*64xdVU`c=5&m!5KcsLLtQa)UjK
z=f9n5(JJ4p*7M}a#@`wXH7cq$h(%U?(l~fH{DhrFs`dZOpGnu7{{A?1J5<B}b3~Kn
zUq0;%!M|ViE=Us6-@EP78$thlJ|3xFT74^5t!d;tC-f@l|BKlr4C-e?Rmv{T{4ILh
zSU&9X)O$h3lLX&5O^66fmE)>l4Xr<1&B?2$Izv{p*S+az|D2sQ(Vbz;_1VX3ug5R@
z#2&x-xwe72?rBZ^?cp*ZlbM`z_imbV@6?+AY7-*v=}o9u7Fad2<LY9Ayr;Eis=Zrz
zMW4(Tb(<cas^{-{`G?8RrR+uC8DG8#WUp)7R?=lR(ZaloW%<Ms-Hm2;g(qBsJR<(r
zzi9rVw)yy@Zuf+FB0YBts@hj`JX~tG`%R+9;=ji<BRP1#Ptae)!Mb|+HG?;NH$z3;
z1mpQ@HfVh`DO$R4_Qx+OX*LoEDqd|65N6K%D#@C3%cjV(Z~x)-Rt~dDC5&Zn>@jZj
zkzBdHT>Glw`Ah3(TkC(>Ao<uu=-b0S{kZzA><j91BlhcCW)$?j&3UwYPVPrP@i<oI
zH~c&FC2n20rgm_3fPD2W&JBxJ9aOb-jBk(nR<t|H>~59kjA*NK0uePIi^A3kPhMBG
z_XzXN=TF{C-C`}z3#{fTDB)S7k^iJP>B_>kZO3{0-hTPxo&U}6`9Jg8$NIIILU$Oy
zS}y#_UYjX)r{3{danjZ}p{LX4UB6RlT-(X<<b&nHcdWmw<Iic8toqv!cj>jsj>&2d
zb({WP)=U3=``FR2iGpu(o%^R$R@<s@s7~FyT|WJa<57Xz0l%(?zNlOlc{|DZMD@*#
zjv|>xM?3dIZg)MA&I23f`bJ;0$Ph1|cVYE|X>Zi8Z(F(4?3hx1{gx8Bxj(}@;u-bS
z^Cr3myxEqu<%EU(n{7&4JkmqoZ1dXUvDEL%SIZ?E&b2<!TqqZw<<uNI>+I?+yArRq
zKlNL-X!D1cMl0f#6Rq9O^~Yagb~rj=@v-9#rvl!VaRlyYsdHp>EEDUWH%FpJN%2iv
zlf_>y^*PQf802~H-M8%ZR`08C*x>QutCbx0%q^4u{n#V%XGL#k<kriz-@Ni?C;iTU
z{<8B-T)KYej8}=L>J}eNh|-g*KlI6+ajE8aR+Ek28&~@NTKYx!ShDPW=ZOic(|n%n
zoOhEWd(ErWk7UehlDR}$G#cvoQzeU*2CmBS4$YaU{r@4~Mz-~lV!`~ZtKXDfSy;dC
zc*-uPBQ{Pg-(wqVzUW+hrL=PWh0DI;vUfyJSvv)&TyA8z$$t9j#U7y-EA1Bji!889
z>AMxpTg)o<Rzb_;{=3O1RV@-)H!t$<*s$=$#MKke{#elweA@Evv$-E<S>{(AzhBO3
zr@W|JnX&aQlcxE+`I_ot?*C&h^aZ~c?l^4nt3EMjY1_5EPMehTt(lGHHVc(U7KC;-
zr^SodncwDCx*nn*u*E@G#+^Y!CF_yN^#czVoj){jn_-UXotF3)c}jh`qITVlwwcDc
zyqf!xlckn!us!jhEJJb?qxm6s$B3RN+Xk^^bt~MO_pW<$QaqQp<q+51!wvzCX_FX=
z_9e`H-BiEap<-^0<h}((KAOArn}nCHdi&9iPvFHRLzYR?wk9ssef~S<RATxY0~V=?
z@{{V{pN@Lt`S4uIi%t7QzozduvAM^#qyMuIpT+IOQ*)l|`g?Na8zm3j_xvH6digbT
zPfT-^PSw8o)Y2<FM}F77ma@*}iz|&)rUx<qEt#=!&NSV1hw9}VQ(AMDH)_6oV#b;C
zP*F81GA2z;twG@K-wOMcHw~BRe^*tJZ?^p6Bs<~DHnzL0Q?AZP41LS0vGvT#G@aGK
zD@|9eIwbF;@!YcA?d%E5^~c15qT{3$g3XVLEA`$uIZu>h^0&qdMhYj2GUk};^<`Yy
z{q|`F_Z-#r#ul==jTP+m`4+EQpT?dNxw_cb;mZu=)o*@$dKvKQr-x?F`Um|&5|5_c
zI<)M{rb!Z46IZ)swp#4j+f(m2@0zQJ?AyCfcJ%u{SlhBt>0-v*5~2AapV_A^n)Iv8
z;p-j$J?8z!`@a01accR$jHO$9Qhw*$W(>Y6#}az5-*nlO2o1f(0$o$SEB#ij7g6KO
zoUZxr%Fc6~^L`%fwSG8hnTp{;?c47~#lO6LovE(x{PJG9=yC@GyLl@a_AK#kIrZpZ
zsYNeWUgNIYnYJIc{_uU2c}v!LntflnEbET_(dGs1^B?fP(x0CY8+|qUu(=gSJy*uo
z^T{{nW`#zxnIB?Sd63QcLCs+K&#p@kc2BSWXYcOPc4W$am+7(7V&)1aOv!7XcwqC6
z+*xj-3C}$LwFTa7`7Ybu_Bll1Ft?h{`Sh97%7V1Jzx_U2&bvvfag)9FU5V3)$%Vh&
z4?CPS>P^(Xnh{mD(P@r{jbwItrNOn=2j2cIs5kogygl$sjC<1s|Jx_7y?A)1NVV{d
zyX>aD`|G`zG^%}zyt+dqOV~|~Z=R{C_J`~DFI?m}I_FP)&Z3r`wJSe#ckbf(AE0Wr
zHgpRc?}F4~rJbDbbPn@JUjM#oVo=H+@oTAH9$rk{lYUV{&d0U;>if3M$1?8;si?|n
z^#^ButM^&za`fKp7i)K`?K`fsbsO_q@mX(<U+&nqi6`NOV!it;^AG?2F*@A(eBgxg
z^Bn6ro3HapBo(@rKbT-qGtc4S)VcuHnd?6A&i?;*weuI}@Z*n;X7LFMzZB=q{_(ml
zVmbeuWs?v27y9(*ewegW)ZFyP<MwA?4sqx$pW5aaB$qUGyWWScdnvchZ9HVWYEJBq
zkR2b`@1OfPVR>hK_O-0`^!oQ&MU#?JIoIv8IQFrrXhP;WCx>KF#=xQv?{fCCok<q|
z9PFBV`IlLD^&Nk<Z!V{e{YpgmWwr^}e_GM<_52cHA<g-Acjw(pa`3DxOtH#{@L$ID
zeOkG=;*~Pio1ukY4s~vNuxVZ6*GQZ8W6xJkntW)^G=to<CP%ZC-VZpPw=E2>pOtqm
zb@j=j>CFo~zszxd@MmG2n(W3V{sgY=tCiAg8W(0p%ziU<ddH6o@B7(0il=>=Sp9zK
zZ{N6;DeHLWovdzMt?anIy!3N*c-Nt+C%q1}`_71b@i@hX>C4NR7c!nlJ^Qlc>z`$X
z0hg9(_OI<Sa@){jKIPZcL;k0YCZ6KFCElc4ueJVY*^{|HOmpU|eLf{QQ!g_<+V<E^
z_AOIp*Ie!0bMwavw#FG;Gk@j2l6mEk=2q}Dafji?Qzrs7Y#yDT)a$F7<~zT5k?zmX
zn+u*w-)XursW>$2+C*oW>XV&^WF+sb*tlcswVgX&ekph|@sovbwwsCP{44#<^^0})
z`-m4r=o;>?FVrxMn%AW}DY=wO)TZRNo~lou&mXt#rG?+PUc0~BHf`#KEuyy``1?oo
zC0*XM?o9AP&4xppYHP&v9&+DuZIAuPlpa(0!%)C^>4cBFdG&-nER82Rm7eIx<8_F%
z?`!ql5OI3LsxZp}so7tWJ#~{mg>oI3J<HS1>$ixzX?@Q0x%DS?PH`PJN$5<Ks#epn
zc;s(dU3}ZP@Z?Qnj_$hEkJdFtN-5u+^xgEdv&5^+No(AN&5Gt9G+B5!mU;EQzJ!X3
zb-&fb_5O46nSR`8_$VeQr~FsGTVB!e(oR0s7@7DQZa<-UZ(^$Yw(6%p*`)r7$9@`@
z&L*k86H8A$+h-|bkQ7j_Gv}1_mm7RDJ$hF-P4#oGm&<!?8n9?n_q9C}Za(<)<L7B#
z#lXsk&Z5d52W)Q?x@^AdrquX;)6>HS>F#^pemOb6FUt32kie47jIS@(iOn#*U7hdq
z#5t==&~xEEmiuxgYHeRNzDG;X6*Qjs;A6w2_7}T-WBJw2E}GS~AyX==eOrBG$;p=B
z_Q@M0q_w&PMRrazUu+yMTCH6&SN!Ie$jJ)V&$P6?+4uhO_Z0!btfI_sw7yT+e8c>u
z(9bVZ41Wk66F(LqWcuw%r9+7IzE`pFuS50q4hwO}AKmS6#lWb?@WiWXuk3YGs@m_K
zpRrNt$yavI!o?To&3TnCahT<VZM@f+p!&#Qr)8bT6&@|BpR2&^JoD7ti|6?^FWbhf
zYjo%g&*rato_Iz~o{+gRqx`S_s})}+uF+KA{mNN%=8h?4CIUy#+;|%A>@46~D3sRr
z<etwOzL>RASI;jl`Io)tUXbNtHz(i4PpZXUUGrZuMe~Qco8-p&?<ebX^lqG6cUGos
z&6WLgcGrIwZ(CsK`K|B%uE|g4`^~QTUldefUy~~QF4N@xK_S0mhfQyKUi>L2t`<H`
zz+%+{@%p`yAAUNXd~K{0YjMLfRZ8ez@1(uATyOlClyCp?am%ZXc9*U6O21!EvJ;Q%
z`gAG6c&)6@@!1lZj7=^j&2<KLhUtv&*9ys~#w}8cF6M}-54`eR?Cb5iq?r}zy4!;F
zEo$`tebG3o8*6%^G*;TW<$q8*+wb++f*BgycP?7!cSn?ekz4)&mT0e*ds}B*n&o_a
znyBKDo12^tEwqVzI^p^IFvX-bTg9YS-*R%g{<kPI&g|`%8S_Fjw^gfTU6PLrxmtC0
zkBCs;>F=BNKEHWHeDVHz&GY&z7uNimX5FFH#$}`^wlYI%X6Kvm&8tEWI{xwd!FJxL
z-(KXyW(oP*rB4+<WoRsR_}gpv_>A7s#2-9$WwI#?ei&%{Fa5;)N^Ir&j2#<KA1d_y
znWKKWL%r&6&5fCP^E{>;=6h5WK1E8RGbgf5iupN5#;2Zbr715i)i7_8E2`Is6G#Zl
z_KQjC>VCd@lS<5MZf?VS|IhsWXuD0tuk}+v*gT;nE7#5YyzSI(BayAgBz<qHou18M
zmAh)~;R{7}w%KBqJL(s0i;GVzuT$(e-68t8&t{g2id%zF@OrVMV*4)a?vZ`mZ_|~a
z|LySv&#ni5XU^wPXLDq8-ex_a<FkNHJ>MPMKMafeekJ#Qx^_&XRj@nj>EuJ=r=30u
zFN(MuquncFwUKR##?iRfpCgO31mFL2K6T;mMAuXQ4|Ox}<!+O)<~qM)Pmr$vWsSMd
zjKeAeR$pSex$CTb^iRDBdAXW8ug_InSC+dmG1Fmg_lDWBA68bf&eu8h=h?jPb-^r(
zfuWP@&mXLFnjyTZ`pvfatvlWXY4cAJ(|i6)<lOxyk<)89H1uD_pHiFgrAOjrsqDk&
zA0^k9A1a-p9_F@XAM-`!H}3vh>P{yX$3Ied5m=nJ*($)l>XJXx4C^o9#<y#uw*POb
zS?XL_sk>_T`87u+=InbVWirL{ewKTGfQ|j52ZmSP38mJjK3P$j^wQTe<@&auhU<He
z%z9TXEwL%YvS|IZ(&_-Ijkl-xtUJF(vS{wT`|~??M>0tn{QqHKx37JsC1bLGmeKU1
z6~)`)Zz$9i{w-s33TicU*tc!E)!(PV^+6~7O6F(#G;6!uo}M7Jarx%#eQL)Ke7CNy
z@R!RIOML2jSmXN%?fM0xv%@>&vx>~+y}nPMQ&E}xrE@czo$oHEKGr>#qZj;%JGw?<
zSJ&Evb)~y2&V~3t+`!&FdCLBsa^}-(zCQJ1J@9601+!S`_n?BW2Tf%1&z$e~5xg_E
zt<7qo*(n$G#jz`|eY~C#sPxOT$-sEtywtffxR=JCx09@jUKi@6%PSdDZ&J1ME{E~w
zlt=ST@8uoVS|&cVUdQ45ld7j|A+mEF&vvUFv*yxSdiS&%r@PJLtJ`m{T-X<r*C%7T
z{WN!XS~?fIXkSoBHoNA2Ynj#D>mSdNa~A)8<MLVAhmRI~zk1l7SA5#01>J|3r%5XA
z&^r0mcM0qFKLx!<w%I+4zut7O#m=RElf}0E53ZztNl)8scftKqx9$@K&hz}&v~GHS
zzx=*xjd2}!(8(4553zY2XIp)E(!QP1Pu=>~e!RtInrF(ESpNO@n()1IlT7NjFHYkS
zz4p>~?>oN!<JbI@7w=wG+oxDlT>9Pf(j?2Hfg$-;+gS2>);`SMy!`6VsrOaRs4njm
zc%4~aB2l|KC!=CY{0ko6(ub#h8mcb;cjd8PUXjXzgxm=RETT6mwit<P-8MMYZdDfH
zyL4(gTbJ#TZ{hzZT)SoVKWl&OrQI{${odjxzbnw?wv_tn&8{uKC;mEkaM#YAZV&tN
z<aB;tIDDIb@tn+aS|6YE{9h?=w`5Yso8YAm^Aa!muam34`u@ne$ep+D$xW?wDAC;^
z%eE+4F?hf4$(~&cc}hjL9x6C@b4ATwpQ!eN?UrjV&bG0*y0|*)leJLC^{0;83cYtu
zwKLsU;Lj0S+-m;h;FGp&y|Q{Yo1Uai(h(1r{f|61Pgk|aK}UL@fOh2r(TSJ6j8aS8
z!zR4xn*8VKf2-;@uj|>|wllS}D*nGWeL<^K&*x(t`QJRdb>{Hj>G$08c>CiH9z(Gu
z<<1_0wX3T?e4Hw^U^B;A&i45_s-JKET9&W*D(;#5d_~42=T&t-PV}!|E*o?AXL8<V
z?;UGvd2F<OlETi;ek`sO!gG_!jW=*_gKvLkap(M>W&9>JI*R#k*L}EDZ>8IllY5kl
z>zm36ZyCK@uWaSAPC>K!xH#dZSLZCh`?hr7g1_f$a?@h>l}alyEb#v#|1&DP<Lduu
z>t#)Db!k32%KaztW7Mp&9jXuSEl9CyN?y{+nmc1(%;sHFopdX8wmy04nS6cW)vz1o
z#oreGn3%8q_v2dAVqHbOwLC8??{e2)e_uX(>bE_GH`ez&U#U?)UuWUop6N0RGk(p#
zUZ$;jVBh)ZKTYc;IDY*uXYDA7`!d^qlYf1;p4Yz#OLC3tlPq0QmhHWm$#nG1tT&Ap
zt4pry{@~hO&=V`z{imduE%2V#j@LCjw!h|BZoM1Tk;*7O!K67^j*ESk(f(~lX?l}C
zH`eE$?c2NgM*aO?GE#5XecURxPqZm-L2SuR_0x*m)o*v@WC$EQ=<{dQinvXE8qY7j
zHB<d!WV7MKjjeOeq<^`^>worh%BGkEPR><UhXMs$o*K_utS`xPac|#}4{E(7B_Z<O
zVqPLgWpl-nrg+Rtneny1T}ry)%lYl5b7j+KcN}oPUmw1iWyh5Ln@q3GKbEBPw(i`G
z@1GXMSL-cUUo~rClGOXBul{a4@gV2V)Z<SDk17<bJ$&Hl#MQ4FID)<@YlN0>^>@x`
zyi%aG*T>?J$;zeQKik*}S%}S+R@M<r=Hm`pcui4S)M-~nn0(Zh$>K4WMKfO*Pi{J!
z@s~BEbaHxUTSf5u`V%YOiF_2aFLLJz;^~ho7Y_gDR_EWpeZg6A$2*>)&Qok;nhu9e
zQ^?#Ly=MR97XdN)uLCQe9~7Hh9Oj~#pXxRz*v|O(Ui&8QnJO9IteoF%*r_1j$n*R8
zyW$VFy+-r*U*&5qY@5y;UVC`0)|Lltalf-Sd~vK^y=Cfzd&f>ZG6=4}^lMW8pNCq`
z3H(NryYJ<#T6VL+$Y$o-2gk0vxi4BR{_IHD-`^~6_3r-H3kwkHf0RC%HNEx{^Pig4
z1xl}HWCgVK|IcOn?eevJrN#X3Gq)<uE?UxOB`L+SGPiu^hnl8uCw8pwKFiW^k4;Pb
z(bV24Q};jptak0sozL?E9kMt&Ts-RkPv6t>H@^J$dyxQ{PwOw*A5r^K-|hQ9>;Ksw
z_qX=dPp>zQ`ai2)Oa8y)Py6`0_R4QP{tN#6&f9b)AmIPjt}olS{oR_t#n2#q;PiWi
zSI?Gbyhwe$c->narS*@WKX`viJ^jrh-Df!p`5J29uQ?uZ3+eZlQ_^~&{PjOWfHxzP
z2(z#V0|NtO{j=2XuHr-{28Oul{XEQyrl4J#APiB5P?q)i<27+c28Jt)3=HB7ybK_~
z$iTp`q_LlgVe)w<DJf0{@bw;8G*6f0WtL`s!VFR;G2Ne+nFFUaE~@7*>|$VG*ulWS
zAO*DsWYv<!09J;{6If-<a9a~8uTq{F!N9=4$H>5-fTG!Z`h8wz4RMg$Kp4$))8+V>
zrI}x`F-*2+mo>*@K``TAdsQ(8h7%7N7(}2JKmx&we|n(+v#?D?N^)oj9uqX5avXJJ
zWMGJ8M)(h6!YbA2_xYF=O+fAgVKg^JUOPR_XfqE3!(Dj>1~I7JkdV<)2it8|oRL_N
zio<ruUezTv2e#ejVPF7ZnEN4S$e4i5uqjAM!eIg=wcL%6UAk75fnkL#1A{P%D~ue$
zCRkPE;V=N=1c&G4pQ?Eo7(f`+36j$l1(;=U`hRZd_j*w=1_l8!MA$>zem)0evosc+
z5G!AKKlpCRz`y{)s39lc4ALoVmy%XNz~2&~3zY>K7#R2&&_jP}^K?N$W?7uJ>y;br
znw`za0K%vqehjhQrU<9q5cfZu$e(M$!oUE+sP5nSe)>l2c0*#T>p|+<WECa`6(w%8
zG^6(gq*K^FCABQC7;l8#`U$(s2eg|TlJOzoeolgA`b0iv;rb*3i5_)BIK&7@qVIBI
zVMs|W&P>mXH;gYYN-QWyEz--(OD@9eB*d0$a8lp{IR}iFG<G?&Ob@usByNEx52Eb&
zfTm%Hb6ovdrc2#tlBvg|I~cyMo)N7K&=11mnevo09DadBQ6Owxf;3XXDqvt>c-v^1
zh{e2&@|09`6It0nzL#K-V3@+rz+hIx!l1|CYLa2(nV6O98s<`9YF6cAV5}dQ9_E^7
a>f(}No|ElXV4|NHTApuGR%M>yXbS+S;8TnM

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp
index 957be15beba8652c2aa836626143e386e2ddf61a..04728961c1574e82aa6bfb215e19a00b4f6b093c 100644
GIT binary patch
delta 198768
zcmccHDl~r?Pkn$lGm8iV0|N&GQ%g<cy!9=YT9_CZW^ghvurqKnq$C&URpjP`hOja)
zf9>%|KYHzK@gf5eh7X^Ag{N4&mGhby_1bEitU|=yr`ZhlRi|7!OruqJ6psF{+xTdU
z?UByucbUcSoz~Zv&rkRgkuMxDanAysz0-GXQnIxxaXZ%Lvc6t&aVF=p<ug`!9ayG$
z`n{@a)r%VGmz!6zPBh+JdYPT?-lXc6+it(-{k8qlS4F#dkz!T;S&I)cy|A8jWPvZU
z4(r1-cBV;;1>C##KJ=|$!Pmg+yg)1K{fUZw-05yNq>7^+&S-okFn#wXu6^ID!?mOS
zWlS!N+cvYbq&?JCv-_%de*J~rueP}g#=I*Mnh<>JICqN54vmZwpOQ1FKT@nR@_sd@
zZ9RF)aGCL(<MWqwz2x@wh`MAlNqL*>vL*M#S1dA2JiNv;xXpLz@r8<~{hB=-<Abia
z=3AaKnk4^tf>^~=f!y#-D_6h2_B!qNe!q9`yvlPoY*G1|`Ohrru|~SsN{>tX>hrJL
zG{!ZZHa)#P{_}C)iwbwr+jC9-u6$~@P+`tXq2&%CQ+7{{G2Py9RI&E^`@AcSMc;#M
z=l)PHVA2)L6;@ALu>9w&y<2qGUC%MOcj|2EKl^$4Rnxwmxw>NeP4T?2Q<f)%_J|u#
zzu>U!S#-^`2R1iPm&$N9+q_a@dAaVHvs`OfefvKF_U6uKGi`kI%q*0XE?j!3RjTDS
zqrmE!l1f~+=DjDD4OUIhYO-dWxR|r~CF}L)`bKJ@Q86jz+s{6zj@+}$<MZ9f6W2d+
zn_9#vt!R}J@45c9bxGpRWA8s_OxY9HVfAcLrBk+4i&FcPWt}e+b-xzR-6r&|$N03a
zmrk3H>|vMZT4&X@#gl7JtXJ)4&HgF6gU?ENq1&YYB0d|U#V6cflfUS!Riwk@^EvmD
zKJhQO`1QE`b?4=u#Zi*&<T*^bpyavv43iQgGgC{=<d4h-U;z~tGe%xe!cMoaoqCpm
zf#JmDbXK)`P*P?*!C?MBBBvtE(8AS80R#*U%t4fbLXyF=zb6(XZK!W(e!O{^gTa;#
zm*X1wiEEDbte?$SP+zlmm%3%_jK;$gd-uxESP+sgFUs?5V|^-X^a-K+2GUbM%;hvV
zZ7#h#(fn4EanQe&OojCpmvl5MS;{JwOrDa)xFdD$z8zW%@3=16sB!X(ook;3w_BtA
zPw()Az5mazekJ#g|6t>aT=NGD8%w@qg*t4WE-I$J_O!>5nT%_H2^OiOA5_`hD_`?v
zlIz-zb^KF0{3iZ6wge?SB8y~JuZ@}<#|93B$rIUJR5Te_dC#ut>0QI)bHIp;C&u|i
zO7M!43@F+re}`z;ti`Uy!3PSp-Bv#?oDgGR2zfc#FkZaAA|)AK66~FupMTq8+p+ua
zmn%%DEfk+&Hc95Wzy3S!qg|6tHa;$uNpC*rP>{2=aQ2NT@65}Z&vwU83d)%@N!w0l
z4nz0cgEI_&epdV`BR8o%a?<gaSu@pE|6$)PSN7=L&!?U>_uj{CoPXK>^YJH#&);o-
z8hq&g`{H>i^*`SwZ2dFo$<4g<pL<S4lov?7i}QOo{oltt`^uja_e!5nKlv#(f6~*F
zul}CS`6V8Ga((6hy7IsKe@)7<`~6_^RqtI<KJzB=|CixuyZH0N^!iWYziWT(iBj#h
znWuX5&*w+|^(k5b+h!g6d~~_$@0tHSCiDOAwDK`Kmfrul^@sbXN%eZ+Kifb5dysZy
z)5+~i{#*|=nprC`uO-lz*V@`T=ZnGlC*g+w&U5~rqciF1lZl=^{-;Gx%L}Q^K9e%}
zroqo|^I8KQGkrg%d$agh^t8=Co6Pk@#b!T~UvMk>+}8cc=eu8idfEBE{HJlp`qMW`
zPI{-kEHa#}Z?`#STfwnQKb4wiZLR-qKI`az;rHR2d|jXZsW$p%|Hs@*?K1n%zxy|y
zRKK@%hi&ELBgg+IP1el+mA&}r{b#4A*s9&1<gT`Fj&y8Iwpv}*$IPF}&H=gV8Y{YL
ze`)c}S@mGrOB=oA@i({rF<dCcKR4@2R)zU&|Ke}O0%dxKE>Er~xR-v|wydtymg{$*
zMSahWr#mk1-j%oYhxl4+*4cJ-N7ZI2FQ4|#=jZZDA^)fRU-FD*Z!F)$oo;XRPk#Tg
znjag=_b`5b+Vk^X_4(xY`#!IKCVWBo_sfmn?q_d#Z@E=!_2E*kw7YTby<wAG&3vET
z|1n{zy^#F5z0Y;hzyC4!Dl$yk?<+U|>54zco<Ee_{lETT)yetweqwuy%;v61+rRPC
zZu$B>|2psAtC!yr`TouM`b3>|#%8beKR<C^sM)%<b;T3Q`BN(<S9YtdDYufES7JR~
zJn7VtsHYZ_uk#8o>zh2ecG=hU^WT)1bW{pIw)_3M|Nl{`mlo^I%{J}cI+1zL#Jta6
zt_A+F^#3{I%a*`B|Lf|{%N$+%z47@=fowL3gTCyu>YoeSMM~J4$S*K_ziplEp+G;W
z=v#kFy=8Z`&gRK|E9O7H_?~V}d)~sr0}^>stXBp1G%jK}*Hu(0lz1-Vf!V|BrGZ=9
z`~FDrt6Vtx=XtWx@4LSr>-$_}HrLI_E4aPxpxvDW^Vp2M5Bp-9nRgt_tCzZX<$?5V
zw(Sqtc56BXHy_^?o5Y{DVYY#Z>5psQ#PbgGmR)Xt#uokW(C>ogyHggdJXn55cx%=N
z##;}rNuTgK?Hjhi>du!I@9Q6n=jk5|@alHs>D#FlcIFNHteNjWyRw@Y_6oR^_4Xb(
zwyV=&^ZQ#X^VhvR|4GcA%Y53~Iq^rQ*EgDXxUZdYKL7gV_W#236Akj1F7gOp-D$bi
zU^VX<yY!DD(?pLsEtb8zYvqnx-FXM%o-C6-Yyan^-0IA{+kYM|e_6c8>&JFoGyDDW
zUmj1C|K2v&?@aYhgG0+C+@7l$1;|$CFW|1u+jZdio7e9@*Zi80F!|fO#V#ggUBY%-
zuYBn`RbOys-{;hJqn#|To+#NLskq~^V&?MG*BhtV{A*Ls(AKc)c%&A1R%7`$jmE55
zwuem^PdG14TK+9|?b455O6Q54a8^x8|JSH{e%_&AuSmo0Q|Aq2%yqMm{X9{h=so8f
zo4aaevr33l`N43RX)3?F68|V$793ItnDNa~&Ac)@?bXft@@>quanXxq5;WeL2VC4X
zyDO>G!s|KT?p-#W5hmv^9Y4vx#!IVow`g$2R_PC_b1(8vt8tV)zijroS_Ro1C!Rkp
z^)Kt##B$74wbb0{)ta3<Ztr9ie)Zt!#Ov1%ge|DQx=d(qbcfDtySmUEvk7LO`%Fv%
z*^(Cv9k5<up0;`CrbYD@TE8CeUtc*t=Vgs?!Sc<%OFNnmF#p(h*S{!KOjPBgV4I6-
zZ}N$h`)Qkdk47DJcr0f9vh+yUWH*c1i4A#HoL4vgXJgv-UM(Re=G>xtmdw?^_AQ=b
zTGnllbGx*={pMwxxV=X%yId5DcbWBPN9Y&!r5tSTYO|_++NHlPdOc%vZhdR@AE|uq
zI*Fb|DsG;t3zNl9g)E)ocE@dI(v*-QDY@UJ-6s|Z9j(g!?QCT@S7D!3z{?8Go?ah6
zUv4vAr+1th-##z>yDt09@zaSdAx%<p&6j=5g4aB+zEq=jhsQ*I>K4A}1wTJccbS<Q
zWu&9<<SK*6Q3EIQ+*~yt=SB`6L+*Orv>k;%d7J`ylxzxuzoi|rzTD>9veGZY;!UVi
zAdhm%7wuV`t^eBv^sZQB?!2|?20zbCxqzRB+cjcWT|Q|Tu~F8`{ppFGz+J6!66?0h
zO32wQC`!l+*cGyT^>$gW=g)Q|l<u$;h>-0Qid*MB?fi|ZuMJ)yhXX8Jw=J4An_D=(
z-plW(y4I=0mXJkN%8#6{-HqFFCt_}h+t+N#j`tJ1g533LUhP_9v@ZU1LQP21sc@Cj
z-MrCZDmx?cudsz)3H1!*dc1hj73s^WSyGMKxsgF{$|Ro&yBb&-c^-XvMfK!9llv7F
zm)0sZy`JQ(6}#7&eZjk}+h0EuzmaTTa`|f7uITzHe&280F1;)K?Hd1Gk-anf{PiT}
zzFRB)v9If`L;bf47pG?|<94*V8?C?1U2B{3%M&rvla;UBb6H;fy8Y`m=D#<cwYIC;
zh#9LpKt*2m-I*h{hR^&s<LbkKd&=X=m)~soZy2UJb<roy)0<yOY!10m^Xbo`s?DzJ
z^=lQE*0UUQQ(anf=u&@*W6ta!*Y7`FEOgnxW|Q=l+0(S=RT(bR&Y3a4&P8ph;vId_
zZN4e*-+v11%)Gz7XRWwyc(~%DDFKGU>+KKExT7Mn`mpbb1y(iZef@vf$k?5K=6x;l
z+<^^E?{}W`F0Plj`A*Gu*~b(!(cc<7cimJ9Th_Quc7+&Ay`t>bwUR+!D!orszs>2@
zPuklkCZ%<{AtS`9YIlCW+3b+F>S1P$yTlhxW0qX`<qMm^{l}*^%zrb#?Qe>rK%bXS
zJ_EDe%N(T)A&rPf$rDcbx*hbovxR5P5#N{}b8IT2&fQ?vpS<RVyAJ#0_d8Ge7O&?x
zYHK+=?qljAR;^QK-=C<D&o<R(&?~Jyenu}Wq_kW#YvmQyn#v-LPv1qf3It#5el}_2
z!b`Eo;saK8N5>yI)3wul*DlGUb6>Ci5y8l!Z}4LsXPOSfU*~9tuU>8XOx}(%zt&oC
zU0WVpsrW&!*?-!SXXn1%SesL_cK-f0rt@cir+DTbx==SoGu-5qYv~hquKFvAT&}8<
zWlmL1Sh?M_>uTDQ-&|J&xt=bYWESv^*?Z@@gY45n{!KXF9++L*7U451!R1!@nZ?Xu
zkCvTHQ0)uKv0ykJv9?b$d)Dq_5iJpGb{*SW_c$o0Wm`!5ztgsIZ@<M}eqvHAd#iY*
zo`Ztf24B63{zK(wP9`5LO*p^QlJRJ6z0uXM70HihY+F9_rC7qHlK2*b=v#HmO~iQI
zmQL7y;6YI8{yoO4rMOEk$rv-38sBB~S@mlA_q0&!gFYd@D%gF*R=r?<G-XYm`jw|8
zXOvS8vhZkyer6WTy!@8McyBA8^)mKDT7^C@le=o!*G%;id7qQ)5WnnKR(!?w*Blq7
zuWYWruv<+uD}~FMG4=S8wO@}KxuhO)-RG*>k*J}jVy*V}Lgqv^=dHr4T6MK_dHUbZ
z+)~5+XwHUHEw8O3m6j+P%nPa2zaF}3Zh)@J>2?#Q86ma$%?7LHCa8f_w@TbH%={Ji
zWKGc9mMq1{UrRpRsJnOhORP)Y*N-6Aec9)FtzPB6ZSJLdr+=~OLH7@8t!(+QIKR^D
zZ)(yUt2=kTaw+dyqaR#yB58%nB-J1*<`-Xt7tc06J7?Yc3lE$8r$xW?k-4>P$z^|8
zvF8^LpSb+C%}XVAnrefOy>8SUl?N%i&L$XXEwtHc`!Gn#WoDE2Y}r?4&QB-le7&^N
zV{eLQSo!kq`pGZNnoq89`K+8`e3e(%FRlIJ#605=tCK=Ta~V?(DR4z*ZdSgVrg1a+
zN&2VzN{*A?&s+FXO{VX_t`%R5c>XG{{`bKq^68_zN1rMu>$%ykGw#W2pZzvzsR@Ud
z>fGdwTT^*w?LU%rOaAMPsa_i0jSYERTw#yY(h^krc5OQB_o=-;Wvj=9b&jUD?_d4h
zb9Hxt=f4BdGmd|sJ#S&mQmy_Q>$n?BLX7R?AC^iAy?#0G%9HHY^rZ>5jh)wPj>ov2
z4JiM*?zq{CpO?P0n6COMbfjqV^t3h6OVpS7cU}|r)%$75@k}GLH^F?{Zk|OCSNq5&
zIsP`~*!Yj9WznT`g@0!)y%$x#diu($u%I<dPZyu+I_Uz+()#&F_cAl+g-`w^vix7k
z2ASQ~uY=W+H_aCa*MIa-s@Qkg#7-uKS+i}T7qlMQ%YI>1rVEdW$rr~NEu1dF*Jr!_
z6KCe_6_~Iz;Za5@`;%>pSDrT7=$gP|8pz<HTw?d?()a6&pWYIQTw^Y3@X@fX-gR0*
zW{*$bPD{&KVv|`NjI{Wl_^GVgtbSSD-L$atSiAqklAY569CWX(coJg5aItfe&fQCE
zXZQ#>y!|nKlHWxJm*w7@dc4au7L<wkoHWm1STy-rv`Xx!`%14Ty`NXM(mHa(!Cd||
zeO?~<ubO9Ho%b<wQ^)1^{$ASym~C9QYn-lc$jEc*3Vk%=3&)xxfr(`iCK7YsyIpTC
z-r%%K^8Y!rPsjH^-F)KW5;pEDrBNHXVy82GT=DF;^zD-Sn;srDo>Mw`p_BRNGUF@P
zmAqL@R`hq~JiYv7SB>=H?~gQYT$lWNcX_HAyW-ttHPR<;&CJ$LIh(oeLU!?quX`sg
zO4#t*<ot#Dd9Tzg&rUFZWGKK8w1@9k)`>?ci7(jad<;m>d7E})HK$!<)&fP374pxf
zvFADUe-FL?V)X|->By=Dj<pX;wHMZH+5Kk4Bc>~jjvV_AJ)XRjL&~X0QBEh6qq{j_
zVfl&OFMk!i*rnk1Vusp^nH%`etuQ^l&$*x9<-SD6hpEoTRb1=Owj^iB=v{5O_Eh)V
zxvxLd%s+1x@o;~7f#=AywWfEomR%4%F0AA~KfXdxp!d|wm(Fd`nJZ6BU7aQ+Gppl{
zy7v*2h8LTDeoyE1@3X0N=)J8k^uAVe#wL>!o=?y6EaX)4etwHVCojA8o?v>;^yt-E
zD>V3;f+|hQFL+!re!hBTR(*(_Md3dGX>&3;9@fUM6!tBgb?I{IJaNX0cm7Ch5Hb&E
zTBTCpf2pKQ&hTS<LT$*cs@<hR$vFkZm6gT`KVMm{ESabyusSU?BlSOj?Y^AM+-bV5
zh7+cGW&ZwZy~k`#RovoFYzZ$ua^8twKCeQT{nD;Z{fN%lY)w-wxGwPhHkYc8<B|#H
zHvK+v;#-xU@#|tfn%pkwdUV8Xd-2|nCZ~-Qq^|z4-%wlTYgYX&zSej}iRs=M|4;5@
z)9`NU$#c4J#==E%b^3YbjSFnw&+d587vL~$PiDgG&}UnpUUhykar-wj^Hu|!O*($g
zBL2J0BBBcZ&Um~`pyT<n?Fp)RPV8ZimeuP&>iyB-tFEoXo&I3Arf>279b4zl*c@qd
zHCDJ(e9H^5R7ruef%l~@x@|Mmx|s9yZri7z_!D<OX+)G)Mslbu4!RtD`!3JL7wW9O
zw`biiuw9rC5@p3!wYYGV(@Dvlip~8YEPa{%vo5-A6W)+h_Vq;MleNxEQ#l2Cye!-u
z(yx54Pw7g2II(=iQT<a%60(tA%cT>94EX{@ECrqB|F%6?)fz9x{PIr9=9zgsH}a-_
zSRef1O0rM3StLhGHTTXkpY1GRk2Ee>zp**6X%-9P)Qo$#mc6|yuW>i!s80XF#p@YZ
zQ+{?FyXJDMd5P<Tr&HN#KByO*abXF2v@8@<0&YBftp4=znopLA58s?FX7l4(x3fvA
z+F7D2BXp^Gh`)Vj{x7qcwKt1)?zj2BWC|1G%4J_ZM6}2WI_M_PpOPZX_to^oC*j_|
zw`?bC&B-X+Q>wi1fcEKzjC@6vzRKnUUNWjF?WNTVq9#7QZn-*M|3~9Zc{^KQ*CThj
z=Qq9F_Wk~8?%P%MfdW@@Z{@T7eZjKpDd!KXfHzAgH|Plav$K5F77JznwT~-GjMY*0
z>3OCtWr-IVRz-!_mPRsjMO`s;4KRMenso7a=kueN-?H8Pa;MZ&V6s=azCiUpv%1-q
zt7oh*T`BS-xmF@sds%)|aI9I@3|CKq%f7w22HE1r_8$vbyHZYSU47D`YbBrAXBp~m
z^wXZ?m?@rk>Tagsy#U4TB8A0^tuLLp!7Ld(Ik4|^fXs@k5~-FGCZ6Jdm0Y}Lga6&x
z{Es#oT<*(Q)1@;pNPhd`a}l=+_h-4!n0V^<%f!ol8~UelZRWA;isioC_u%1+2A!Qj
z@+m&P$1e3%hgvV{>C2opOH$vkeyYf~i<j!fRx%#)Sdn^k(gVd02Mo^#8>jLw@$vOq
zIkBl|F1wE|XHZb3&E8*|`J**=wqCjX&MU*D=h!2G?o9s=C#oH$JzK>;X_?wenVCjb
zX;H#yOFma*@vqKJee!Lk=MSl_$C=Ay4>td8OrEql?U>w&8MAth8tyr;`j%kYl1~-&
zRbRt%wiy-umRZ$v)OOLsttT~3H}-_c`JZ3E_x-l1hNhBBW!taUUF|bao_f5PtBU!K
zojX_Z$3LReC-^1>Z&l#%eEMll<AjxrKUYnjy=P07BhMtA+c#KO$Z>!CaFwm-b5ohb
z)>X$`<WF*)xYQqeAg=$MW^ZIs*xSzHpr5wWAH1nQoV(<$il{$t%cE(_GQCUeGv}Xr
zymQTc|68YK%<5?k>Z+c#Og7QYsGogK&5qhFKiaD{oH9|7Vo>xBFXLo$2oet3wa#?H
zCXhQm*R0tevPpE3w1@T4)pvS@w+HzqFA5acq?0DDke*d^Dll?8-$BWhf94s-=w8+6
z;JCp#U13FipqB~PCjY13YFH$Ln>UtJH%U!(kvutRPV9l#0`a@w#@`Wne{bFu7q%J`
zHm7MH-UxxB#ZqZ^c&JyT(2^yeD*O&|7;m2-^<U$&`YbmWXZaM%^L`&QLk~-bd`uA(
z=s$IS;``X!j|=C&6}O%|`|lS}B0Y6^;_=-9XL7A4hx*hPq+c@p9A%uwe#FLP?Mk^f
z7Y({6DX2|dExa=!&-z;MQJ1xzPv4&SF8s#u=$4gi4yXQl@@~*z{uEeg^~tlKLErS}
zlTXgiC#M?N9iLNvwkEcMSMr5_+_hW!4#~3XUVfYP^T5BEvud_}JN$En&1{Y*kGEaS
z`>@K8;c`dDf@YoL_c`l1X3m=6#dBbb3XkX0r!EO!CQ5tkUJ<j#T+7WRStiBupP$3N
zD^DhC_jdhFu+!SF#`1GV?zSTZT4pZE(kYz(`W%m4Nx5?*-^cn;Pl#M^jT~dblW!}J
z<!h<A9F|J?`S*!66GL3q1JCtal59o#b#~t8d{)MNIpbqb($f4We%_P`^{L-pH_bIR
z{m?aaU#6`@|EbxQjC*tQX6dRIX{os^mQ1nyw@V>8%g8Hz*(q?!+~?D=Uh7J+*3*}d
zyLc}eYo$p3>vP<9<;m*!&;vCg`_33voa?ylW;;J<&fOI=_-$u7O}nw4`O#9gxV>zL
zDnjIZ*r!zFNtvc?tmNF4<Y<yp|8Pds1vbIE_pS>r<qH!`HRRa%bk>axf`N9jEDCyc
z`I!^DKM5KgZqYR;x~Sy6L}Pt6bIr<=;nrM*c2@Ch+vZP`UUp45xA9@uwB&6r(l$40
zu3j-0eSNSZMULl1M)Bnp7rV~R+}_1{u)+1TsrM3AB{kudjT*<}MFYi{1y}x=p;vFm
zwsp4a*JJ^n&@Cr~LdrfGu6TI0-%;ZH*?vat!p|xjykd$?-fcKn^fB|_&q~vi&rh>P
zFMA|^Ge5^Fa>MTy+w_w?wNdMCJoz|Lw7&JJMXu$t@GGxg#C+ZTeEG*8uZoUX3uN!j
zH~r(7y!g(N;QWy9Un*+O9-DhE)cNGGYdbXW+VlUDM;$NUt_mJt-~39|hmjLBUjFoR
z%*~^d?bRVO3{xX3bLJcsy?^s`{{FqkHrpLjY|pf0dh%hN?CpmfYrb#TzOj7n-O>#U
zpRJy()7j0b$Z4K?R<zG4ifbvaLg5M_R<5;NT%ndCTLYJJt@;<Za8-axRHv6`2E$fG
z0gboS#`m}Ho<Ex<e@eykzt7J8-uwO8+1Z8PUTrx2^<Z{V{n;O1&V0G{+WPa<*H+%q
z%eIADT@JQhZszlLh0bfA*sX8d-d>)){mmPnlB3mDlZ}n%dfmCWbML0boBrN9wM?|z
zMsND-l0VO*-W`_z*t2Bg>8-zRvQ|x~N$-^7F|?Pl{qySL1E(MB|F(B#SVj8HdYP10
ztCr7~!glz9P-9Zjkvlt5>$9q~+0~qqz2@B7SeO;8%9AJPG2QKJiHMET3~innJDseI
z=gjG72$%2*OA@KMR-zf~lkOGxp*z8`zwo33&n%ZMTCdMbG;~BgmJ(a>GIGA5L4g0|
zTUAU=N{d!z2XH7x=k73=;ce<1(UPm%dPE^;bLvfT0Z~D95$3q(>*fhxsPD<zmMx|t
z5Y(2tHm##`18Zgq<CczXA)BUnEi=y4j=j3_+Ahu8rC$Y`%}Pp4I<?u3ZF+erp)$jU
z<t>wlS%jM-*8%^{4Giskf}7IXuJsCTaA;Yx@lr-xuz~2b?-_>S9EY6*7w85D8S5OX
zJk8o#5Yb~Mdq7BV)%6aC<p!%B^4GgO3|Ymf-F3);Wna_f(nsNIy8^XY=D6K9O^7oJ
zk?_;j<y_t^W;^?I+H19>0=Kn66RMWXIOX!tC$?~!PD18ZB^?d6^hiq?o%l(fB0*1|
z6!4rAyEtn_k#JkFhVT-Ls8`vIsZH1a_^5L+3om}VA?Aq8)FmIyA{1MkEoZy#U=FMA
zy0-IG)-u^$E^EYQW<FjkAb!>_W~Tpw%63NKVC4nU$!C*itg;Gv`lvwq3&+G`mg+LC
zp<3R`%jR`Et)JvQ?aV@c^PBVZd!|}`(RR>Q5NNo1sQp01HraEchEh|`PE1)jM>ERo
z)&m<wlQ=2Ab>|}rjOX-=ri$i^i>4XJ1UNsEs*hAY^ds|9(bDTY;#LzYc=Q&@N*e_`
zpRBPn&Us{aR3XxO{<OtRY^&NgO8I!PCi6Wxwe(?()?r0mr)>_dH<=_4u5Q?>!xX7=
zC#G(1(V{y%&F36GOyIX<c2sfC^S{FW$yB|mam`W(wO7n98xk)@^@nb??CH1=u&Zp3
z(gbn0%tiGkK_@Ps;t**u4Dni47}U8~W!dCyJI;x%T$dc6@=9>?jFZdSu4_$|m?AUd
zopNfHbOdj*=hJwOV;i0Z)Gm%!j9AZFWW*(&tQvVQiEEL8UX#TpW{yKG8K*?ge7vZ*
zM@LW4%`p1&*C3(neRX%mPO>wrwaW9ZTvj^M`18#)gSj)^>z_zZd7e}IZ226Hw7TZc
z-%dZd%y>hi=5X@U95aU5S2XMoEmU&KN||=*Xbe~Lt{9f!lSzTO0ebQcXAhajX)IG?
z+Ti-b?bxx+e;H?7TfC2<Cb&P${GjNeU@boOL^qSAZO311DcBJtxm>#}VaEg=^Mhdz
z4|1EagmXHW+_RR`Z%wF=4n6QDK9`$w&doe-Ta7mxTI)p0v=&+~<cSFuy>MXbHV3wK
zn^}zt_Ue9_&a=bu$5aEMCTU%n-1Y}*s$afo95xSUHTk0(?eXAK{_3ZDZIvB=^krN+
z{Ey>|(boeV>c0wWS@Kp27hcc5nv^7ZRJQT<E0;NYW4l*B5($xbmvAEdLVfn(4c^{f
z(+|(}Vfo4^=eew_V&mPTEBv-zVJd%o*?oGGUbYO|LpilSzYI8k=&inbMPTbv)&l**
z|8nQ<Rod3l6DfD-{6R&|?}l%hzQvlvc&uF-z1QFZ+wPee*Gx)3B>j*RmR&K=`Pu(?
z(T4ZN4|SC;@O*#FoWtO3wzkRe0lUwSdbSUx6Z#J_`?yS6+F7$|hU69fO$;_2-tNIW
zeOT&NOm5wMF@Dz$X1SzAOAVsTiYrrel!d3o-Z_(I`G+HTX}Rsa*?Ql$AL^g^;AG4(
z8Cf&;GfyhkwMgc*+L~@jSu7)W>}bZxEpa+)KX5FbeQD+b0h?1Umm}(O_Z1Z0)14`O
z>u*8*|H<oRcy}F~-%xwrzHE7W`G?z*^=%i=pO>hg@NxE!?jHN2Ka~D)J<hI}VSnI9
z+_Cf1_3ZDI{?FcWgZIHBy_c^_UNXKespQ@MdWZd;2TrTfJ70y=Nxc2Q+>`&r-+11$
z=Ym(&{zV^?53j$UcI2mZnETU>D`(g`6m3`gF8PBe{grxsujJ)q?!Y6P=gZ%C{k-{4
z?Xl%Q?n~Go`Vrj|e`5V3&bkR7_pk2APqsPm-QeHr$3oYWt}xGctvNK`V-HW7z1kyf
zgT{2_yHa+?_Wm*AGC%Nm%0-F#=9sGW^Db2jdD(s_-}R?$dfj@9o9tE_n9}Aip0fX3
z#WZ`DlIb56?;ZYb{7<|7*zO<l68c5<Zx21)Q!r^o=&qYKm+!Lv?hCv!<7(uw_|!k?
zJv-*7@A&L*uy4}+GunTq^9UQ9oPFXMC-<rHPfdrSz8#)@evg-Tt9;u1`bU}T<-hG|
z*v50?`*eN2z(xa^cXfR2Jlge|hfRIk_C*V1JzVvxvrzMO(%*aCcbs3Gdt+ZOJ8fUZ
z%B;-ukK1kHlR7PRWXmF|KFZy`^ydA0n?I@3?(libTj}hr<(a;FiL`F*zm=Pwe0<zk
z;Jx1LMxM<6Xf38t5swG4uiTiXyMEATKNua_bX<P#d9?&yp$Zw6|78Mywu&8$-krnb
z-^^mieo&D8;KAto%TtsO?)JZvEnFe3Qvbo4!>&x=&!5)sZ#eowzaMC4*;gsBBCdnQ
z*W0#~#a)&~zV6E=(S!Tu|9fQHec-+VqXmaup}?K*jjP<1DwgkJ_vIC0kztu{_5H!*
zX0wBruW~GKWIF6vaK`aNdaYR|zunq*jtd-{7BoLFW<U7)H-F^pKkqK?zWUIeX|ZF|
zgyskJ#q0;)y^=fg?pCh5K+Xh(Hwr)cn;$IR8`{J!zxlhu6$Qs5js*`M+WwpT&Hc$Q
zURnF^ci5KOZ;niji9MaM=jO#1d{#a3%X=Sxm}t#+_PRy6g#9anx;b?{FZ}JSeQGo8
zj=7$-cvM$Ww7)9s>yNFEE0+FzTkUyt>68!!^%;v|UTN0Xvabwzt$FG#=Q0gG+okWN
zMVHMADwM7F+FD^gL1=4T>&m$I2Lfg+Ui?n3_u||onJ<Kj5>8mUyO-AVUi4jXJ@9pT
z!jB&_7B80Cd2Gc&ZJ*K-_O|!SEUi}AXZQTt_H41++XHtV%wD|s*1BUWWV3sIt!F;G
z{d0`$t7TG)^;R2Zt>&vg!|PjIy23N7XV&s#E26Cos@|WwxbWU`!&jYFc3Dk)XLuVe
ztyV3~?1`FtC?vl)A<y91ixqxL4PPb9>W`YnT<l!X*O%oWwfNYQ>w)S;33(i6FWxMF
zB5?B>d-i?Z^AiNU7d&3Sb+x+tSLxoX=UC^a^j=-{??5nnc3An|NcY0kr|P>^|9tc>
zHFp1YD|^cFS06cV`2G9XR#mg;`D&3RtM4t&y0~0xiRasBht$a?&b#(3nI1Psaqssp
z=R%oUUHgA8eK*1G@+MAJe-C&4gR>TXQ)IrzqZ%$Xjd2x+<QA4JRil)Zfw`wPm^w>q
zDo=B$^>W)f%WKgSG5)#MH+!0<zvdPGS3mRAGVkAN){@uNotO)G15RcwkZzn{S05B%
zGNnv%vre#GDmQ1$^m%dHz1A;wQ;*g?o*A4nTQ%V6sj^KH7uPyhn7_GWwd}>qC7H&Y
zscxlqnwhB)-?<;&v?z8}*9(pH)AhX=6ndm8bN#mlv-q-zb3wf)ShqconEXjZq$Wn*
zY;XNK_oWV{;=CokLKCyPyEN9m2|7NNTXV7EUAL!7-V5$&h(|L`T^r-n^W(>t!~b?~
zIQ1g0@}tKOCgr@^^r^FM?MOX$J*0Ep<2v7~UHkQRimklQ^RU-eUzT(At=gY2;-qwQ
zj4iCz{LAJmzclCGu4MJ^r=ET-*v|U<iDj)@O>pY*FZIvXrrntnw{!2Nn|DHPT9_Jd
z-I-LjR%Pkbsjs!e^JcG{@p0;FpE>Tc@96)YE}dR?a#v~Bv7XOrGxO#w&7Rc!W;VaK
z{6>`uhi9*xRi-$ZJem2mpr4if>CMj@=UxzONm+G|V_}Ml&h)E?_ln6(^nRW^`x{%X
z`%{O<H$8od^uqOx&(*JpZcjhBC-0YAHD}l5Xu<mhXP?fl-0X9u`c`VC)|0MdJFC?y
zedb;Nv1^~_J*Des)Qz`z&X^fCb7sHUQrWGypCr_FtX?B<`)TB7L#J06E~j!HH-7c|
z#8>I~_;r}?C)Q7Yepa~uZoM~GX|k?c%dR=UHaW2G2$;9b@vrSNHt~~}P3tYf*!8BR
zo4iZ;t`e_wo>wwp*1bs0`y19Cs*O8*)VAYA{#hf=cf1l`{)U#Dmn*NS|LSlfU83&m
z{A0><Tzem!`O+4Wn&x(!;qYu<abubHf%=sv_F5cxUSa<Ih2#253)en*QxEG3F))C7
zJ~2g{$s#-q3}DQ_IoT{;sJ<X23BFuNW2^q(1kr%d1cs{o0!br*9ii-h{zJiG{|O&j
z`}9o8<~qk-H`Da||NsB*ZzX?kZ_B@bdR<PC)~kLaRmn=m0>)L0v93zj1Aa8`JHGL~
z&FQCNHPihUN-jG5d)3vftx;>M&aV#9n(DPQXzqNgxqi#*FTX6&n(B3QUx?OJv;8Jg
zy>5#yW{7aL8rh3*wd&b_`B`H(|NQeks_nJXZ*Irm|Msga_VOwJ&8qgZ|6HF?|Mth#
zo_O80ua_^ZJb&@rxie=@o$8w3^W)@>A2Wj2oRs1EAJ5i*VE>>0|K)kunhz=naIi3?
zMovrp`Th6bx<Z-bg))ch*H7=?$NxX+$JhT~_s!kjx9@+|`O1oLyHd80RhB$&PJ7RE
zF}pD7^sZg|wr`%gzvfs}^`!qd4#%vXdt3Sc#_#UQ;S*1oiu_Xu_;>Wf>+LmBk$K<u
zer-Pa`$=&64w+B;u9d(0{bqN~s~$e<d&g_@Yx8eUvwoc!>s#KwHSMv?k&|ZY>RTu5
zvzh<t4&VLlb8l~Z8=o!tDd5f1sV8pF_C8Zpm213Z+1r~e?Yy$z!g>S}=U)Eg^&s|u
zabx-1@1G1FyfmB<+VWz-^+vq|0+*(5o4R@Jd&YgnYh9PV-}5(c#e1LoKQDc&U1xb?
z*8BLZKm9-DO0*_>Ed3afQ~8N~qLDpYyW9QB+x4HO6`!+wuKRq>b_YWc*qFTeW{%lx
zH?_$pQ;c>`JN>k1=a+f=ZPq2up7&f{3<Ox1L7=X-wl=r+WSr64r(dfoXZ+q%r~h9w
zS#`}9gYaVo*ZluIS~LHP!TDb&#XDV;CVJG{$n_t8JndqJiB#{sVg=u2rw+}1pU29z
zuYR|1P5IlJa#fagr+cnn=8CV3-S>IXdzrUqbk6)$;@jD_uXy46Kh=C+3hx!2+Znbo
zLPx9{WS~mb`Tt>G*n8)1_<QZ%-x#@P@1B2}ciz1Ir%!tIrvD4ucfQ{*QTI3Ozw=M=
z!|MYyM7Ub-73<#WSb0W>yIfSmXT#(GcX7r;cbMzh_w6p)cbd1RT=EXL$dQApCzL9z
z8}>%sy~QJ<VBpa3-iDz;t5mDkX?~gS=Cv!AE}T1a>cn2lqqEK|egD>fri)xJW8JS0
zHy5k(&$BLjbz!FR@!fv23?6z}O;UF25|C6<;g}$ASM}k>Lgn`A!*0A%cgy9Nk8z9Z
zMyv^#$aIubxL);}M~{HuqSv(_9u(a;@VVx_WKDU}huAkw?|p5o>Kks#8$9RUQO6i_
zj{iZt9ee)``2*GS-xogEQ+T=Utdwcyg*k@7ZD%A56A#pUywrMHOgC~v%*LSBQzDvy
z3v3E6wVV`E^_(DM7}Rn?K+&<`&xec6$N6L}3+`+PY(B=LcX)UG+V_U*A}n6ZvM+w8
z_5D#<;r1PoceL|F%e$nH);=;ToWA3Gp3wJ>=p(X^*aWXO*Hu()u)ZSmg1z{A+s<g`
zI5r;f4?1^37Z@D$bCQi^;V9;pIboXcp!)ZLMwv3lmenyVY~eX9*;jaPeKScAU%`2$
z?B?r+RSa1TnhcqyUafxf>w~PLoHvK-&iwuFNZj2Wb00ohbA65c_r23D1)9CM6@1oj
z^+k;fWkuJsZ*QIZcmv;`=lf>gOy%BGP#7jZVf*K%HMbdeSiBAkdTm`h!T964YvrHb
zZF!xw%bMf*Hfw2V@#72}u9w#st4(xQ{ct*Red-NU-8;A47wlQzY8|^aXzArDsrt2-
zf>(a|ckqbD-Aj9V&R=_TTXy=?hmX#e{|uRB*1zX{vmBdv-`s7Ve?AG=y>9ix-Jue;
zYudNvUJho>%~If9^LiH-`%$}1vYE4{rKQ_U&h|7j+qUA1E_2ArWiipwtC!!{u3^Nx
za@jJot@Av4GmdT9VzO$B?=pj}rzb3b-rQ(^>s$SrzxzIK%Z<L5v_5RR+5d}wPRIVg
zxaYZUY%OO8)8=DoA8+1_40Twj9WFV2=FG?`Yz`^U+_&3{A3D;i^W%6YkDw4sNBM7l
z`Fn3|E8cw2o5}ZHsQ5#KMc$1S7c(oif0w-XHny$2v+&;GgFmOA_doFc56i!^N)?~y
z$$$8FG;VEPE?fP(weip5ZBM@2yhF@B@9(CyI_aWW*Dsco=aq{sGvmnanmBRd)l2`o
zpWZc$xc9brntE;hnf;|T^*s%*m%Pr{wcBDR`?c-N#d$>{%%7*O4qFqp(yR5=l$AkC
z+&Z~fUrk=_H^(gVRLjdrOTDJ3d3Le9oVeJnM@-YJ<;8@BPAzPlT=gt3{9ER%SR6Qa
z%A$!XtePn|crHsTh_D;3SaReVvoOP<9-YRdt|bOsu98k17nxjLm}Yu3ak{uHQ+p{;
z>nmHW+kQN)e!_j{qq1e%ua3Dt=XKBsRnX2#-RkAJb6fh$RFCCrUd(&ZvTvu{tt`_W
z1s%;P=@n%k?y$6I><U%5m8n$!W6H({>(?_{o?tm*xkT~P6$d_*#z*od9Tlbu;xjoq
zjxK0Cv7Xg($q^?VHeT0#Vn-BJ1)fiA<A`T2oXFW!aIS#uWAG#4=W;936s<&N?pnMu
zkKgC+QmL0a*FHZT`F5r9yY{T9YZA4WI5;Y=zj5Jv`Lt*oOFMIm<Xcwh`yzf`SX_Tr
z?}gu!7qegM%;M@^W4nlNg_!WdATFiHvt?XY1-1Wh{VTFtWO4SYxjU2J*l^yNc!TG~
zx_w3szYI8SRjPk}3_b4`ry93*g2!g=2bx|l)V4QkCC)I^iV1q|da648@=^!Mv)_5o
zeJ+ficeP5<x!c<A)!%7Hil3XMCEnPz_gbd;r24zzx3y0FU)a|6*=obZ8|;C<#F{t_
z3U_V3V6r}@u=dgEj}BtX)aS*>rxceyI`i?Mcqo6o$Rt-o+e6&Jc?OmDPH?}^Ie0er
zEx&YWSKet^v6mc-D{K!lc<xqK3(%97(OfNC6qhY>;k!Q9mFo6esjQE=4!7M}?RPM&
z@fVl7N9w!!2`#_67cVO@eY|11B9Hra`*Ovw9}m1Y375C=@6)mTnN;~?=BH-8bNsVz
z$~miPcHFytyZw~&q4j?^`d&M9O|ha`yrPl+*9Gpq7x*u}cXKv6AHsVzM9s7(#7L^F
zdP~`?^;4PxIDgMr+$L*v#a33H=K^Dtz>Q`5U#<4f|G)5M?!@}TM=SoOYdw&#oA%`1
z+_xJZpR;;?r||ft%4h12*`il$;NXAQ@Vx%x*>6dY1uX;py83wTwcN7wE-W~(UGsdS
zfX>{Klm0K}tpCG3ZK}k)d7hH<N<=?AyX7gx{Y)Srh)Ibf?diLf_trdNl-k5_bkEh3
ztp#-()E4K8CMuVo3}jfaJG-92=cNAXxAk&q$EQX=XZjcMWYhX9Q)bJ)|9IY|_jrBd
zUPIY0?5n!gw>q%zxLRh+^zqqhzSO^72@GBDRTO@;&E=TKo>vvSLg3e`#RgJq4EDua
zwp@#GH@_ZucK4Y^XO^7`{jrC48oXs+wmf3>!n=|G+1Q>X-IbP@(f#s0yVKmqj`gkH
zd`tP#MUJy7=r!^eNNs(TzI_GX`A=3W_~W-7UH81KWnbI;bqehlw%&;5Rxx@aThpce
zufao>+wO|x!+$ep<j6;I7{muB$=yF?^y-3MU6|Zlfz+iI(c%mt%&U2}erF05yK;}4
zXRAX8=g-BD?))w|$+|Kwb3gNO=5vlun=T!zkNCFI@7KLlfyE~$FP!cY>nbwS<D6Ac
zU13UF)<hQzm%OUV*W6BqKW3;N=?iF0{U;@}ziuZ>Rk-r)`4`)JK1)d)o+<v;jWs4Q
zK{rLQyXD>f9L2TLE_WFMY|V7KUb8Z++h;eUZOWl~&+<f`sa0DWH)pc3mhAI%vyT=i
zo~kdYSTD8d|Fs1t6+~R78@4pg66fO5aGLNf_P~l&x)B{>YqjpZxVG`t<<*Qr4hiWp
zOz->lesgX(^IqOcV~=TveFpERoTM+jwof_?+u00L{!F@FE-!50Z};7^c|l5B(ODyf
z2^<F#OrCB1dEbmNk575|e;d{t!m>51miVXrDfuO=n*Y4siNnUjXvd7kR5u>AZNHyL
zT5U?PkKY@AibdG^_mf}COQy<*?pU)p<=%f|J-h9-r~CNMNw6N&l$^rhY<TDcr&9fg
zx5|&BlZ{o3Coet0mp92=+xoqy`KraIEDryS*(7_gPo`Jz^wD_^v74N)q_C|#$#;30
zY|5IKW)f`E7S*ap%nshSyM{@yk?Z36?_allFL^S5-c!9tmpZmwc<85U*zh%QiULz$
zi&BdMiz3TP$I~x%_qFj=#ZETpTnFn}K(-`l$(tzrm1SV~A<MuZJb6R2NPR^fyj#Ke
zLzev!@+J<mloSilCJw`tRD(*#lF|>EpKJF&JRy1AbJL-#+ic=lo(k1g{NGymLbUws
zJ^3@XqCaFK3(hH7hYPKk8@#AD^yVFj3%2@S>nvnM+8F|t3!B{PJ@+_nH*4?P+~kQ`
zCJWA+=-2zM+PU!BhHQbl)tN`vG|E-%3R`RNruX2xY^UPGw+>BaIK_4%n1{=7`|jln
zxUG&ximPS!N;zwpvlV|2sIolc{6_lTy^YsAPi*~g;i{#5-`lVKqG3DAXQauU`<(A{
zq=s?*JY_-G>p}l!zc`?@*=_2C;G`BW2OCrU*d;eVF-k7_USGZMM^XHxC)-x$-3mL-
z##``l&lT&wSCLv(>?`<ZtE30GPd&7|M=!7K=!fNY+7BekAAUKt{ur}eMsDKP%q=M@
zA>Y0oTm1WMY!;WcRGjRCcX>0tH=KARll4IT(!r8CALdBCmFf3AufJ_#a~DY7)F@K-
z;U))Pf4vsln!Xby-lv|_XKtOw9Ja0MdGM?CbJdK0vRB_$4$iE6r^eRcaj<Mx^rp8u
zQfKGZ>xfy*%5ZyYuv&JT*&YUu?5YKB{~pzKm(D3?m|Q-yF<$a=uhsWPh5!4foIa}@
z<T`IzC%;^&pNV*qvhGW^U7abt*H@?6>AVui5&3guoyFIGcd8EelzrQ>x4wRFi3X2R
zL*4V}y!pzy@>TEWFijA((ysB{%y900Ov6>@`sD=&e{s$g<_UkAHZ50dNBxB4v?)24
z>p5nrHysVw81X~svZb2T<S*f8Wj~&OydnNX#r|?`y;lmC7ruz>3EO@|=yi(wCC9YJ
zyfk4ZTh^!NFYYmT)24HK!OXcEyeFzR)=PXmDKqbR)QQY%N3;S;XC>v`?bgcF_TR%A
z-1KtYvsE_)7bx3pNdK}}xb=F7x8e6={EBB8OPoLH?pk?Tv46`pgNiPun_pHr*<5{B
zeCqq|8CUBjepwb~%b}w5ZJ*Aw%MM@D%RWD8Q)DrE#}&=^?@{QNoZ8Pn3<I=n{vDaa
zex*F8{uA51YY&(Y8Hkwf;TK{nv=-Q9_ETx{3Wdg~{W`I$<~*slJ9R~AO84w}Kc_8g
zTf+YR>5S!Tm}K|3#jTr{c_T64RufCXQtO9Xo9)BW%uOuj%bkDkd*;DIJEPE*XY|x8
zq7<|5zlwV)vP^2-)Xi$E|J({M**}3{PWPuPXZY59So<cRp83WeZpF5%U;CfQEtz59
zy<D`;)9hJZd6~|OpxomJ9NOAyRjzLOUiS9!Z$>Ypo56eQ#J`)>Y*kf^`SiC;q*(pb
z_LG4YN}nsT+?rVL*)BC*5XtdTQTR_!pKz^m`3-h^p1!&Kd$QT`&UU;{$zl+DJLjLk
zvJ%OrK%1wjSG?vNQK>)tIjCiw!Q3k~uP>ffzPu=W_VGZQM+g5X-sfPIFx+=z)}oqe
zX&tjKC*JdD>{?L5VY%@!PyX_E`_pR5ttG!TFH%3~dh6DFpRf|qGe-}<ZYj2YzO5v@
z^L<^#=1A_Bmw59hp3Yg~@Z$3_p^3p04tcxfG0w@`GUb)Xi|`d&yyu+0zO4TL-xgju
z=2>4fe*flmy|jAq0+wmrr5^us`_kR6cV<qg$=)E>`YV)O@U?rQjIG3}$b%1_cFwtK
zXBBbj*iL5Crw8s8HdXy=+P(kd@~GJjzPCS|Uo^L^DCywqW>NMhCb^HlJIUM%i$2x7
z_)@^H!obAl>7P2YuU7GYb8$Giy7%y8hWfIvPw(bcc7IyDX=;qT)b)p1&dcrYFJsbN
z?rd_WW|`K3=U>?UVy7Hgc%`qP@8SLZ8WWx0wk}O5QIKjm<fZlN$(r|*9$nwxCQ^7y
z-tpdx%SXI}t^OpWF}FY7t+HI};GUS0aMd|7x2M09**Pg-m+SK;^E=hKKlRr#|5bgW
zF6#FDSpE8||2MyU%zC`ROK1DW=l|yxNbO9mdU>sGNBgORz0b_{Bwf6%byVc{gsd;!
zk62DM++F)=mgq9m<|%R8y9<nuUHdq_i(Tci$y0NVKA+m7-O9_Dr}U-Dc0F7zymsQp
zbC)-~vp#WSqm^{n?2Ba;hu8FNtlGAiBl*SOJePCxA9ty>ov+{cEX<7is85lBvzwS<
z&4bKC6LjsAi;pmdT$X3(<*^fT^N*d@Kj{N|{B76TXg|3p4X2ieKKeU%=Un}1Zx)L8
z?s%g6wDR?4h6kps^S{r%{<BEEX>yd)z6B2&R&yCnwS8ndqgtb6vTf?3Hw?3;*@a#`
zvbtL`$uu>mJ^S#P?FEe)^_(mnXQyaQH?4MFw%F)Iu94zvGZy{h60N?CRmmmwuQMLk
z>ad#T>T_<WbMu<i)4J0&={)DvSE;{uZ!~sgS;%!kYAK`l457!;d~0{dJWpJ8;<ZsU
z+w=)cZ`y759MgX|Lt|#qjJy|)*S}`7{W@Hxv+DBYGELd5tK5EdBy28l+j6^}?emk#
zhkoCweR502=x)r(oAYfXuJ$~aZ!f8dS#eQesY>(NwznDK=fCnkIy^7wzR)7cU#IjQ
zo}BSZyy33r{{MT5nFZwkxg8fcEX}*(#xs%Uv*Ug)S350g&^<Yl*UT!e)MuZHz_h4T
zqd8jD-yNqF?b$JFrQM3w;+;RAJ8zJ){ajnGllHc8_mt}X)E56$N6W(A?39Z8zNa>3
z@A=5alU<L0P4N4;G9b!H_kOFoi16gHZA-u9eJ`;rSow=9L?!*l`ok%An?n5sq}^vm
zy_$3{_r0{+-eN7yH<}l!C%!DWyL0=uwvQKYy%h>6aonV=bM4)S^>f_z6+bvF-#72a
zNeQ)bvA6Y+{+VmPm_IBF4d2Pce&y%ctlBCGt34J9B^##CEM#rJ`r+~;j|}Y);YjI_
z_$dL0l*G;)D5&KZX=-G#IQz0-bzT0S$-l(e?3ZZHJ{|t7aml8Pjh7DgF1J$tdDL>g
zRQ9*8@pmGA+t);~>zEs|Z}ew0Qhv`ICVe8uOVw2Bp4Lsi`X9Cv71y%g{&j5KWts2J
zEiHF71HSzdzh7yyDaU6)%NPG!ToctazAF4>DOw#6D_)><A^6X0gS$tH5@dOf9n<W0
zt6jM||J5;b;d4z(7O%N^K1yTh0i)-4Cog60PST8dw)eJU;i734NgtFY!)?x`M4Yaa
z%GmZ=&-tY=b9qo)IZsag0&`~DPq90kt}<F)4peyeX2RN=E)wro{tIN8*y{PhviqI;
z-4&l?#9x~nH({zjcW$q^m+@&1samt}W&4-#Jg`f8>BD>S@{?_bpU*FyQuJ+ik-WmW
zF6X?rhp)CAnEd1ScF7>=KbHjM>r47f*uRH8C{5hbz27I}*PVW;S?8W-@c*uNS@TOk
zN+8~S!VKxRJbKIr+jjHMpCY_rhjNS5zV5VO#@jy%f11~Pl*@a1dF272RF{hIzY{(`
z4)M>QU25TZa;5ay8(m+oy_eb6E4L?XcIypmkvFUXCz{TbFJMcWwRy@{midz=*tcHw
zu2s>sNpPK!%&M|_Q&@BL;q&X)EZVu@MoN97obr;rFI$uLa~<e>zkf>5pQW=FCyVsG
z(hojcQU1sw>ffxk$i*r3`yK_avh0d{pZfDe!o0Ygcvf|_KqK9{Gg;!(<IK(&uWs5N
zQse!2d8TCQGv;Rp^1^QAmB?mKe_{Oma<_E8aw_`_dG7rRo0xX2T9~a|aba`Wrmx2>
z_F5?>)W@9&4cKdy>Md2NVN>aA`+CZk+7nlW-&dyZocqr5RijCH^8v39z2?W)91&xm
z^YV6WjulH2*YVT^Hk*XSBxEg<&#p<D^U(Gl`{t*zjNZFvTHc>}u<Ph=$NqJhyr&j1
zu{~eJ!t~!$&}VnZZVBV{@f@MSD_?%tl>V*y$cGmT)-F9$KUt;1)ns?6mb;`*RKd$X
zUhhpDyw6%hvGyM2d+caE<Jd&0yflZbTD$fq{MMX|k%gLz*>@`4{(0`hwfITZujTkX
z*UU?8=5#M&=9==4yCX)XsC{*a@ywet3`LhiIoOM4{@bLU*&y(L+S&hIZG9$ZC%iuN
zdtCs-Nzqxp%R>%sPOgtpDU>Y`5ZH6*`(l@eOpy`O7pq^BKEAnAeXYaibC1n@I@!IZ
zPiHZ`H}U$svc!2@j4Su6@$XTe7b3V=IVR!!-vwu${!><Z6Shd?$N@VpSC3D1<=r1=
z-1-00w{el;y7-dqa!;glT;h}@9olkVEUFPZTz*$?e%GgRiGc0Dye}%<uWxM4&z+NC
z!?3L9KC|#ImXNDIpBe5wv$f-5@4Gp%r_NL!y1L%|MeNnhJH3Ah{_EyZdOYQM`E=*+
zr4u?E`5R^)6A^o|pfuSjeNA9>V}S+#OP1Ps6DJ8of0$~)HSKNAQkL@qA$u$)Pd}{G
z^7D$y7NbJR=Q7fkURuV-&d8>Q{_&QocP&}LVZJ3PDrrY#@b;rR&srvbur>Gdt>Vzi
z4C{T#7}a9L`P|Xf=2H~6c@U$M@?8^Un<LUXw@f;ZU3k}N89iMkSxs)`tXF|IC7<fs
zO0G7adT^22L#ZjR_6NVn-EiO!^JN~}>;I?U^IW8BBcHpo@Zq06-Cf-)@2VX=T>YK7
z^HcqA=ZZ)B=5F=$DcLX5>N>F|Gi%1Gxj|k&=}t3_t#su4P*nW=B=cFOXD;1)y+RmP
zpIjzwHhuYZ!+_);3)duty?$~<xh0_>U{=xcM2|=RJKM6%b4pzc6ZREXE-(?;`00#T
zc>C`P(?;{_RvZr5mAUOnn-YE<t?c4E_HXAjhwT$1>$hYab85fMzNKK@PVTx1e^=Vg
zJ*{%)o7YQ)`Tsq7m;(fjb*9LwueMtH`J0u4fX5C8mrMf<<!^FkzGoH%|4xz-%G!PQ
z`jwwg_`Qk(XLjn_`c0kRbYS<k>^Y^A|MxT1>sBbNJAVB93Uw1PxzfmK!Y7&}&Tf1C
zD`kPk_Pi^plU}FPXA0yh)^F3-46G_+WnHjom!W{7xqJ$<i6z6D6^r}zKTJ5GZ*FCK
z!XP>zTTqStm(<B`RTf1}e~s2WH7cEK5mb7ML8C4)n|+~!-LD)DMdr8Mf7`b?P7QA^
zY<*V0=Go6C`}dxP$5mdr?8{BDx?aVmqVvkD_j!Af9ru5&%8I6Q^~S5sRnFQyYc$w(
zImKI?VIIR@!`Hj+H6E<-JiqhJ@@lcSZpFtcPTZ`SnETf1z-JRR!8FlT1zexLdm7qJ
zkQ7S#dt%lb=i+&}JX5DMH!r%dXigNLXUZbOg=@-lo_XmpY05}T_{+3RtCFc*+kfbc
zWl7f6#J)Ajn;m#RXg8{^b>Oc5Q_XyPWz-R8tN86Twp$<kU-0**Wc4hQma9kha&ElW
z^I+<-h2cB+f*MUuJc?JG{#t6{ZVSEU>n|@Tt6!^Wo&I(C>K>E)!mq{mjAmT9qTI4{
z^P>GF2b`8A<VUD&j(--VJ=ytr!+{;&CjNcban)pj?}jN4ZtwYWYm3sR$7&ywo9sgC
zxfs5L*mGxRv^}!hoK(xo{WRwEJ4L06;5fyHQ``1<S!ym(xHxe+ZyigorMUggtf@aU
zcmFw{yUyq(@2299I(wcMHvZxG{!8xQow-wELi2h;e>Q7&DRXRKwhmanZsKa0qau2j
zUdJuHZnm$>Cw$IJt<W7OdU@X(oqFfO=<KoSo=d&@`4=2H-%?+^J@4_t`_zsfOP&fE
zXQw!bZPMzsH~G18YTJK>lA3_o7th`iU$a>4${DYN6$X<JdFk!5iTK>o@l5UD>gppW
zC#1}7VOOd5RgmrZy5PqC^lZb6Z9n-xL>|meJ=t`5<G$bAA2zPwH(Yyg*SG8MZX|Tf
z6kC`&*`n=*vuC|&C%gTFbIT^Y%aClaF4g(aFg<EdMC8Tz%c26?16vpjHd{YvU-Ovd
zTRxZR#+LUL%<>93#q3L~9DX$w|CxEc+1tN9>41jEab45E%yL`LtDl+{oSbzzTIS8G
zX~G8|$<_W2FLGJL6!u2OHRVG|K>BO0tC33#Rist9BXS=EDBUlupO<of&X#8m8DCkp
z3C(9oSXESax#{DS+-1(e_dcyQo5@h_GUJ2p@vS{x%U;F5S*h!qI#=O)$jLuzGY$tj
z?`mmTcQ0ZqchIGR`HLkcGyh0`xb?lrv{WvcseV2;Z{HMrci?$@<9{isGZQbAFHyd1
z>o22XaPyY9iN3|sZNFwceCyR$|E0m#?e2A@Rb?0c%2r5q>Q37Jecer!#Rd+0a+L~v
z!nN7AmDCm%Y+3OqiCaDM^v^SAn^;yKx4qIX-Iym8eZTHzMQ!f=u6eiqP2{cedgp60
zslDQG(3yPutfmE3RhPq?Z9DSPYI1qb`@NWagm1&_OY8sN+IPEarFPxZqWnW!mde#X
zdz%z}?Dc%P&Dt3T9ZnKXtGax=0#oJ&2wD}D@AbBG&z>Yay?@%L08a+v^*nR7<Sz*K
z-(F_q-u&ohKzQAe4MJrbJ9fJ1OWRs0Gg@iL)GaRayZkWRl*{Jitjwlp17~^tcGp*S
zZ{vz~EwK&SoSj=7=wQS??TUAa{x{zB{`&;#C%%?bjH>oO=A_TacCWyL$?uEYbsn7}
zZH3OCcTaWHnMA6KFhzbVoq5L8cY&<3uFQvx>M0jDbR<alM>J){ePOIqJuAjmC>2oi
zK_u{&j%dB}MJJaoy^@~SQ$MbsZT;(3px4e1=e|nYB}wbB1SC|nDlRH6c(CZff|gzO
z7kZCutv~QaDm$pa@_Y-Au61sHj#z8-8dvYdzZKe3H~c@mg;nB5_AZ5*UsW3x-*H%~
z)DaS9X%)2V-psWr3xfXLk(!_M%5X!U((zvPyK2!(-qrrrWD^N7^%MQwQ8}e(e?{VR
zwpjbX>h4_blD>BB*ql|;-ma&VO|SB;*}!$^(bNsmT4D7{yJz|fmmkwiExGo0a)HM_
zo6a@6o=G2wjtfs${PZJu^>@QB{}=kG1;la~mo9Mo?)&>RW4wb(_p4Vwxgv|>p4_T#
z;b$@x{1@%=_2tE7Z!C1Sc)Z?op!dsE@wq}~eBzH7S1T+s4i__gK0jNoVcj2o`Pttd
z#D~rNljycNm8<y9<a)1{*17V#e)^@GO)UIjUQ;7?GX3xE4_y2`J7R)vxaU7Bd12xx
z8<0@1vwLsnd%rWMR$O=~8*y=M&mD7l51V7}rv05-+w{ldT5DjD%fpJ7pU&AH);JIm
za=i6EU%^+EzAC-aZ`pT)w%NT|SGBdaJ#@{5SKn?+#X1ynUZ0=(#3%DwJ!h|pKGzbZ
z-<c0sH#*$-6m-lkh3ViNv*<mxUOXLzN_*xyT0D1exT+Pt!M)HSn0ci@c}2tJV;g<m
zT8L%eT=DU7_EnV|QVZ67s@d*iT6XT2_s3_8PApTOe@Or5-vHOwpWJ<<&ah7Un!Ut&
z+Z-`N+wBvw`hG18IKqGBpRLiJck*HN4I6vR?-j05V*0mY*H^nWcJ-38q*>o<`Is5~
z2zb5zwz67xUSINJ;XAYECkMShlh~kg`5%{cvtB2&G@HlyABqQ}igwJMQ~bC-{`#+t
zw%<jn?j5-z_Jm9F)zmNS9)E(K%Din|7F}R)OZClHi=OlRrR9N1g07#~c*`c2*uV2`
zoLO(O_fsRwg@tYl&EC32q$kg;J!Ws=@$q5KmOn=S3yQ9s|EIQ)**e#NY2xOOn^Uh@
z3oz-QD!IRWeiCD|Z(d}1EOSM|8FgRtv$c7=3yaL}%h-t8YW|3w-<EiT;g7Ry=bQYK
z?;@YA_OCI0*SDB&+VU+E|9Iqn>#=zDVp00-<h>gw)Nj&w*SII>%MYFtM`HI)obU9-
z?&sE@zJ^!(oqlvrca=YILjUUr(+m2~RJJO}8?O<)bw}so97$_k?`)r{>(6f$CQV3K
z;yFcAVB4B6jvIGwF^zTZtw|0pV>!B@^UehqHnF~<*!jy;O@#ZzA17{H)3fF6&7x4z
z2PN{-7Vmr2+-<kkKTWDuS(3P<;>Jz$p9{)ZRNlw=NC;kH6Wlu2X-D^6$pwnHbi~YE
zPi!(*H8ZigdHRa^jTLf6(WU9WJ*@k_Y)uF~=VZ}lu$yn%)DsR4e5w8Qb?Ze;C$R~-
zANrxTQ2M}&_5Qw|N0qHP8TSP#{FKbD-?lyB<jL2fIkgH!()?Ao<LW;zdTJn;zHGOb
z{n_;_;(7ZMMb|E!Yd&|&y33)dEIX58wjTe#Y^q<NeGp4)>j^jaI|AnADYHCvr@vzC
z+4QEOq%<zk!tP|UhSw_X_Vf???|JCnjB0ld*=8s6X!^bx@$GtHQ<qj*&0E!fK>l;`
z`t1Ub5}I7o+nMUpYWR;G$Y(iTZ?g7V#KJe+x3s3O<cV^a_dud4t2E`j#s4#m2VPh1
z&pE$$^RoI6C)9aPnZNdNK0GOp>GSug^ADM<lV?7e$*K{S`jt^2?cBS-ljV%}rYsAe
zYxA`2<D37R_Sdb-PA+_^@O<}g$8C3Q85m?w?+uVj{H*^mF2v|GXHfNnp1Mcr+tj|*
zS6|h*XP3MnYmH!^<^$2nI*!Ahs(Midlg08?x_?~M=}x=ZV6k?gSl_$C*TqrEbr-yq
zSI*S@*`n}ZyV$qxH<LqF>NhRf`poap?e(paM}q}lo~`KA`dJ!#(bm}Thhy;}dyg}<
z+G(@5bg*BP`t?8UYW<`03c0yz>09ofKJs}k_x1XDpBWz+_u8D#`5~VrT3Dj>UT}Na
zZ)=9U6LTXocQ5tZ7+e%2`g=;uv#D2qXc$LLXJDHD%fUr}WryDG-_{vYd%m4fXA-$&
zXK<7y{>bO!ZPtN-M~?gzc9Q#V{PxsR+19#^jf_s8D-MRQ-Z0Bgu5t_CznGRo!M%3=
z;!`F0-83G|tIu)Uq<qfqL45wISlJ7zGxl68s^D1=F(c(w=aVEyo+aN_Z<bxz^-z3w
zUBbik%imTzY_Brgx7EZeo9Tqpg9T#WHYcop!OijDY|jIk=;8|=OHGao^VY7i?PSn5
zDw`!HaxyqnV)1<cV|Sfj*j75<i9Oaa;rSBdjoW7Zt$Cc)Fzs1WJ>y;VoqODDit9Q)
zJpGp(S|={Hx54P;!-~@6-Ve1!SDP9GMBfO1QQvZTZI!q}P^(l)tNC;D<i$@9^w@pL
z-E9~ttFAKbz~9#Vy2|ExtOp$;Wjo$^t9TsWpzM`(F{0MhxBV~gis*vET8n3EH$E&m
z!+!2wTwd`?oy0|1FD?1>R@C>}nmkoJw(REZx5>PV@0bQ3pWxf!aezJe>G4gHr-hzR
zRbsd-ZMINZZql*E2c86JTvbhsda~L6UdsOiHf<%|Wr8QvPn6DHsJx^3X|RIi>exdi
zDSxeBWN-GpZL0FMae24s$IK_}Ckhfim1)c=IpAr|HTk(hU)F8$GxPg)9X|JdV!h9V
zH!W&{(urN7w*IaT<te3K=L)jT4V(G(^qiB^ou0Woe{=tae7N;Q1=d@)_5`*(S+A2B
zcFHIrJ>qKTqqd!gxEL}lETpFXQ%KOr`xeALZ{M=HAM?(7#R#uf`8fak@jEx_AGsb>
zORV^6EBaGgPW+GF#bviU{ZCF=x=Q@){k~ss>oY6!jXm=A@d_sQ_-=G6XiUnl%U0g>
zulv+93s%OTI^0GLZ*Qm6x`@5qClfxoZM&`KrAb$QPL(j4U2}N)r8dhoI#>4^$IfS1
zT2-c5I{T%HSi+xY-<~|u68&)4!)2qPL&v#_S3lgvjO)K$b~Dg>J2~#}N5}i#Q=3~o
z=Jy`pzNJ^sy@)+N&C1idaQ%d5A6w3ZK6<ZYKDXxcJU7>w(IzGh#<CYp0u^P<KCX#j
zPLn&Wo$IP-6syEBU0|sh<3V%B%o3-o36J~?nfTWkZQqc*LuCGy?1(#Dhv$@@JIFn)
zH?!*K`sZOR!n12@tDRB>Y`Xcvs&Dp%FM2J0S!`KZl!vlfy}Wd)8r$9nSz9MmyyEIM
zpUKoF+EVapfnUlrz2=46=CF0Iy}mwdKmWGry{x}HZv{FXetDYhVH!`PZ<txuujfLi
zWfFI4b>3R)`$j70i%p=e-3`OmDq)$9e<l~4bg#X0sai8xo!>fSPgC#QwMO!B5f5TT
z+TZqh6;w0*PIR93VOzcB%{zN!-Fuz-0`=Bj^>Y^qxU!ee;#|D=f58)3C3SCWns}U|
z9w=|&SaErW@u^_N$495TDNnQws^qDAn)hJg^=o;qAIkHBZmp5q@Yl!c%5O$lg`MkJ
zSCoidT5wnU3IFXFS2mVN?lX}Qiy6wR&-|LRu4BoXDQixq1qW_C{@#5~MEyy@4i{Uo
zhx4i>SG^6B-cfn{{kGZuAv&`g_w7Bq<zoGdWVXkP9!+<$=e3qPBA{}>e$J|k7oR?!
zGAZf7^S<rwwF#*&%r|Z6<2yHb)0Ok{)0f}8qvfgmZh`#8aLN4=0&`U&P2J85Ze(^{
zd1oWPdSza5(Zsb<g3_N-n5s9IeCt~vU4L8S>$3h%8MD3h^*OsQNKTo(zoEO=S~**4
zUrPIeZ~R^iQ!PaqXIfYKm}Z~+68U4bOUB>F{J9+JMy=}45Av>c{p;eAm^P8g>iA7}
zj(EPP%^5dbms(V5c<)f!yVOTx$I70!hs?L@op6iiyvz6AYQ^(gTI=`y4eB*wxfQS`
zc(-n}!X`&n#vgxw?q?NPdE|v<qt{&4)p@*}`C)=59$h*<vo@}6!q01Rz8o`O^RC}o
z#5CjZj`yFA1|)pl{qV)4IrRa?tCq8v!seJEt5@B2r|<p9%fRq)vO&B;{ns9kbd9b0
ze-m7dZ1WjTt-Yb*CXkV}lz9U0#kVGb65I?tGwvuc7-kC3SuEZdanH#)a-u+X@t0tQ
zKVppx>M`$9Z=Fke<vhz_3n%Aidu=~Kp`~voO{-o$rL3Ash>3;CrQ*H7J?)n7Y!CN3
zR7hL$TXI{5Kc81T;d7Xj-=e=;e$;Q96TQ#-?W2_3NhcVXOI~m=bk3Sq#JzLr?t<w@
zi=M1`6B(2|S<<{}Z`R}P5LNy!kKC%3E>V8DtM8*(H#4Kh+Z|Iivn>A1b&j{)t^2;d
z)Yf~I-rMPuPZ~?NYbqaBD*E+;@tNq;FOJ7dpVnBN+;wWh5kHeP8vBC1Zd{*qnLXmh
z&-#+S!p7d#%*v^?GhI&xIUQx}lbU|){tM~zAGfcY|MlCOo12fnyeT%#;KbLjs$wO_
z@)=H_IkccAlTq}c={yU=)1QQx4(?e{lX+I*P_BjHX(6k|PfMRVu{?CJy~28^v97eb
zK*5NwgjJ>Iy0)r8-1<o=AF5ivG+ke<EV?I-b!WxidZxYyUtg6svh*E&E%Z9BP+`G@
z*WNl@;!@Z714CjLU1bl+h?`%~%X!>q(F(6;rCC``hW|O67=H%6^19`eRn+1*fpf#D
z<_0IuIU1|n9ykVG?f5^z#l!T}+4&P*b6yjlCU$+=2hQse0)f3<Pt59^`1UN}SXh(!
zSK#Uj`}cvenG5PWvqF^rGX^c$;t<5IBIj=1WYu8#=h)TvOiE!v9xc%^@l!L_So~?5
zE}+3u{mAv$)>NV1t!?4jimT?`)=*~tEF`pXl9tjOChgN)N>bXq+Y4EAf_{nWGS(mb
ztnq`nLe4R0N!F<@uFgp+E|I#2#dR7Es+?#ubT;z5)xJl#u3$lZPtWQ%o)OdguUwlx
zJyJ|6)oS$$C5!1zK3?uV9tVtH+8o_6ZBANjC<{xZ52xLaCi@2aKMfmn*BGzo)Cj!P
zuUT<q35(72CRc|9!JrS~YYa{LlKYQc;#?VZ=*W`}K{dwI+vPLA`5vpCoO?gzR{81L
z^4sOhYd7CzzZ%pyKk%enetp=c?)Yn)uI|e|8CsY9vhrPx%f0phUr)|XE~c+ft{gdX
zKuBPzVn}e1(>yoU#;T&Mq@)DS4xyPPA}s%U>O^E&8}~1F^RKs2t$*h_Z~cpjJASmB
zkpC^VqNM(vE62WHTp^QBihtSbm2T7f%E#_=qn7UcZ<DXec1zs1yIwl^<{r=b=VfWn
zOXof0d6HxG-(vUXe&f<_)?2@PYA>F+ng2QK9MOVz58o6&dpM`zGhaH(oKg$<2XhYp
zEIIaYPs*_m3Tf>IR!<rZ^Tz2(NM|ibWYZ6Clh{|$c<?mut9=igxOLV%c)Dr=6IZO?
zhoYS`0uG4@?GagR-NX^)bmGy|q>uNscPrEf6n<h(ujjXVkkB<bUex#0%*p@K{60;$
z^n7OXY)|9n8O1Y<XB3M(*H70tCuLD>S#D`xSoY}5(>tNt=Ugc|S9Gi>?~zW)xdT5>
zNisj@o}*i^?!e4WW7c!R7SWdBmimQZk8~P~h0;~dxzAB9U<>NCIGJ_N=gYU}CUWmI
zn|ln8)N?rVOT<6<xQJVC+Xbfn>3?RkiiJl69+kC@`;{-NKb`CS(#Ly?_mp$JpZa)j
z;hu1=_pOij23F{c-22_~-Rj}q{5{(_-=`j`zFUzm{XX^a-t0Z$z2BF8yr;S+ob&zJ
z&-YaJh;zQTJyd<M;=Sa1(?iwWAL5MfNhjSlHM{wAp}NYEdXJUQc)6!ca9;khI$?1c
zr`Afgg>60yn~nRD`!_oMyp%Qh@D}5jjwbuW!@Ymm?ueRnzj}2lbBW)-xQ$k_?5}p(
zZVk#@|2A`~S9Om6TdlWiZI`azviw`fx=V5&HDi|U-Lm|sSM}lLxl{FSoA0i@tnJ@E
zHL9{|>8W6w-OI~M{9iw*pLgz{ZLhXn?doOuo{tyj`rlpUsk!vX@-^Si7Ty<me_H4M
znQy-D_Z|8DY)gLB_teN~OYclijhvPjKP^@AxlU*Nva34FH<sS7emeV{Eob=4W3}(^
zn)g-*y{&$}@8#{uhrM1tc=lvoPj#~Pq&)v^q4CP?OQbw&CLP;V`}xlIeYL^c>)-G2
zDt|ls>Asmi_D1a6e(L+BTKzlb(MxZCJDY$1u-EHHFP`mtdhbTp+i!Qn&c?k?T>aCg
z(Cnl2+PKR8b+yw=?mxX<zVn;!rP|5)_n+MQE;RqO*3zu=1yh3_m1};F-BmmNNPf_}
z*(JZ#I?o^VI=%DxR<F~KK5q!xv;Oy_+B0_RKAG0n)O^n|S7-k&6Yl%(>4(CPKhLi1
zz3vm1yr_Tf<o5HKS5H?jy|sR-*U6pnyS+|6S|77?$*ug2mzMqZU2VcGroBJ>!>Sv9
z?_Irk^6$A&V?I8{`(pL?SG~M;EUfm%_Ux^9<bQ{){q^Sk^Un((-q{kL#9FofyVF#b
zUs0a3zQrD^WskjG?>X7z?An}r3f0qhSC?0pPd{F`y}Gjec%e*rd1*oBrMt0}GYVEb
z*kH^sA)=ap@?LiF15?{)%eN@A9N+e0xmo40DIbHFxj!s<wyJNEq?uRCjLR*$iC0zI
zgD;3oa&Gv_()8x><oeg=RSuqMkSb!!Ixb?xDCT?D@*X$WUmf1JlJ)gQ%{fy=g*25M
zgil>KHM3fXv0ubc>Q`u_V&j1mQzlNFIPv=VD+w8oeeNB495Kt{jFN4bmfs}*{4xP9
zqt|`^OO7>b%*ZfqV_#UhKyl&4MjxhIGIM>)%&y;D^JIs+f9!u<;~Jw^FYNmkPqE40
zVwNwww!-Xr?X^QUZ%$Y6uLu_0T7O{jQCaKK6$^~hxhxBBZI~IQy!6;@pHIhb-x23)
zi2B^7b;$9i!u%(D=Jy<^t~&MEjCVo#p1B`V9;zI_eX?)+OOxf9>(+Ii-Oc&Vm+zf!
z-%6hMYDu@GHfo-|ofGugtoGgKHcs>08*2h5r*W!&n&j|^L#c&>sYAX-<1+J1Lz|A9
z^@?YB?GjuTMD6jNuXM`gqQHijjZNWC&#mjr^V3_G>)SiSt-bn`LNbrxp$nf~=jksM
z&z~%|*Z13_DMxqTadOIh9-FlBQSPkdNuMWeUil;^PoI-dDC5%IQiFoT8yOW0i)Xxa
zS-HsbeBdKKzW1JdPuKpO>mv52XNj?t$&xwqW^9~ZzkuO}qRHvIuD30dZf`9ta5>o8
z=#)4!G9rvoZN8*u`s6zoD^rY>r%Oh*PfWLH+{$wBaQW$@6Wdp(i=W?7daQNx>$E$2
z3eWaLr`-`d_H<L!v8~eEE#4J;U(n0g%(-Gx*UZEd6FN?Gbf|bv;#1<~NDi?K|19M2
zsbYegQnP>}lT$s1p^HM}2@i!v787L?%_YpcFF8HfI{VA~m^JZDpQG+@HGMYPaW!_1
z;*S%x`#8)}?J6H?oh-P{Z2C&vT=1>QhtKb|%p}%46A8N>qvF=I&dkL0eeQ<Wz0WtB
zXKGX$&&d4b_onHPP+;Tl9Z#mzF|Sbz>{G2V&<v2AYx&ZZW9Lz$`ma;Io386~c_X&|
z<c8*Z4{N$Pegz-l-p<mtT5d<+{uP{mO=Y#_UVit`SXFJ>b(vQ;o}RXv_W9(~`wBVh
zs>|$)W=9rUo!iRl{jt-wRHm%PHbT#_Z8P_~?(5v;=S05U(|PjDmbZK_>#rWwekoal
zpJ{3F+G5sO+e0JgUGC|vl73xZ{HD-%{gZpPcR&A|ZmqlHcK70?MX}Wx>n16mi44tD
zf46&2^IMzp3!!fv3-9J7mULW6thLN_*>|C+v0_b0?BmN~eTzL0pYi>DKC(P_ou<UU
zT9<9Nj!2qJD0ew&J@t*X{_)}j&Ci|XrL(P-k`(N2{;;cL)vXG5sy<to*`M62U4P9q
zug#>a$LDlN(cSmeiT{57d9&(d$n5-xn~OHxTqU>U=JrKK6Iz@V>ym6Io!HqRG<9p4
z$#kO^Us-pq`aEO7uFHYd*Kci!RQ><yki#sArD@B(W~uvJ@Ox$uelM%NdSld@^-o_m
z_6D;@`rY}X6>#}m<d*|?#TlO@@;u_)S#~7Kww}dpN$_6V>W1IJzMfaa>_0AhdG_`?
z{w3?n99VO!LZaU!C@5TMo_>0Dvs_B=rX4BSoLt!|MGHQ9E53H-S9YEGW=q~Zzod2M
z*}^&zf~(T385E={Jtri4zUG_RSL|l5d1Z&4=oNn!jb(2Iv?|}5&78upU}x;xzz@k=
zr>_u=bTzE!kXu%_Q1yANu=FF<?U$y8NqlWssw%?pc3D=xs7U7*ySL(DMeofV73J1@
zEWR4O;>3<>=C;pIJDYTkCI2oGuI!8Ns6X<EfBxZb8qUw_6Fzwye{z7QaoRlRX?)zd
zSN6?O-EG#i(ZIysDEQB@4YLKd-eqgLkaqrXCEo(isHwl=_tlG?`yL}CWT}<k%d?lk
zl%XN5LpJf!sdsB~nOB$mc3~A^l{I11t<GC~uw>cSFvo-GjtliI!>24i!ncP1L#OlU
z0=`@G-`#y{XLtGN(e*j5F>Fh`H~9F9y2qr>RqST0&8y$Bh<Bo|tayp>^ja1_&-=&a
zXZ_{0JNwz}Q{I6*w>cj5>o_0IzZsQi8(!uXw5dYo+QxP<{{#NA*Lxox^XL5D_rPsU
z%(ABo-iEtZg+{q{ub<m=_r1^$&DGW~QdV3F54A2XvEJ&kvB5U-rl^hc+RH4PrKgAN
z-uT~1)%E+Nnf)pTt2VU>?%H}}qOFy=GRrH*j|Wb;1~*D=*|fTXBk%aeR{i=7%gj#9
zjP8HG<%;vHOOpi!d#0){-dy4OWmn8vef9&!&HtV*-%zP5P;}v#LTPbQCDWaH&bi&O
z63YKC$FI6{ana{{&9O^=D3(oq^t?m$3RCCZ<#Q(VZw&smfQxaDci@KqCw@AmJDoe-
z^*2HF$6;*`_WwL?|69+;W^cWG`_lHST3wop;<p<23aRPEWGs(LWNw%!2J0+B79?L>
zlKb3HjDbN%40I^^<bOFF>0f(1(iwHcF29V(sR%PRhny#$oMe~=UW#mHXaep|%AX3|
zz|gh+kAm(2k5g3=6D^8)x0KH6^`0mo8X{L=ck$~|`4ucHLgTv@t!R&0{rpYWA`Pi!
zFC6%pxR~BEN(*~hPR`Ahst;f06Dr7J>LS{ABr@~dwOuM+PaCw`J)cb2_~>beW7CJN
z93txv{g|lKHOHu##aGguM?h8ffN0u{9}-<G7aV#yG}csz)KxcseJh^N^jR)C#45Gr
znyaD5gwntX9L+4D9~P&ocnW(d7CEX3gajU#d}zw%Qr|V*r?x%6^(gRtbzlAFiv08&
zN8jK7`N+0=lB)Ksy5rmT|L(palk`y{VBI3kpo-bXAC<*b_UGQd`DpLCkLRuT=e*gT
zdiiCydE-N~b?KY_iof3*ej%6R-_N${zGqR-d@IgG?+7_i!KceySdja)fXnoXbaQUR
zHq+jlbx~IPF9$l$W$3>!OZRg1;s0&9`t=TLCc813{VQk?D7|hSmsU6bg_1L4;f72}
z7M8P30;SuH??1F^*=NW;?L_1TUPeYCOKvqq8?MPGUe~lY3+&o2_}~xA!}8zBJ9rM=
zE0AP4dbs3W{q_ojNkvaQ6YBHDSb16-w|(q$J@mjgLWE6hnoYY&!g5Bb_}c!>n(HTT
z<cX_)e(!z-`=W~d@6WcKmf)%s;9t=aw6TKA@32CJ>qoO0#|!2KKb-ve<`0=qM}Mqn
z`BZ3jWBD82|J~oYe*K&OyW^<#3oH5GcNaBmEZorhY-dO3+M8xarb&D_s2=~k;<@>e
z*6iw!agFz7_?{`3T#-Gzd+lEC>)(|8o$of>jC<uFenYDMd!2JZ)nO~y+a~i~25Nek
zFuQmLC{Geu=2Mw-?#Oks_QQYW4rbnZ&*5ih()au9#f_ia(@uYRUr=@VC9mf!8>uO!
zwzC+Ul=;`5H0a*;eJP`S4AbT6%?Y*1Kb98X{I<(KLm)=&x6Pu<vvgnoiLY4pT3G73
zP{j7c>FxLb7qgfwx30hcrtg3M{qM_?f3&^4n<&|LFZTIH^N8#F-=8Zqi&^HtoV6nJ
zN<cKn-33a)*Q{1)yg11+OF(_;6fpy%(w7lm-WN>s?%RJj(8@U=RY-ZBh{&5#l_L_$
zJw=zMKR#`6%2WIBzUSLhH=B2#{`M($+x4>NGECja0|X2lm{_`&KYVXBy*`uS-@D6-
z+OAbfVRbTJo@`ome9;LG{shs;Fg*wNMW+^p%t|usSfjPdC(>KcHQ1<`CDdrz3RY${
zt!Vz#E;XT5*Ss|67JF$X_gvhyLd%g|q4vNowR2N@Ot<KHxoL%8bUDm?YO}$_i<9&^
zk4<EZoV1p6Yr?}f4a=7o^Daz`dY4=OSml8B<KF#;RV`jknY?KoySvWIDkZ^VSDTj~
zUlj0R(!4Ia4<3ToCUQ?&&3@^cOJYXV8Xnup?1y5k#MUK0pQT&fSG87YRf@KEk!hzI
zyUt$+M?Kl@eHq(&4(`aQzgc&?tn8*;QgO%J(l_OI-~TSxi!NDb8oeyn_gHRy`R#D6
zwe?favtNwT%$_?r$m{u<P%r7nrLhu+CDiBibt}$d$WNJ|P$9sZVU%~8Cnw_ghjRzo
zPV=c)-QRI!_LHt{t2&IHUU#Snu2Z>^eSrCg)Cb883zRdeR(UMa;dp7B;Arj=`oz>E
zTJ34O)+VKs!M4J(j{Zx!)@WvPJnRX(C!IX?dX#4U%C)8EA~lzWT(ZpWEpn4c?b)t)
zXx7tS@vIg4JdT21mAOlUk4db5eaIxfXXEvq_1ta0w!3IP-TOT%J9q8YAg`BmioG;<
zzx#E_FfMfO#&a5_HPhdIKXx;xQ+9dF^{JDs3jR-%eA^opS82><bT51D8q*-H=RHBk
zxEFqrdHm<xvj6q1uT>_OdUD_D3J_seNq#WH@S0S1&7u>ZZT!EuO!QC@Iw=uwx|FG-
zA)9yMKi%;E(`Fdtmc*R-HYxqm#1)sgb}o9b)$CSO>e7-+mM^DlI>nZof9u|x%iqMd
z?#-;e{B2o<|LtgJ5NK|bN@nw8;B{c>`o1CU^tx6pmxY|38cy{ByS81@Ocvd`@B1yU
z<vqyW;w(KP%&X1ue(Sa{ujf;Y+;o>ezNdLy<LR6!*RpbZ0@QbK7~Fo%cC<MvzW3(6
z>=pIhX{R@AGe3Iy+p~fI4aFmeRctlp-M4LuwrNXZWHqUCxW4gC?`f9|0TT@-)*dFe
zDe~<a;alq4R(QG#J(;P*xS}~p=WcsIlKJEn^E{93VpugxC8OiXtS>I9UZSBUs-9w9
z-*?YF_@T9Y;k|9SFW&ZiwRrt@P5yrU-C7AL2A5vW5O)m@V0pjyVw86FT2Nw|6Y7<j
z+_Ry95lu`vH1*(|sfS&x119ywOsH>qy=&d;LlVh7%B_<eL{}=@&(2*t<(y_}&z1MT
z-d8<o3NSx->V*Dr*QaX)XEuAZ9J;)DfvlLB&f}S9lIuRV9>2DuH!no+=_MWB6_EzB
zJon`6&%ArheA(;;DR$|}oH>up;~Ru(t6$u?QaC3z*J`h#{M@wW94lGt2I(`&27RWI
z_1hh7z8m)6+@EfHl9%IsFLGX}UjO>gg*gHD(zAQ#_)HE6O*wTXrTkC)p1!xek9DrN
zOfpq<mR`N2I4Cl?XGzQK2MvAF>!)rz=cPHf)Jt30ld<<cgNn(z#0d&)oV(U>C;L2I
z6ZL-2@v0BWu0p+wwX8mPtY`EUtAFxfqg+p|&+Bh4?JxIKpK-kX=TQCqsCiG;Cdyq_
zwup%parF<`_GyZ;;I+v;i`#W>7IZPGKGj-fW5)J6!Ah;LBR2QE;|B#hx$0)$>2JT@
zvy$3gX=AheYwW`U-HR1})+{cpJM=Khsj-cz*Ue?7l7{yz#xAi1*Dvr3+>>T*vs_W{
zeBAbhgp;z7l9K17CCtWR4h;q-Vv|=C%H@1w6h3gE*=b>hj#0PEq67m0mexjtjzbDv
zjuI?M7A=cC{HC0{$;*)cX41B5k=N}%Bv$r)%I$sSo;xQiBbe*mnk@&O&AhW$&0)&C
z)~%CeWTcv|s;X-@9%a{xm|i6I{LhQE8fk3G_2)`d*SiEy?CsqvSg<#;;FC<OWXpuv
zr88K1n^LFje{Z;u?VecIx@G6Zk7=*n?z^%3<?ptOtG?z2)}&VLGu*bo_s@x&JNcEH
zSM(i7ozHjl|AtS`OWPkY)>?HuHK{LmRAph)_$+&PhH>K&T?1_v_E&=I``ot}M$7td
zNsg2B&q%C~GnLCo-exo}<M0-%c^8=C&!3na7$#n%l5}d(!tJf@i-MM&^$mL)Kka4T
z!a2M`44$7}^Dp~6RdCa?xpuGiq%*ruyQ3Q+pqX1ZZI+U`bN+RMdR`^v3H!4jyqPkw
zUe%;~a~s#Alb6gZtDY&m-&xvycB<H3)}z(8|7yzbW9n5qS69D<&CcUpaej}Ej^K?o
zdDDJ9%Z`w$sJd<Y($D^>pM9yned*%)Ma_JF#3h*@-I_Xc^^}>9UAI-ZO?jLzttsZd
zD=YCc<J_+=Z`5Bdl!|F&E8W<r`A}i8soEJ`vqj|%bJr@%*_HT&ZSY`m$(s1|mr%Tv
zOWx+Mt20jW-Efd{;{KRiZ*Y7D=dy>(xL>}q-g)uOlNyhpjO^o2GCacHtY^8!CVsWr
zDPbRXNL1lV?l+Ts6?cTaeU%xqfSqCPrY#k0Q^ix4|BF}<%cBt|EA+sw@d1nOl!HRW
zY(np3G$#DgeRTa0b5jb_dY!%*<r){f=P)Ennkp=P)u<uB`B~Az(@n!!=W%`U6sBH|
z#i~cM1hyZU*clxg!?Wnr)V?I$nbJ8ePj|28T-v{Xo8oudrxqVN?A7l`Zm<ntT|VJ|
zaj$Ud#_okrxBSkCS>3=e!!aV0Q%v}?M#2My`PZkPN;!EdN$>}QbIhu_=a~dL)^RuZ
z9GLvb>R-TIc7`^um5-C>zL>4MN3)o9Q~kE5R?X3~jkU_JPcUowQQ{K3;)HZw5#OEO
ziv}e&i~q#>^-8?j%FbcbxqV7|{)`(e60<C2RkNA3GLnC;$mZ%ajP(+gp1L*U|Mpnt
z3!GDSsH=SN@ASQP?~bDX=^ffPzh%#2$YijYX_)raZdv+*@6lQB6f!!*@(OpYc=YdD
z-(|;o5w`6?-fe$A$9!IxZkH|d#l(MVvrXF0M-2PZZ{9wq#CuOOx3%lwbDp(b+Z<a>
z?M`M-ov}c8)$2u5W)w86P)Y5*5wt$3c;bA+h<lw8(Kix(rj}@@P2Ux|X0M^7&SD-}
z#f1AS1utB1eDm6zZ^fb?%8B91Tw0S@qMA7G#I!t^&|klFD&wWS2QU6qGS|KMG?wYZ
z_hUc9rt=4BDqrE3ybyNf(W;bN&)&=NFU)7~+#dONDdYB}#cM=f3iZ5P_C_a=<AQs)
z$?i9|#GdcVdLN$lgi&?n+Ffhh^pDIcapjqnwf3RGv1pry>2Ftd1c-j_6uCP8vGL>P
zd%ZtSPW&72cbCV4cAon8(H1&7+hPPR-q7x}-I%w3k>M8qq=a>hk=!1S=AT}dk-jV{
z^TNTq+|%Q~Zw#1sV^tSZl+NbW**^S<8oiHnXMV}J{4~%!WW9*WvE>>q&o~w@)fCCy
zctt;{`O!+l11o=@o}i*Anr7s%=+55TcVhKswLe@xgL}ovH?s^l7^dH9<EU5p-0kqI
zbeZxI28LqQsF&{_mpe|BYu(b>BI>2ZxW3loJ3B+FYQW0Y<BvZHACa9fT~Q=GU8*DB
z=mIC})()QIT#a4xo}QV!{78~)t@WzMcZwz)6^&|2nlN?JguSy=d+*BYrC!}u$tWEf
z-o%;{UU2b?--K2UmW7|@)L1f$H?G)OUlV$8=kEVcUrf2ezi;B&CgH~lm8aHe&zd<g
zr%rh0>#&^>hq6>wImXV}AADtN!`z0JxFe@{xC1?HyJj0^7k1jR7<!j62~`Pt2WHB3
zh<s(-efY-*?)CatE}ADzOOL)>(ZZv);NwLj>xt{G`|y`G9$qV1F~v=|v*3x_Rmt{7
zEBX3wqMsHuo=Yrd%#uv;u-)PENJ?f#vcFkKiTj+K+xK%+)|`(v@(Q}HKJ_P~*N&|H
zFLpm%{J%f>xN8na*~ubLwah6@I$4jC%=>ri&NFbGD0p}2-gwj5^IyI_Uz(5^FV}xH
zOrUqswZQT}&+>)0x3G#geK>V9Fi&k=M);j(#@k<|>*xJ4S{RtI)6+utN6qeBPTQTg
z%CD-gy8YEG|Ki!y+1oEY-F0@`i?Xf0(WS*VpRFx<c&gTT*{2`-vTFW^?cV>nFGqLb
zgw=PHC6CVA|LfnX%=C^;*BiEYw&qMZq^@v6!RBn*i>U?XZO6{XG$a^vmKy}0n)dF~
zwh&VWB^l9uu`ix5*Y`SP6*#Hq<TgEJ_?RP5*&+Vx<YD3eOROzwU%g7U&X4<6AH4WW
zH=m01B$1BQNj6M7+xR2T+;IM)lJU-NVXX3_mqw>Jdj3Xef7vu|@{A%uvB`?f;UC*4
zS*6Q&9hq7!5VZb{<HTJ)Jq;$CuD<hfnA!O);{fBe;&|^M(X+9Kyk+XA<P@E*v(7!y
zeEM^Lew}V=n83k}k#7%4_q6qk7q1C*oRgcQ{!}BRCPr(*RBaY#<HQSJw>`;y)S10^
zdf&>u$_3e{KCUzR$8_%gmip4>6JZzmw^n}UP|w!BH>-Y*-rqGxH*uTQS{hwCdv-_B
zm+vL#{R^#LeEPyJyiVq?ZDsn5yY&Vq`Ck8sadF+%U~t%T|KF~OH*2+$XC-=j&7G>b
zO^U^t;rZWHll*pXz1Q#f)qQ@;vD!=PuP=;$BD}Jtc-NN)9(P<;o2*EiWVI!E^}MVz
zFAbL`&T6xiJywz|;?45P$WP{`gJi_*wI>uiMIt?zZr#kzWvDuO;9ly9jjN2-sOVlS
zseg1>cHtQrbGI1}U3^NGyi$~@UoTs}H|U+;Q_G*O8{?evmOrul>(*#B_rAj9D}THd
zj-Ab5+EUGR;KBL{vosA>x`-@o`S{+=Ffo7MFRQS9m1<FYQg{5E^Dd%h?S1u9$M7cG
zJ=V8RPB<-jCCAz3cvI1zB_(qM-10Slr=KdEY;=8Jy{ORD`)^MjRDbEbUv|}rmgQSF
z8qMd4vGH8S@ucZG8}o&S^S&J2_C9QR?lj|X`)!5R_#UoQ)agI;m^oMDKSSDOb6W<5
z-idiX?WR<TH>#|7ZeUX6bYWAh`|`^S3X8gLEPvY={={nIrG=A~4kS9sou9&VW2RU5
zjyFF!nX*qFXHyHVFS+&Z&cx;7H>*Sxy_#~rrOR40trK!M=(S8GZ=vRk8>bH$UQI1g
zpZF(-Jz{#rmIVTh>DA9~u1MnEA~N??W!!B3yAwktgpWM<|9rvHi1!CM%_cng`%xgO
zQ>R$<o$Hh}l2UOz;-5EE7|A?swoGpE68Xv#7_nEc(Aw%sVrKX;(boEh;Sa=Qxt6uF
zv^42x%nVkXK0`d<cvi1ZUh94v_6PIkS+3oa&R3aS6K!Wxr{eQq^`&Dr_y478&GBxY
zb@QESvwY;#nG@b5KggWWozl31DPi&D*Na!Inyt`(utJNo*6#!7ZKd7kOAKW$GX~XP
zOPi6urk>efM0U|_mvw3^>m_t<)nBk!SNHpnP-%M<)7=OL)>&6>itdy>^Ks4e1@Df&
zp8KCe&Y3f8QSs>yhUzwbT)*0cw`Xlwe{1oJ_nNm4UAu83yk)9c)<lkzXQs8uTUpc@
z@!EazUg~uC*l+f_rR$g{CM>^Y{-o@nMZf>O8jDj==HLE{UHN^3ZSFaJSJ&9Im?Z1V
z^?qj#3EoeB$?>%B#`L3$tu`c_WewVV_2?go^uwGc1uxvV?k;dN7kbh5r*g(cnKF~Z
zmtJTbnQ>_OLFc)L0>#SHk6tpfU`uv0>zwG5r<%y!Ww5U4M)6a|loRPMvn$``yH-8A
zd^?b@mhoINSFOK=*LMEw!UEo3Pu{H9TcBAH(;Qa6jj^UOb=rw#uaZ*QE9dY3aBHSs
zNvP`b!W&cTk1WxOOJ8PJt7+MFy|eRtyqAoH&)-(JUk;fiRgc;O1*cWL3%2{*_qgFn
ze28Aoq<MQ5vRU3Zx4voVg;|TvtU77kzHo=iy4{DTwm8kn;0WSm@qB8(uPt)M8atsa
z?MwgvTQ&FS9hUk9QYpKhwjJx)(<>DJ!QD2!fX`Vk(JSq0uIjUnH98h4*22Zd*UsTO
z&U$Hs^XpIRuYX=QXWymPAa~xJN0+We1kc|6_`LT~l_ft;{>@O{v_G`pXD%OSZuas`
z>#e@{O(|XzlpOS6X=s>j^jEiuePx@kNwi)%w2bX^XY+rHk6a%ove%oJ%kWp&EQviY
zJ^ka6YwM4++<3Zh-IM3iQBwXpau+um{8Dq^sgK-!NAlvgwBP@9&L~V>@^<^7lc6lp
z?0S>8H|*FDn|JixrqAWe{yfR$>$sD7d*0NSK@kp*9cJmX#2qk;l!|M<|GRa*n(6;F
z7rHVg8{{ujn#e9>xl(72&F>dw_Vu@nbUoEwuWI$gg)!+|O0mDPUa@}l!H=r*6I_q1
z+#)j3k!AI6naKDT6WXdyEZ^|_Me~=u{7I=UoC%c%v%J3f2tC?U)bHKVQ{4LU`0vOG
zHy$$uJt_P4@9eW%vlQ-d&yBg=JICCa*(l`l)VN-64SAkOKMzrl=`&O{xNk*B`8+PE
zcMgmRSU7L}?T)s|!jm0h_r#vRDLXaKL|S_4;dzfvUSbezeJPVxI$87ienk~^mR)TV
z-`F)b{k5O0dEuf``yMC8e?D&y+b+MswX7y{!*iV<-7gkJGxk3Z%@*Ee`FY|GCD>Rq
zWMR@P?+4#485kHqSPXO@Z|Y?GW=ZC+Jsy*zn_a+nbV`ISR2F1lVBlw%e(^n{IB#-J
zb_)F5y~!7wWx%Sg2XVRao@QX+Jq;SPt}j9;0WWo0@b?=d18)S|yn;S1Jskmo3+2rH
zZ|kjI2mG<UV*0o~tgey2{j+48oSMrAo0!M*3@g72I%>?0J;}i6sH>`{u2&S7RonS>
z>DB2W@m-&V=1dQYulg+X%6qx~&dQTtmR_3f5uf#0X!i7LdrW>!df}Z_AIDY8`DLkz
ze(k;|KZBlo&(Y7_x8$eLtm)_WO!+x!=5&MjT{R~^Ej7{)-RJW2(i87V`mXz2o~fRg
zer%7*v!w?5w)>v^2zu<@qp!Pf$&a8%-W~d~`%-=cJ@jtT=iR6BLukhIeS4lfU8<*F
zSebd+yHTHU-<R)`?t9njXI6H;U%GdC{iZ!FPnT-zzuY_JyU;!Fu((y9gr-h^7dPwE
zq`Tgw`ks}Y@0M!lJ62}CQ{6dz-X4+flO|7p5LZ=wGI#0ysWsuRVl&Ts?a_W2nOUxS
zcj~7#E$K_|OntW|C0+H-)V%Obu`A0|Z%s`LpA@_DoYzL}gX>(<mtLRxY|WEhljcl~
z3Qvm7tS?o)I`z>Smh`1prrulAvg^`Iue>m$*p(%!m!{rYb0lr4sdn!=m0go&O?3)q
ziq$;pHD9}O-Ibj}&%I`AXRd2WTWYMGx-KP6^~_Yg@J%r*&v;GM4qRulbJ7#9iP{q*
zIg6GWYIjCzp7H9}wp@2*htOlMZf(tVQ+5bF@@m(XTo<yVUg)7$vo_~Cmb9h%+Le(j
zPkY6L-P#oNz^g&~@7gEZgYJ7pg*}SS%wMXbof!G@lvkDZ)3q(zCrzEYYK=?k(%n;+
ztx4G)bk{2=Y*Do4DX)OAS<#+(OVzcHu6>fCx?}3Su%h1w-}BehU-;c@YyaSH<2?B{
z{~W%vSJpK=XWwbR<1=%;wf%v=2jBAN)Cc@#z9oO=pTjry(mH|P%s1pO{5<%Y|Jk1d
zU)hW5F8pG?CcoyN!&mmgI)>-$EA1;jGhdOP^Y6e}exLe)U(A=}xBO(BEx+dH!5924
z^$VV{FSKX)>o`lk=HG$O>~rlO{5klH-=x0b8GCx&grCgD_6PnPe9Es=AMmW6eX9L}
zKL?-itJDkpWHz#I_;YZEe8*468S*y&4t!+qwNLnS@DaaA{e&OPN91Mx9r(cBYOnC;
z-~)b^dWL80@pTWLvd7jPc*-7KC-8&$fc&4o2i~*S+F$s@yifkkUxm~B8~!{v#s8&l
z!guC9@)18Br^*NXJb0IX*`EXN*h}pvd}6M*5|2;R?7EkdnVGwA?TbTFT3S^CPuT<N
z48Ak(l(+fmc!z(^ABK1A`Su0355DKC@o&28_@3|En}%}sDw#L$9Lm`%%No+zcdEbr
zX#299y*wm5|3NBynaqQC56alLS+BUu_?9oNTw!;!mHCR4J&v>Pp6WPs_rOWsM^AL}
z3o3)&)_ZQ-p?=fy<vCt1|3k$=^Cp-0ciDQL_uONivBz<`w9dRKv!?8BzA@R0)!aWS
zr$!{XN=VYveDYlN%6VTntAbv5X1Q^)|J-xpoI)Afx~bFpX7Z%1SFsfIT{Ounoh>KL
z!Mgd@?a5ElK9paInIie)n(s`Tm0a`U;uWS#mP{<ZbeE_8zz<P5H9fO)2?C#ki!Pt$
zNw|1sK{4CBpj81YcQ4*Nd((n)wqpGcHJg`}u@$b~v2OjowZ<Krm~Y5lxOwn3-?KLd
zO4*CbF8pG;ExX}eLMi(y>yFLL7S<kj56+fdu+O24eYyUQy@yXTbj`Xix#R4Ex2)Aq
z;u@YCm~2>Y$evf0u&cTLlI(<c3(oT0c$09J&#hcx7qh7~$6dx3e3#xlC}y8${o)Se
zb3U{31v{BdtSvS-pOKw$lkpj!UU|aKW+UqrcNm}Ysg*12Y(617;U?n~zGH73&hQ<2
z^PrHu&pP7{<6}Ot@&!AZkH{*#dr-jMX036D@gW~uxxx-+1M44~nDwn+Y^rD0v%ayZ
z`G73Ly9fE~b=EI#H%^oN@HQczJ+iDJl|8&{!S?1ovJc)KIK{W>O~Wa^cVz~t>}A#~
zZZ}Smz3^7y6yJh333=>A))kwYcgR}YWW2+7uk1lt^L^<b_ZEC-tIj)6&1`FaV{hX-
z-cNTJ&hr-K7d&Sx%WJ4^zAb&@-hyvz^;^tm>}`C*`|6IuH?|Gt3yPbsOP|@<_?q|0
zodsXn)|f}^ZG6T1=nlg<-k|&s&)8O&Thw;HX$g}Mn97!ymr&JwNqWM)1!sA0+(|gg
z>z1!j#cXQMv6t}$@1;8rKC{g;f3b)0Ij>p%f=Xr+bBp5UGtx75GCt$g%TK6mHZota
zhw*7WuUfuBW%CK?2|F2|@E*J4aEABLod+M;`ph%-Fh1rL%U@8@d_-E|-h&TpZRQ$#
z7$5So<ttP$8<_tnV%9f*QN*lgexs=QfHcFs2k+VH%wOzooF@I@Zo+%E$h?N9Y~gte
z%A5B{Ke&6~6z{4#4X1eD<rzF>D>GlQyK##2g}Vx;c<UG3NqEOrWL{C!yhGYzC*vL7
zdwCDaF1`2Mr~Y-`mgg$>CV!c;rFzmk&q}{T#X;{p%l$SL3%&E)u6}b~NVU*g&&}#5
z=Y>39a$|CW|DxhcuRV+Xifld4d9GF8IB!eUq*tDWeoe(euRQbpoNQlyQ88DaIWMG2
z=%r__UsCa<S(DxT>xFDR&w4IYZ=5&f*^={<ZTw%^bbelPcCv}TQ}Lylla2h1iiMte
zPFIhd7xHY$smU7tvur#+Ejcmy#2l8&OEV@PnIrOS$??fD{#iDiAD0}REaLBF!})Q^
z;mJJyS~i^@mK>bS;?GokY5L?nbFMs9(NoX0)cl}wVDdk|Pu45ntLUnKte?B3JZReF
z4RcDKs_dQo$?uT$$y1)8ev67O-SrIi+ho1+or<RV#knF+RW#Jk&Q&SDG<otPzoH_c
zJD&OKJI_8SXWM7|V(!6tk{`}FoaZS^UtryAV|>84`HtkBnT>NLADnwo#<tCP#aza>
zJZb3)*3DMN9djAq@Vq$lpp>mR?SU0@y`^!+T*lWtVd)F3nk|eQjGM1W?wQH>ipMYg
zK^ogKV~x3tFL`dAX*kPs?My-m+alut<K_#J4d)cj^4O(6uxvgjS#U0)m~ED^gK@L5
z@rgN&GbIzwJt$(EW~^b{d`dFlTtX4sB;$@bj58%2&Ly1TIdVqf43Av;1B>Qkk_P7z
z3hUXrj6LQsKH}j^Pq1h{Bq?w%p@6N)n8CPN-}r-3v!3w-qh?)Wjya4Ec>bk5FmK)`
znK6^`KF_zb38`!mX$7flRmLY~Gv4D_b7sLQo)u>v<go>(C73twlJuC#c$a6<8HQ6l
z&(ajko7IgwW;afjJaG0wE?b`dkJ`rf+*R=#p0n2P(ZBGy>8|*TeG2EfKkQNX&RQOq
z@SJsr{*Kzlx7=Cr4!@gjil5lG;2d{SJi~7$EB%VvgLA}p>~lEB9Tk7zSJPGT75fy<
zaX;9j@Rc<`uHjeHW$_)AjW4;q;vIf9ndv8dZZg%EsBL_~ePxfr7uE&(9-o`ei&yMh
z@Y!I?#`VG(8ui;w_$>g{I{_{!lG_?Sv(DDPP{TM&d`_j~Gww5c7(TO3*WXa%I8!`i
zU&ANX$@&v&9G`HX*u(INH91b<8EazPhaXJG#ZC4#d}Qs`52$f`#4QkC@Pp~FxX8YS
z53J4l0yT^?#A_-Wr;C?VGENuIsdRk6%@F_LJJWvgFMAzMbJzcf+wi?fNB_X5CT;x-
z)sFYLKg1<GWetsUc*<I?KjRbAZt*L79Zqq-h!c3q8W3mjooT1IO{L=<?m2rH-m&KE
z7epU?&s7tCVRw_Q_Ji2Qd7^LDIh3<jhBc(K?$q9~naNuFK<vS{Tsh$ZyP0l@o>}Km
z##$OCu$$?I=!M9GueqMpuQ^c4S`>C+7t=M-HR~KoSqsA$(pgt(S8QgwB06W?fwNpb
z;Q_mtE{Sf5WSlL!Ci375E|>5HX{-yi8Dbr0iPo$;P|P}4`$5dXXIv)X4QZ_DVH0*T
z8EYSiIrx-ICp;jHb*lD)n1fHaRKf*zG8t(%#2lO<+7anEL)2#7fkM_^?fQh6gO9jG
z!YAxtIwC5w?mz)+tF}VS!3SI{;S6c4@nH{AS!2Tvq_Reb3G84xAo^$Rfqd3l?F*Zj
z_KCh(t8kiY!<q-DxW0r<*v_;^G$PV*s%Svu!Mj|`?zBF0m0WfpkF`{L!X~C&qArn)
zQ$)|Kb;x7&4-?qVq^{i%eegEd9lsCt)lBz=zsyTG&spKW;5o}~^$WI+^MoH*I=<t4
zH|M}P&I12}YNp%5SLQW*W7(`e!ParE@R4~8-&jigK2$YXsu$Qc&Jo@+ui-1pYV`nH
z$2r0WEFE8Q-kZblm1VhlK{3;1;VJVPzOdx_9eBo)?PpNMbWwPPrQ-`u2mcArSmvvL
zu&H-^&S~Mlp_1vW@B+(&Glf&;9XP{j;9pS5bXs_drQ=gh4S$15rjx=h^BT@@D)<{b
zV@dK8c*fGNUSQ++m{Y=kLj}`OVU>9dA6eSf4Qw1Aa&q_^R4^SBZm>K!UAV&1ak_AU
z<-uvfEb|yXu+*!6uy(x9xn)kndzNbT6Gcoq>O1O-nDz=knal8=CCo42DNBf7L3xv=
z`UY#qyPQkrG`wR8^kaC+Qmj6~`ru^YBXbX&<b2@wp^Ry};Gez&<t%%ZZx}P(6MP|g
z@Eu2q`-F6s3bzT?P1edAjG68T-sw9~#<Ep;f$YII94YPr=`0(S8)O;h3hwDkIL8s=
zu8_{MRyjeo{@^Q)5O;xemIAj4R!!#R3rkDqzBnYL#l_IUP|C7gxxkp|vY?No<4X<?
z_X}w(Ic^)$SQaY_$TrRrZ0TbtVac>>ux>gpSkbqjm}QRg4VlJg92a^XoZ&EXPe@~#
zp}a$;@hQito&`lLQ<P`OG(O=_a(75$nV>9T%w(v{Vcc}QUeKa%K_N?za)eCdBMu?=
z28*V{f)afT3Rqf{C1e^Oa2)7)aGGOJ&w|q&J9-jMb1=CxbUb+fRIMSMr7p|urq6+L
zrd6ARS5!D&FlW*gKH+k}obj&U8^sewj0WqJ4+v>nGafv+?^s=dV2otrJ&sRq4dzVR
z$}5bRw3K&9ADkk1qrP`R9!rp$gL#vNa)VLRPQeqs4^DDCa(j@%QlMNR-FTb5&i=vg
z#(Vs4elomg|5B6ioq3o1iO-BS@+bZtn9G0TC&N4TJo|*-jkowO)Fpgl-Xy=`bK?#E
z19c7O*yHRCo-?nLpYXZy8vlm6gs;r2<U2kyTF86+JusVpLEVEd%z1Y83BMXI@lU8*
zaF+c>O~P4rH+zL&jHdD&e;Hn|U#fZVnR%Z4i$4s{+0E=1{A4tdxA@$6hJVIShG*=0
z_6a{5jpSGSVR*`}X0PzG@dW>bpA1jfkJUJwVLw#!;3IRNe8wM!$LwPE3w|^n;a8}8
z@PWBaUgHnLLv}WMg&&Lt@;^Q?>etJ^_{69uf8$f*0e*(M2k)8d<X`-5n8yF1HsL*U
zq+P>P=5V_O-y8SvKd3!$ihWg0!zuQ6b_P$G%j8%5ZkWP<p;qA(`+}N;cg#id6`vY+
z@LT+3xWj(W?!mUk`@BE$7L+qrn;qEAXe)i=Zo@maPdN<d*^0~y(wWQ58g@6{=Dm?u
zzo3kHi}Z}U4R6?9<tUUfZ;)QFx$!#hnVSu-*`DMqC}mzF9dWne72Bg6hI4E|<{#3S
zS4dmjZJ5nFBX2<o^AhQd&5UN!5t|z?@^<7ooMm$|XV}$fBK_k|!*ez(^8-5@&+=yE
zF`Q*Pm!nY3oMzUrv+*=<MBaikY+B|HI~z~(derAB6fvimG3;zKlor_BXdqp2r{OW1
zl=*=jjYoMk@)Qc0JESe{G(2SEGI!X~c#xMPkKqj4zMKQ6*>>eLoMzjWqfo%yApPTZ
z!+o}2W(!i8You@7c9_PSaPz=a-WRzF`OFp48#Xm+NiW#cxSQAKX2V^!S7r*S%q7wt
zn;12uXWXuDxWhItM<I{d&x~Pv<96O1u@Am8?Gt~o_rN^v4{;9XS<CbnR5#j)A1H3T
z!+mFG!(8qMaSy&RZ4+Oym*FjIn!ZAHqm_8aUWPZUFJc~iWh&NtP{n8|p0StVHEWpu
zf~rOf@rL5YE8Kf_GQ48-(|_=cX_>gjUWS*fw_+O3vR;cxsQ<#WNIamp@d9^4oWfaF
zJN*Zhjpw)v;u1bH%@TJgZZsA@v8Q1scS78QPfXLqHHsTgaR<aDd}5j;-m!;aCbvUe
z!Wq^hF$!l`<@6s^G#=wNh)ej$)Ftk*hv5+`pMF9`;~{Q=xP%W(P2vp2jr!sriW>FA
z9~3p}igWB?c)<Ekul_-K<38?;oecL`zv)eQ$`qkj@RX@a{KRgCd#r0>7Mx;T5%b_3
zQ?On_dE+i_kDUy6Sr^4HoML^Zr%>LgF5a=bVKVoD*avT!^8WwccmH?wyzgKCyn9_Y
z@A&7P_0Qkhyt}{e?RC4i=l8w2{_{qAt=0cu#rM8Gt$Drq->cPibJ{<z{9pep@c);)
zdtOerd%3;RZ2vR&_%By$UNqOu`d@SYea*T1HD}#Fr`vr#Yx69A-_z+oPc8p*a{8YW
z>YpdrKRa48qrT>Ne$BD?nxp<TN9=13>(?BTuQ|y6IsV@1@=Cq^PnqRE{J;19ciidE
zfA6JN>eN5|YWM!*y;I?pTJ_(9@4b5+cj|I|?UeRU#rog<?cU9`xwC)oZI(Lq2iA@E
zIN!`<c+c|1C!w5am+%Q=MjPQ1a}UhryfKsE9ZQ~if_399&I^7CWlWodR~R?m;5^{h
zaE>KT-5{N5o$v(X#%r7#{1Qr;Rta|)Gg=6H%snuhbAjK35~e)01gpkNoD=*OoMpM;
zlW>;BtzKQhiqTY<V=luBmP<YlikapKznH`DoW)FifhD7fu!V8s8O|9q8J@A|sV7)A
z8VRqM!|;?vO<lpV@dW3DnG8=@j`=v8VL9aUppdCgIAadOV-_*>1s07*I2HUJ6fm_3
zYs_JI$ik+sV8Li0{KJS*U-*R)qn_{$qs9ZA41N#tnd<9=U(9Zp#`(cFA)hHyts#{u
zTy24Q;~vfjz6VaRtnz6%#qv(gAeE_1c*X37DV!I46;81%@JYyHDiW?RYTUtTF_YmA
z%RRLR-x}_-|FB!|ov~W(K(*L(aYjq#FE$C^8F%rY_{?C#f1>ulT=pB44DXop<P&~3
z++x3Am+*~o6aR|(&kZ-&57;%FV~&$Ic+R+vf5PX6YwR2B623C7;_vu;<2vUSgSCcY
zQ4tv$+cvO?+||&sa?(;VU^vGdB>&+V;|hL@+J@QeGwc?8VO+wW@tMJlKjL%4MfMIm
zhqKI1@(jNkO!$A)G(2avl0WdX;VgTG9m84Xb2bW}8PnvF51J-3)IVd^lTY~BV8p+o
zhT$o*n!Li#h7;@)DjA+IAImXoTcovyo7YEY^@<l6XR?oW>aAJ0XpzBMLlY)f);&Hg
za~-@lD!pL|oG3VH#zZIgAY-dbk34#nTO~P*RF0Y|hxVm-T1<NEF;n?y-xMpMxf3ni
zt&D|cO*A>)(I=9wa&=;udr`ft=Q)qHDQ;QDLN7g*D$ne@lDfoPd7)h2lysH56A$#5
zSWkN6k>|F`_|gN90yit!l?5udCSK|}lDg#dL_7CMGA|2N+-#IN`%)|}O`rI#XG`jm
zTN4x9U&)+2=dnZiX5SObOK&~aC_n9Uu?(6yQApXn%jnY7{v`WXe?88-uaSS)SO4Vu
z#CPtS<nPv{JYQ@hKlSH|Z;S6v{Aai6Z%6r(z5N>YPM-r`yBE1lG7`G$QLB8km&M}J
z9ghO#P{~gIQyx)vRcFsibDs8Sl)o$K`Cj?f#7#9@o-ekPpZN2|l`qOy`a|rEK3{z1
z-rp<V`LpAL^2x*f0`^{iGQKT7+Am>W^he`Ny?e9#-&&Jr$|w4j?6v-!C{@|n?_|&P
z_r+)B^Zi!#kN$XkKI1-9{^HLQ?-!r$*RfyqN8_CPN@ZKg&Ql)c%D$2(-z`4SzpLiU
zQ)L7B#5$8-7iaa~s&V-h_|iSkF6i^c+5Il|QGYqgRMh3KO1^x%_<sL~nkT2fPki8B
zD_{Au<FtEyG=qK6ACElcE9UZvKVO`5FPDE<E3$p!8@B?puFrwfdV|a_-Ca?>_-_B2
z8kbaMGwGdmEUC)2(jV(eQWx7wudFlqesM~#pZTHB6K}f}*>!EYIJf_k-KE<vzALLs
zU(GW~UworC$Gqxp$2s?E>4SMs(iY$8O?zmz>+Xr~i?yWJ*42k>7kuqrCjBrkC4KR=
z{(EMN?s}9cn@Uf;d7^mnx!wyoC25P#^q$FS**Wp4+jQy7Jd<65&)qW3nC@znEWXt1
zWB%)oN73Swy-Mbb?r;<-8%pQqT}fMPD82S(#~HV&(ob)8lq|a4dBH}cV&a_cXE846
zN@qLG)E~`R@mcA5_q&)c^;LndUDt?L?p*O+$v~VluEe7v@S$s)aOJF>9G@4R?!1*#
zlDc?T?<ch+=BmJX-EVxhq%FF5r~6gRl&XtwT;>Zeo#|1s=)$e;9Q|E;Im(sybYAf(
zdA4Y0_Y<=sm0P;6iURMtmX(VK?p$%oHB7H*x5p{hD)HEz9H(6)^=|F%;D2Ag$j3(f
zW!#qPiSxSu>3!P$;<W1&ao;$VO2H}Ji(*{12hQmJr02EA<Kv>E-Aejddro{@biDge
zOiRVZ8Qlu{O2vYYU6xBL=1ti#@sV4bv|*lz`NY|sKI&R`R}?Nj-YaIVHAmx|OTY9@
z-zmp4PPxUIy_(HYu5`Eana>leiF11InAKO^=Ezf47v7s!QhqUC@V%SAn$z8kJY@~x
zmA~SyR9~FeyC}zH`^0;0b<(naEa{7`bl%HRF`xL<B~@+Jo{lez%)~eD%sA`1SUAw{
z$&QIryIu5yiUVJ`P8Ggrc*v;o;>_+#5~VjgPP;{$an0e_cFHY8PiyaqFG}X(bK_KY
z2Ttp(cThhx=foM8nc@rMzN9H@O8?DevAFouxq<($uHBRG6COMFcJcH2T``|Ht({BW
zsOH6og?rk6$R)}As$KDE;fZz|`CFeZ%x=Fk#c#?}#Y64aY)pOyOmDZ7pH!3aY0>#^
z8~IH&FFq|i*KQ$yYfi`eg?Bq2$?f_iFuVPhjmqx{&zxt}^DnIQIPcQncgkkT?|^xo
z9P*c%zE7Cm?jwJy#^bBvz4jwEBF`4OUtAczz4L+EuGt=ii;lGC$S<mW@onMN_9*#B
zp8{SuC#&r;5}bCV-9z50mZMZD$dv!AU5NEWxkoPT!jgVp%qC8^;y-G~@>^hTCzt%8
z&jGXAgXAZDx-h5nn_B&%>W;4qjrk{5YMgVP!hf)^_J#LLr%bV|%>uKVpV_!PU0}h!
zQ7)u<!du5OzD30sUOQ#WHC3ND>0HQfTj}v`;Z6<yi@GIg3wJhukvVdE_k_u<Px!iQ
zJ-#lu+P*|bWY>gQtuEqHwHc?J%eg=5ZAo8v?|%C|v98U#1YSF><vtmCqP}#Y757O!
zlidPuoz`=Q>V>2!o^I6>H`*NV%xN<B!bp!|#dEDz;!LqGK9?!pX?^oVZ%X>YTdiex
z#Xi-Xc(>3>mz!5lWrx6w)(08<vur)e6c4rj5HpHBan@-HccR{wofF<VRft{M9B|L6
z%y9*O=uF|3^o847@9_PyU2)DSK0&;`s%XMH$4b6iu^R6b?l(VR*(s-zzEG3f(Q-xU
z`k;<(0U3Y4ORXh`Eu!RDo-NR4Pqkd}UcsFGuk4nm3VQ6Saw-xntsfVh)2j>T`&1<G
z(6N<WQc2E)qhi7%rZWCR)*AVY`?!DDzQ|?VRm6T)mgVUJ4S&8S>l1Gk)VW{qZi+ro
zKd*V2Oi8)G)aF$$WL>^97&4`>w^%mJWVf+9@R6~XKcVKpBW4l#2|pN)u*=vT_`ul8
zuTXQ~0W*s{!!yQsxd%@fW91G!WsH^+_`z_1{g3T|e24oCy39Z1Hhgc;;Xm-HL7V?V
zwZlE;4{`}l8AIh9QV-l^US@OP9b+l~gij2+*y~*?8K$tGv2}RI=r1Q=e&8gd0e`_y
zh8^sCY!Bovxj(VS{grIyd5=BHFC{a-GbBA}I2Ex``b5!!(~Sn~M#cfN8XvF~83o*N
z*vh<@S0z<pH$yG+$-k3-`_GHLcdx$Y|4-QyxeInNi^v_wUtoESp<@fv6`m)**_Wg*
zxMH@MnUT+>z9UWHY@-?bBbgP&3g;W`*iXrDlq|T=c!ej#@`C&mhZMFhnHPl$ry8Z$
zC)F5TSn}8*hV7Nngz1g@cv#XD4mPr}bIGhIP&i!wfv3eH;E_WYvo7C}3X2O<b~kR~
zaY<FsW=@n`amqgTU!uy|zstYM2VV%ja;4sjaeK*6C66Ee3+iXq>;1P}eDbG%`keYp
z0Uu5Nuz!>{|G#73p=&eu{yol@_a^bt{KxhwFJ3L%bM)@FSBo~aDZT_X4s4X4_LZco
zSSvs5E3uyR)?=&k#XfLjq13HQma|O7N_lUeNxI7QiBEd2SP8xMSgpJg)abBKUfTC1
zP36i&KldWzNiRL>mnu)}yOO42ro2`%vqa_M#49}_Rzfd4GTna3oGe~)ZlZ<zEg8>Z
z6%*yTlA6UT#>$C(C6<$(dZfColIbj3a&n@IdzVb-8IK9dy^@}1JQCfiWKI??IW|$k
zJxa#2aLJL00`68aCkvJwn#kcUCF5D3VxU|rdGfSJtlJ}_OVcJY)w?svyv$eGKk=8_
zB_pBx9@WaJlANbK!rd-OYv!x$nYgAWBvnOA`EIXCs><$(uORJ^K({8NOLshqlx-z7
z^HkK85B7dBn{?ZKzx>a-E59$!>#wlC^!egD_g(T&e{!66-zk6h=ZSOfJ3wum-+^!4
zx5%HZQ~4b@w?E0g>2H0<IrsJQXMdjfs%$C0weHBTi?7^Q$shg6an60E{NA4&Ul(8Q
zzf+R}YEUeZ-}<xTtb4Yd)L)M;%BJ$Ibu7OEXZ73JfBLiHv+}uqGy6+_IL^AK+nxGz
z;*9$Y`PjOYpMf*`wd@!D$@rvvvR}zw>9gPy_hdVv&w(@g<?M_8toW#0f2?23KIo6e
zN97~^eD+3vR(w!C)X!!w^oQe&d%WGJPl40>chqcoy7)jpgZ;1HC*CjK*T1Fa$oGr)
z+#~G{eY!Zc|BKzJ-yH9iwdF&9cARoAm-qd7;@#q1{Yz?Gz6Va}Ur=N6ec~N=f4i>V
zCr-K-$nULvvhCt~w|&xI^R}cb-|PKSpR;B6#CL9$W`{NhzH=)#+q7Bmo!fTln|UF-
z1>d@DmOhym0&2n}m@nFV@wHpAS<zjOb8c&;H|A~GHSv{Op;^=Bz*lbhW=?lslqj1^
z&&&(iCHT@U*DPuC#aX>>=0bNp&blp>Zp@pKw)lLnjrprP9mR{!_L`VGZN50O*T~#x
zbG_g*x9QT6c_C?wPxWe;&jPh(PV}D0VcB_cM(>dvk+j9fdu7bC?sOC`KH4i{?sbQw
zaPi?@9&@cb9R-UI_Oh5WZN50YcTdihRAoKs+?yH&$_IM?nSHvwB41fo`eW{v?Sa#J
zH{_J0D(~(6WOnHGiBoQ&W{Wmmyz3Thw(0hYJmq>#>5I7{smdDCXLD7yU!2_g$gF6S
z;2pPo>7B7(swcj8-7EffXUBIXTk*GXOR59sb$^JNQhjl5_nVlO>Wgn(w~1ekbE&@g
z#&whU)twsOlx}pti1|`=aZY!P{-k1X%W7@hlB&Qt-48$wrrF&A`c`{SoON9$J~hsz
zDsXo9jry1^&lX*TH5(R)FWvd#v(ou)EB!}%GCnJv?Y<CG@@&zW?lUnhl^377P7|NH
zbHyj6Q{8I%llEwQQZf>Ej5B$rWGLRc^TkJ{<K0sFNqaIrDjn?>(s$bP;)BxRZZ3VL
zJsBUA4t5`i`SMgrU%Yf@$7$DSJ+3_*9~SNJ{-am_YPZK}*BbG+u~VKZ>4?Yf^mxB$
zPxlACO+|rIyH~`NlwX|E{YGyUsNq&3?z)rXlxwm0$=EMX7VYSs6T?z|@wRK8@L#_t
z)`9O`s?{FN^*HacTll5llyoH<;irBj=}OkZ5B*B4C%$#rDtyt;#CqZzmr}K^xg2Fm
zR>FJzOwyI=uXjH2xnd>w+GVxyN<WcwB@5xDeqYj*u5|jT7a31{>9SOKqTiJ?B{Si*
zGc!t*E_Pn=5wQ|{;gYHLYtD({Mdvy#)Njr4C{{8No;y>cSjkv8(XYf3(mb2fQMBk}
zr;2*loQ^Xt6NGzbdYo}dRI8eEqHxi%P6_p>IiR*oeW!rB)tnOriw<>is7uZ9C{QvG
zuAO<}v`ehoBcqGcI+@g&=Df&P+TZz0?UIq;eV1zC)R`QoUBcBa&DO|Q+S9qlCnQx#
zOZcv@NvhKB&R1%aj0Eqx1gbR|UA*H`By2lVBTq?P_@M6>vx&Ez_w)a>yYl<Oy!HzD
zOP?>ibKb@Ow6dP#yz@@}yOk%-Iq%@VYnSpn;H~o({<C%}zXRsBC&@R}cARrw&wsY^
z#8*X2{;hULeqDIwyo&#5CC54EmHc}vIleBu+<wO<<yXK<=Oz4GD?83QXUj>|dVEnd
z<!`lP`4up$-A?{f&5F;8=i1HWFV%3IbxxN%RdeEu^9=r2yOf^+^)uVG<QLUsd{R8w
zt|YJYS>TCtvYgQ8fEn#_@<lZ(J}Mq-7n2XF(fFu%q@7RRsAk0n#Y63E@<KHnXPo2Z
zK79(9-oC?T%hQDi+8N}3RiAjja9{ftn<L*Z+;fhUJM`(o)b=lOr>Z&LD{AwHR(70n
zF6Z~HJn?SfuJ$E1F5d&Dv@fW)G5J2>j<dg97pPHJz`xh_$+ipco%V5m)!UM;c(3(~
z&X(O1-Z@o@9oii5&Z%5%(`JEpPTRR}>V<$>Y@4}H>V>2)ywRE<zG(A>*G|P^MX?^|
zoYr!0)Z4Oa!Yijjv8K%dublG5oMK;;D4KK6)C<`q@X{$)ENSzFS*>p3Lb3H8XPp*u
zH|kAETX??JM*LMwNAbe5ttR45n=j04H4-=4Ebz=}I(MXANZP_vts3I9Vmyi#o@hOx
z!?N?jjMgJMB54bcx5|iT#dH)dJlZNE?iIsPxbSc*kGNJ$N5R5_tt{e9n=edn-J^3Q
zRZ)*SH&UZO@j&Z8u}{$}@)dQtKk9C&-ySfnb%Rbxs^Z?(Phy9nPn>cJ6<f6F!d<6e
zu}#q{@)R|>FY1b<Dr#__)m7PkVRGvuv7$`^cbxLMcglXLp77prFZ)}|j_(S#>~H0k
zR0qs!{vb1@`oi4iH!>~N7v4H<W4|irQhni#<0kg2mKxs_ZZyA;`BHUZPIC<Zq~d_r
zj%(`K*UBxa3YgRUKxRtSh1tyk{8qLn&N?n*pDO246)?N`hRl{{3obUh@COwKyl`B=
zzSQ!?XNB|4R{W1_GCnJuZN4B=@@&DG<})%al^32mPGg^Hx#E+;sb)3)Nj4gv6pYv%
z<xHL_7_zrozWAtcyjhAr$tL5Y!qH|SekYq39~2HZ*K_eJ*<^fBIM{qZ=F3wBefCnz
zj?<3Od|Wmh9~SIy{=@gm+T*li4f|W!DNhx2*kdg{-Y?kG{DE&%QNYyZ6*48|7p647
z;ag?xamulT-PMxglw&dbN!c$?7VK!ABg0aD;kIKQ_m9|y_e@pd8`2r~a9`NmaF_Lk
zp2B&i4>}6vjOF!W3F(YGxOc=hyk*J~ci7!<ll6q&f^$qs;tabPthg&;56ofRq33Xp
zDN6jnu7<0uEA$l3F+I>xC}qqSYuMFrnRQ2G!%HSFafe+EX50yz8%((+VjEsCUC~h}
zVO+rNvAN+qYlYr|V#Yb#H)0x|F<sDkaE8f9JRyy72KSDbhNn#Rr*sw+F;3y05!3L5
zNlDxxjd23E#AXIVZjQ|j$5}1(78Ek}a7V;6JYo_OZ`jdrm{me=K>=e6w?s_C1EvEy
z4^A`f(OGbsX@^e2X(lFdh8+$2S%2s*$Y+caJFvZBFKbF9!!*{I$cB4NpTrurGiY<K
z*u<d4y(9X-6xJKM3-TC)>ct$kH)wD-Y--radP4WXNv20)4^kKlxGSO?ZZp>LKd5fF
z$Na{U;XUIQnS}2QyVy?@GuW`7ustxB`GzIKJH|ZzgzAP{%opSmzA<cKUs2p}gZY45
z!#Tz{euL)>>)0n0H(X=hAeZo!VHJBvF@puWhwXvc%nRfmd|}ArOQ>qN#9Ti?Zoyf`
z8!`!J8Qu65su)b!IcynTFkX^*@R?yA`wJU}=Zt3j3o02**e!}1&M?ogWO&A?$DdHy
zV8p({hT$or8oxqi!wKdImJClAkI6WkVLT-B;3GpHdxj0eV@5Il1r-fPm=)w6d|+r}
z*RWxD$jHX8P{Clp{-cONpZ!G<gI+!RjiQDF%nWi5-ZRv(zp!qY#{5Ax;XOknU&B*|
zaJ~iQ4SSd$$R0SwxJsts6yrNSgQpB->?^DrrZ8WSRXD}CKqlcGLlJvLQNs>q3rmJO
zjQ98+m|b}9u#fpG-<EWRdyQXswpdSi=TOOZ$T;AgLpj?fV}W-L+nI0jg;)!`b=b^Y
zf08dGeZh^!1olP77hXFQvlYpDoO4*qypeB<)r40Lg=|g60k0hL*_>owlqi@p&*TfS
z5_sv5%a&w(VOFCXyO6BMS%-zpjeJwm7MyRiVSgplQM}-6qY1l{@r9X<M(jq$0?!<#
zGe`1;q%AnrsKGu<#-nJ#iN+H=ES48$G#=p*sZU#QyitZdOQxf6!O=z$b}t!@!UcyL
zdDyjNItmsXY-C|)GQKdqaSzXxR0TceTuF@rg#(TM*gi?G$XC#1{>Zz<JYZVm2A+~s
zg}sfR*bYgbIOPz^w#ewhU58+{P0}m!6f~JH@`|J?XfU7URWZLXx$zNOk&(b1hkWLp
ze?R~3e_wCE_y3!p?ce2X|G)XS__zPO`VW65|2{vr{>`7}-{;@jZ~K4cpY!kYZ|pby
zzw%T4oBWOX7k@tgIzOjA=KsXc{;%!V{9p5L@h|^5^$-3`{&jwKeZYUqzsJwoFZ(~`
zpYt#O+4VR6Y<@QXV!g}%z|a0K>=*oB^7Hv;`SbNw{~!KI|15vDzW&0W;%D>E)Svm&
z{PX-%`)U8D{9OJ?{#3o%|A~LpKgk>Yclc-gOy2N+%g^T@<&W1({ZIUp{!#vDz0iNh
zKhHnNAFk*6ulOhZgZ#nz1Ajh0mDm4Y^0WQ4ee^%hKkOgo@2~&!@8xgz)Alw0-~65Y
zR9@$Q%uo0C^Y_$$__y(s|J3>we~RnBpPy3y=HJTS?x*Za{=58SKV@J1|HR+VPv-Ba
zpYw<L`}y1UdB6X>d%WBKy<PRUhj-o2+wK1S;@#wQd7Ix)-W8|ITmOFWu6TF<Tf42l
zFT69}-T%g}^jqg$_A+^^-+SH}r^{cjee&k=F8<ectADR}C!8*C@q5X;&uQ{kYW=<!
zZtj0sZ@2XKgm;(I<jsDsxtacD-GW72d+iqeUU5^sME*kUr8l2<_CL3q`@7)X=AHe|
z>@vPtZuWm>m-g-8o##dJr)y8W3Es*7)Nb-`k9Wd5`DfNDe3#tZKcn{0o6kS4+x1ny
zy}X0}v0e9XjdzoG@ISI^|1I$@*y5U9%Ws}{$~*XH)b4xpxIT5h-tU5&>8I@)e>1%M
zyuJUvUG49To9+4Y_ttKD)0{eA`}d2tlehEVvkUvSaufg5+IQb(K1$y1f7dSP+rsM1
z`5M0+Zl>qS@2s8oMtFPw<k|<{DsLZut7cqz(#y|iQh&~qZ8zg~pU4ufJmJJ=G~sZa
z4)c%WcJB=P%hx=XdHmy;S^YZ0-pg*)$Bg<6d*W-h-PF^Y{bu7)zTHO?>dz*v-yOHH
zYp0)K*Y;~x*=ziB9tlmZIHJU7*kS+XAzyLDVX1QlZTdM6SFc?+>($DoeNwNM&&`|l
zk}J64kWimN%k;2c2l?^}F7d=|XcF#uz%0Gvfd2zV<=QP5U4?D8TyW&JIN<QV{!Z(%
z*3=|zpX?r1@mmtydM5h9iRuC(KQH{UkYsW?CA;~%rHD#{<5gan9G8L>4;6$Qbr1E+
zt0_h7C@58QnkZ{kAz-Pb!V%V@DU)TAbwR^PS(`~v#`RiLi>m=s%W;0WGzA`onY(Ho
zWiN4cYs9cTRALiX+`(bc{bLh{e)o&|O&ogNH#T(~aAnYYlrLD<{UW+$n(GJMq<q0h
zvBp%vaIuBkJNCFf&^>fYX_ZdXDW!K}hN*&O-7BJ7rnp|vRXU}#Kqo0ru&BFYQ^yWh
zi%6C`O83MbmUY~B{vo&MyFfMH!D<fM_8Yb>?-W1DFr8N{;xBwIP{!9--ErIbhTNiW
z^#WVkXV|v9QG6w%^i5zx`-0+*>&|B^TV5+Zky-RrU`>03ZObdgM>0(36odFbJ`-5c
zZeiOp+j)lEqAvnV+B1qd%-SQ0J1#nR$T^)=bmC{M>M&{lVbk(l(Te{ds5P7+$8=Wl
zoQ%?Efi%9x%8t{{5ps*pC~EOLR(70p_K;Jm|0IyY$5`26*e+1qVbES-)ACqRivM6m
z$5CeuIi-&R9qkr2Ee{pB_#G=c4mxwlF`ZG|Cv)hu;x3t{(~8?<ls*VFwEwVfxv%((
zZ{bscn)VykF4LS7ERRfeej%&$UZA3VLs5rT`+}m5-OfIiEq4`P@hLtPC~5B~;?QWH
zVcl}4UU8m`(mMe^KF0En?an)RAC(L2YknbnWS-*(KBw~vW$X*BJ8YT{7<b%pyd&8%
z*YN@0qcVYQ%`0SC-YTTAD_VD0HFwCeyis_;^QcsynC+nzhh=kyEX!+!F!qI39Tv?E
z#vNB2_eiq5Qt)Gcm?p5SSwoiPrNS+qrn3syc#=v4>K8Q!7<XK7Y~WKmt6<0e(6Zy4
zV*y`MvB0cm2jdRo<`Xh4GaVE79u)~pYt}ICIOQ0?msBJ$skuXjWu~J8U(y+cBRooH
z6y(?+T67$9G~i1r6zFR9kYRbGz{j3w(Q(L8fG?>)psAU`xI@4BgHeZG^8=#}-DVCM
zmIn&|*dChKckFY_kYu^9@QrO^sz3x=VX8n?^9gB|dkSlK7M)U9!Sg6jAeb%DyknQ6
zha}5gg+)9}rxc#CDVlevH+M+4Om;lL`zTi+ul~p1=J)bd|2I76-&23#bNgNU7ylH`
z%YXQz_?^G}U&3?#9rZi@Houk6`tR_&{igkie+$paC;eym&0cR+U-9?w9Qz&r9M8!|
z{Xg)l{i^+ne~RbiAN*1L%AfzQ;aB@*`yD@<U&?#^clgzAR-f>>-LzifZ}SWJD}NNf
z@Gq$M_}qTpzT)4)&-`=hZ~SR~CV%12!!z<m{}Z0^&#2$=r}?S;sXq%p@lUCr@u&HT
zywZP%XZ#cDB|fto)^mJrKVENd@o(Wr{+{}XKh2Nih5k4EXg_Q(@o(V={+4=)Kg|#1
z5Bzy}T7J);g{S3r{7F15&-9<+NBe&JAAc9V=a2e#;CuUC`;?!|)9hn@Hs6!~^snJN
zyLSDGPwZOtJANOYVt?cB!gu^Z{~W%zYt%P<YTs#p;_t(g@{j&Kc*0*$U-7&7wp@MP
z_XoS%@7cb&$^2gK%bUb<{$154HnZDQpSXK?uI-JR%<ts#z9;N%zh!&jU1Aykrs@@&
z+i%z&c-MGNF7CTQI{&)r37gxm*=~53SjxYux??lDMYYG>!?SG{yn9%}pZ6_cSNkQ~
z3GWu3mAmmK@vNNNcZFT-rqvvGnP13Vdh@WDe_nm{i#yEE<;=b>*vW2EZLzuijO~n@
z%+KWXz9;N#H>zH7hxw_T+INMW?I&y}++=<tckGSh8M#Am9v1TVRcG8`ek>>UeZh|Q
zBen|f9v1MoRcqW~ekjNGU10~iLG_PK?E2L&HnHnf-`LcCz?R|N!+id_>KC`0r`djZ
zo0!iZ`K=+9zdroig6-{lY#+QmcuH>7o5oXe@4gwN@|RVwxZOO(_QG4mQ*sO5B<ArK
zRab0k-(hQUllhL^y>Ac7+V5NcxVP{-U-i2K)$F$AH}*Eall^pu@w{x&`-10uW$zlQ
z+izRnxVP{d-<I+jdz;_LzPh9Mjc-Hwg5vh;)@OD$zm|P+XW>`AHTC5Ydz)X$KDxtr
zPB!TMhi7~%$}RRb&$ga%Z{ZidCFL2#>}KT=#qAfZJMKB2m34a0P}Ocy{$o$`b6KnR
z2P)goT4&s2JS%(dj^by&w08}a?We6H?kzkctM%TYvi+pB$34YQd@1i3D%%aq1&Z4Z
z$}9FXKbDnxf1slMsI|sD#gBaT9px5#njgw?y?3Z+KWNQykMWG`zB>m`%kH|<cv^Pb
z9mNlP4dp*}H{X~2^=`pazMAqIyPc<5C+s{t)%wL<#rJ#_<r|9HwaOP1wePm}+1Y$o
z_SHLur+g*l9YyRK<ui6S-;tenNAVq>-#do#_U+a?&OR*X+gJQz?%{csAI>?Rmno}%
zzQDTOrucwy`yI<WGn?mHJ~;QVjBi`<in+{hWzwE2Shrghcg$seBlF_S!&1KDXAi8{
zEsHbeGQXAyd%nP`-J-a`xc!Rdo|(+AWc;2#NaI^ptTC7QrOd4}jb~-9ok=X=TT~oi
z+<w8b;hf@G8N25XEZfgn7Mx2g=9^XQVBBt8Tz_It^GwTxa}SI7rWI=#x1X{MIG0$&
zH>tQ|4)aV)hjWQ%WR9FsJR>9b{DDRLF-wDUiG_S!#U68*AIb1NPq1h|WGQeiv4F3s
zn8CPRzxabuyI%1Fqjue5jycQ^Wd1#SVBWsZGGiw5eVK31CZzI3JS#}$t13P*oB5v1
znllSe$*ib9^DvJu_*sH^`z}k5nap=(7M)={CG+f=f_b}oamVcD$(9GsKFsCI%l}c^
z{9d~1{)Xqgd-5-QZo6y#VxQu9=?{Apzw?&gOL)$^BY#J2^IPex`wqX`ZknIixA2^F
z(tU>CY*zUdwTI`J@7U*fPCDxTfnRM`%~$MGJSY8NkK$L}{Co8czuGRF@2G5kDeZON
z;a8hke!}NA(|n2A<`>de_9%YgU6Akbx$V4p#lD4~dFSNcsA+yCePPeTGtx%)6Q1$T
z$lp=Z{8akXo`s)yr{vG5X?`NDbl>3_?}U7b&uoVI9G}~cn_KK#_>s3KKcc4jk+jhL
zh97N*%_a6N{J`6iFHzI{pkDgGo`<KU_v~4CT6)Kx#M9DD_Zfb)?Kl6icj0^9sCx&#
zx9v4gsbrpJ9#h$TPx{lnhVN|J`71uLY31*zK0L+z#@>bRc!Taad~egpZ}`-<)BMEV
zhbN^U-Fxtaw;;cwy7{(L-Sr2%+wPgZiDZ5+^<_<BIq$CQ6Pwv=vQNYwo@;s|vYz>!
zRNnQ3-EFr_FRV)}<K2|KVsqOK(*x@o&q>8yH%RAQmpx%~+cnb->k><OS7mo>X0yol
zh&?>pbiulZCA@jp5_Yv+GM%t);aRC0YZA{&xm{P-#b%n#5zG8S>e8Br#k}*fU&Js!
zmomG)U?-bNw#DYQGo~{lnV(7NT~FBAW|Y06K8E?Jl-hNLooy#fCqyzokvg`<@r=}=
zH4h7U`?51)m>)}tU0<-H?TD$ux`ze4ZP^+z%nzm5t}E<dGsyn2iA_KI#U?hr>>Hcf
z4wy2mdzjB#m;EBTd79~mwTbz>k=GhhdBd+Q*xt6s^ugMLr=(V`X*?zM?wUa=Z&~(=
z=;kS=7uMD*o|0OyCNYn<D7#`)+YVEUNaj0I_pUuCYrAj!W8T8=Jk{q8RI}Np->_|d
zC;4d(<9W%V^99d&%FZ=Zx7{|rF>m2Fo-OG!Y@6RmzM7->jb}spg5tL8#%C;>UrRoj
zv+yg=n)C?U=2w!B<}jX<3_Abe8PAGz3)|+|#xv$E{KB&&J)^#u%`82lxb32G$2`Zg
zl1}Fts@hD_f7moXm$W*6pt9|(amGBxvy$iLD1PQiJJ(RzcG@^%-oi7ITIU@q+fEvL
z%v1ctlX8xsvdu7Ept#K-y~3vXv82@b0~KvYjWy;ee&p#$x3Fn`D9Lr+p`z`eF~>Z{
zGm`t}96T+#Yfj^7$!&A$6+iGar2nvPzAyRf+=8b(HR(63ou?TmSRS5g{9>-+d!CB)
z4MlBQ=?jY5b{qRxHs6(ebxz?aPf2=55t~N(4D04QlJn*$zT@#b$57t3-FQdu!*ZT|
z$uDFN&olhc=XhSC?DzufHk;%F#%*^D??^V!HGI(bu#9J0@(Nkzw-RZ`73!_qtdcuq
zncqmf=y_PmQ+(`!6`N&phAi`IiLm1ftlBJ+8;sko819i|ekI{|{6QMevSbZe=9dz;
zdK%A4T<b|J;aQX%VBB`Wu%S=!tc2b12bOK;3=8@ai+N@xI~cbaC!dgMo@toS_ppd(
zTC#?5+bP3<zQiJ)Ny!~D%rgxg`sx$UNF3==JR>1@{DDQ=F++pC#6q5~WDgnUM-qI;
z6D-;e84C0z7VtDBGZ?q&Cx0+%(@TC})TW!vA;bJY;@`0c=56~7GbEYsOME*vA(bcM
zSV1aJRq_dG=6e!rdKR9NSkdz^k0<z8f_d96Lk~&jyAq3f7*9z&JEmaXrk>m(-CRG}
z@IddwT%Nr6AAg(Pi&yR6@SJ;3{Dsf0clBS?DV`VqP^0*ryL?~5bM77SJN`Dk70=r5
z@VoV<{)xJU=fsotGyG<?im&*4Xpa7lI>&S3QTq@4YQ3tzqE7Lg_=6h7uiW|j8h*82
z*54875Xf?nyS|qBU&^yrr-J8)>%7q1E0DVSMm=|N{EFMFzH$eN?HBkySz(IqkGg|z
zxeMYejQ2c9S$&FoS-i$yrk7&xa;9psZ<`oc#=R=KAlG>p>jm8-3-3D3y`g*NrqheZ
zVmjLkI^8CpozrT)Pa}MD{LPz8(+_H2Hd_-vG0*W-qRfNvb@4~@61k^@SVeF6%~i%-
zvPo=N#>Cmh^}Aa4t-p8V;@&J*-n~wHwERA56{}s;KBH|M&=H^Unbj;l;&bao{f;`v
zv*J$s8Gf~z828pa{LDR1-0En|gFPF5vYypnFjLd-aX?9TPicE~Q^JmryQ)q1ru>-t
z;Og}CY=>9qE!X-y_4e)Xg6O?JHNU8v`Y-&a^6PTOo3PS(67|38lb)@-yf$FFSFP9A
zl~?Dp)hn6Qbd|0SyLMvzx;MUcOOKuonH-<{lk3Ckee;dxZ@SZUE^KympxxCUSCSs4
z&RYLr-Yu8rpO>G7SH*wyop{eS^w-q8VU;2C1=ijPXr1ZB^JMDe%kzcmgXY{>HR;p`
z&GYm3Sp56)<I3}}-DTT$C5KkK)^DHsHr&5pyVTvR-e>*|{x>h>T}{)zwDQrmUw67L
zf7ZOQT*Gz!GMk&LN>-g%8y2~8nNR3*tE(}OLOSA>J-U7BOjvrt(`Ad2M1Nhq6B4=4
z#x-sAot1|EQy<p83jDnC$l9uUt>W31qA^qNuYWS{(_hZh_rgp4Kl;5a+c<0MqP>$Q
z{jT3GI&b}-V=hi+Mp2q~vI?}FU5;v6t#;k+W4th|A~1E;o0!(!q4A;b#kDnxwg*mk
z_mo>2ZK`c0xz&4TNa~6wGZ#O)FmX||SMf@7@1=UI(m!^IWUZe1Aap~?lbl56sw}Rl
zRad4hwCZ;<vDJFFLcQ4C@)B?Ba<AD3G{X;CuKKX5{>oyu_AJ-dS9`stPA({45q`sJ
zv-8DGd{3>W%v;Q|I&OVY@zj^0%L`+k@|5mcIrG^n|MRO)g*3*Qx(Rum3r#yG(^?*K
zFEnG-wU9`+*iNRW+@<RMvlolW|9#iDY4t3dQ*X77>(9AabX89L;Oad!ikrFPmEW=&
z#~;{J@RRj4cj~_S08MvMbN+cj!524d;GPmc<4@BQ|F!l<e{!DlUui$_U&yb^ul!dP
z)g|pcl=(||zVx2?-|8+c`W`GlcmA7sPs(;}o-7-4@BG{+Z;qs?U%x)rC$QYBck|>|
zeygoFzWb7<ZobsI^4*nXrOQqB?zi5_`%WZnxpBXBpp{wH$=8?fWEQK}`x*F_lpWgL
zJo`3t^o-rYGv^xRKe~HzJ>PrZwZ=Oui-i?ruFhskcM3h+qMmOwkw<BUadh6V%Zrug
zyxg9*?1sKeUE>*Xh5ZK4xRdq?Jmc<<FPP(bMqFzDfgi0$^)>1gKXP}Z9IJVF;d|4=
z)<gONb%`Iin~cBKF`n_MPCLZAnX^7JGOb|Q@ryCe=T7R^{A`-8U-FY_`UdXkeF8sN
z59t4?J@{VTIR3@&rfKu#_8<5j@+5eE{EgpE)ASR59-6BEqE_)ecZL1Ne=5(p!}eAE
zcABam@bl2!@bERaY7$QcZ?Vt3xoXO*0<9zZH)<EY)84!O$F@~}nx?Fc+VAjP<h6fW
zd`JB!R*m=>znkue&#O^<$L+U|;d|?L{T;cjyISur_p2AW)AT-UqrGn4)Sa#Obl==$
zdLOnm`oiYcySgv(6wix&$WbikF5i~$eC0Xa2YC<6xVJ^GxXbibEN#2O?pCYlj=M~6
z#9rh)EafiV_FxyQWpu_}rq^O&+ZXI=wTN!m+<HZKPyJ1%S7LtKAEa?Fi`KZy^iu3r
zPUBgzYdMJ}+>4?EHn(2TZOBtRD`vO-!Oqrmx&?WO#oV)^9X7WbN1wRUG*dSr?_m-5
zv}ldZt*3MY@)C=<Cq;MMVVbGyke7Hy>`0E{88Nx-4|cR3(>2ITEadKr_PE3JNQ`fL
z!j9HMx&nEL1>E&b(F~hg^`k#*YSoK=u&Gryn&S@B1F?VG9&B&jr<-w;>Au*vZ4*+t
zBeoT!a#uy4xXpA=Y)#I>Q(`M}9_DceZ%f$Tx=YvNCevN9MLCS8#GY+a*xsrh-Eq5V
zvhIQ0hq>H&@qg={{tkT~UcK+pU$67wyW?NhO?|Fy6aTcX^trZmeEq|^(%)0xhHs6(
zSZDfs>YMP=eO-UKzG+*<@2xX^u6=#|lbWl)L|=!mj$c_P`dr&0eres;XWCcR`|U6K
zJoRPx()fvWSD$H{#jpLD^+o&Q`YSb}R#)!&w1h9%AHVeHtIyi!*IVs>^e5}H_Sy9p
zYD&}8j;=Rqe<hsyX-(IssWVqE;Hhu@F8a)8qtCAJ>EYAjr~X{^N&D1#wf&R+XnoQ)
zGM-q+`t$0H^+#$%pRGQ=UWQ$Hz0~re&!Ue5O5%0zg_JM-G5M`;=svGMFTZIY*{9tZ
z-k!YA=+CMT+K1H6tbVtSZNJbSrefh2;qfk)ZQNR_o<7w)wfv1u>GPF$*6*r0`c(7o
z{3G=?SD&rcjc2W6{Sh*6{l9&mey@73t?Tb=r}|U$et30!>QAoI;o<u(Rcn3Hdau1_
z{hFH4r`lTacYRsEhrINk8Ejj5>g!6&c;B6yW^27$etLPu{7rvW<-Pi(d1?6>o7QKV
z_t!76Y5j5Keemqyy|%48%|oYY?^?g8hV|*{o$H_MtNK(wW!CyfPWy{KiQWm%4-Tz+
zY8E<krQY&IpF(D>T;-FRs<mh3r)8I_xk^^wUt6<$((P5>SKeIv&1UM4DQYv<R&2kt
z`Rcpi)Ok{yr@jlTtlM;l>wIv3^i#W|JFd=M`^JYgP5aLLQ$ANyR~=aHwtd&#Q)R1f
z&DYw#=x)|G&8uru=6lt0)t?J1-PZNDvrzlS$|X6j>8p0lKj35fbh(3`eeKOxrK>H2
zW$je0Lm!2d2cNawx@+p|u%c~QwOv=cO0^85*ZPTCiarfVUN&nESCRJBwU2UGpD(|#
zHfVd*X3<yvP5yKLElFEt5?t%Ib;s40Aw9vSlWv|m>%Z8()2?;b)R!Us_8a}`MV~D<
zjh>q4x+_%r)^e`D^KN~f^47m0STXNt+G^A2Uc0S7MBW8=`R}!JP1nA-_DYWEFOfH4
z3!<0ae0BNMXLaph$-JvSCqE0DW1lN$clDR>Bmd6m+`OebMQ5!&_h-wGkmq4Dqi4?S
z%Gc75PV`%vx@zCbKMeD;?z}3}KE3u-PUy~h(WhaPg9~qZeNsQVR%yG^X3-~M$=ifB
zht60lx4r1jszU8!YsIz)-O(!4KC+f?yV0Fh1=@$!vh`aPhdc`^oYz&fTjX6(*|bY{
zy$Uq%Ex$9bYI0J{snfwF?w-pWlij|o+@tNETA9UvIyhk3s?Ag01Q&SMMsl4AYVzjQ
zbKMd0FsQ!GTUM`iN64d~%~jL7?#60;*W9yf`I~vOK3$2Ox_pgJX}Y#SbnVSkr^8~m
zJ=%12+FGXVOm|+rUvYZvpKY&hdz}ueiGG_qHC0<DI`*bl{^~tzKWy8yDRk=E6*;Bb
zuTEL}X4|UUUZ=uJqFrxtoeC?CKAHP9W%Z7=b8=X>U%efc7yh?C?rC-C`_SrjkM??<
z58WO9GH&W~Et~MCai!@ikFS2CbM;5{l&3*`;Sb|VtEavV-5P%JTIkt0)!9#1S$V(I
zHQjY(@~OpNWR6x}nYXyA|C8;jGR^Hv@Ach^U3KPZ&<yXHmYYRpEp@S+{wn5_@!6mS
z-mQ8|cT9N_xXOL4+|jC#)vp8Vi~Fi#x!!5qSe(?qs(8wqz|HO#<wC2cybUawR<tSP
zPT&mhle(tcMW!rWq~ls1GH3A<nb4{!F9Y-XtZccyt}u6BD#x0xsR?R(q^~qx?Y&X&
z>Z$D_4?;JFAKay9n!fV-(k(ioKemfZUwWk^@|ExGr5@9@N;hA58MMM%H_kO(v;M|X
z6U~==?VE~4rml`_cPec$dYjw)e1(=f>nFLX7FXsi7U@?qu{m`zV14-6ou|HPS%z<o
zJ6d)1RbY?1Y24DP&^ebEAL>yo4tWw<u+D4mtFxgiI^22ro@%LoU3Gb3#QLJ*DGvf$
zonP)eRibh3{MFT7>y`GdIvbj^E~@zIi_k^k^(%L3ebKtG(8+bZSMk;70eR~_*}TeI
zadEX(JJa5-&#TU^Hd*gfe0An(qxD9`qR&F7hey6jylLI_ew9&pXq;=M$UT|6i`QI<
zGwrOtl6*yJ<@&dwlfqr&T%T#3SbZ!;_1P)|_sq_sDfdJB!!6}hpRTYvu=vfotUX;H
zR~=m~vfgV?J=e!ohgb8g*V@zdVb#IaEbEzyuTEdRC+6x?ExqvEomwBX4y^vS?$hp7
z@3nNpKgMotU-o&~rPZ5qoN{+^oem9eQ`+P8e$}4UAJRVU@jM$EwrZ6{o8+qZ%M7Qa
z=55;3bt>iR-6wAnu1=X*Gt=jDOlo=P-O!+Qi)OF<zDgt9@nVpvd3~_TmAMZ?i{^ye
z&h-4aYKNA3_`%q(Wm9j5><|9wch&mpyp<JORqlOxTA*bc{MK)&b?Cg6AAF`-U!A-1
zjZdrf)wdzrg0K3yT3>w=vMKoLOsz7l8!KP<e6_kdXJyRtNyeeCL)HYZ^;=pcd@rQ1
zZr5JV_iB0>dAIg-eqLsCe&vHdE%moqtU_Of<bT=nbBWX5muGwf>wd|0<t@8E`_(e5
zy(hcghU69HZ~C42eVMgQ*)pTKt4g#kt-R%PG;Nh>aIc@L)zn!lot87PGAl=K@R*vm
z>ikNZ<*(*+6|XwG(qy@l@zt3tjg}i3i#`jP9vtZxnzriHN{!{S=6DsYI<fM^AC+{i
z6ZI>P`KYF?G6=TydukE-IHV_7*Kess=%bL1U|GLZi_nK5Ey28gsurR%R_^n8nz~9a
zxNv6H>5#@?M!&D-Q}2h=24~Lf%3rm2<tCrj)K%KSFMX$)i{1+fTeiwbbn41?b+<kR
zKL`n4wrTdNJT1-Oi@u_%S{lJ;eO1k`PG0$FS&>n_=$(-K;GMQ#e@}TIyx0Hj&&>Cl
z_m+RL+4_6RyWq-shdzhA3of6x>2vV3<puM1{pI|id3*l9x=+7XzF&E3dB*%%wO-#=
zTG>DSyX3p@z2J@h2kl&+ue`qena$H*Q|8PUnxFOe<p<4c^LgsE{&ap=esFo%{GeK`
zbN)^CjXz(W_V4jOSYN62b)~ue#-EvIgICzEtbFxF)7*ciUFa{7m%+L7l0ILVwcKsK
z&|l3n!C7@dHCkUZFD$=g^Y!QD$NqixrvIKi)jYr4sy?cw>+{O9^L6H5s^K~toIdYV
z&8aiNGyG%iQh$cbT&^{LQBBq-&6CTO>Ww}NKMPKo*Ys!Qr{$-YAG1+?R=?cHzqRt!
zN6q8QrRFEqWPQ{;x?E_!Q_ZUnnuq6`%-8yp`C0SOa<=(GHC$(c<L7<)6f%AJ4x6n{
zR~}f-F#lKesrM`QE#G2u^!t^2!IAS0eY!Gr`ImX8s=3~4YWs&)cAW|?_xG(l^={>^
z<x6Z_zlTg&zQD%x`;<Gu{`0!3Pn`@d@UP!%`*hot_d)x-zv^vG*Sxp%i_X^FQ{Dwt
zPCK+Y<Xuqtv`w2u-UV&<zNr_wTjXufX77`Fq3J7cEKQicX!DiVLB-RGV!h4<t@Ylh
zw{_Q)S3!l-nl^{L3d*166#J?~)7*QeUg$27mqEGHk~UwNwbX68P^{P4poQLzdQ;O@
zo?mJ+{Z)NTSMkcTOHHObZN4&dsnK+!%_7f&rh7-~g{G}MwNzvJtQfDNl_!>-&|%$q
zWyaDYI-+SSk1v&(o)yzoxbo;yk?CGBT!kwSFXfr871LF)^59aI=}eoiOkcW3=W42^
zo_B7fR)OY$rT?aVie8nksq6hwckA|$X-hZgl%{I#UHWO-q3HTkr-DMKE!uSDZcy;F
zP0_3JG&Q|1>WZdnYIvX3Ro#AN^3q4siZ+Sd3Cj20Df_j0%KN~*?r$x-zH8XJzm;2B
z9Wrn62brnWSLQB$Bhy-a<!#_L_p5TQ)mPpGZgRhBsr60c#^M(;U#qUnSsc?psW{|y
z;2QU}a!ad1<}7|7Gqvi<?8Wr~{Z_W8&IT@XpDO2C6*7DA4VkUaR$N@{(jQbD@*;47
z`%=qSpEb@ew(5Umll58S?BWYDrO#HJS$syOwerf-z-jJNEmwWgIJH=<f0B*XCk-Qa
zM>*4H8iwwzmajf)9A7NepJbEuQRC=hp?)WuS06MEFXrl3vdQ|Oad7bgnXgYZ^y}SA
zExS$!M)z^qaD7;@fAOEbSJqyq18dyh%1(W%q2nHF>Ggiap2Z*fHWh_TUA#i3wEW7H
z#c%pnS$mxdEOB?W<T@2t?0!=A>ys5b7SEAkEx&R*Fwglf-&5<5_W{*yk7T{h2kdr!
z$u~7!!^Zh3Uun9Awev&1QtK&i1GYL}<TJIdpYkT4w5>~)t4zbnc`u)7y2kZ|Pk63c
ziM$S2?YxptG+o2Oc`4u5G>t0@{o0F+r@RbU>O7I}YMO?b^IFNQ5{-)sukeUkiM$BN
zZ2Kj1s(8h@g%<6%WW0(sOq}ORY87i3J16p$T26TyklMCNrmJYh$%QKIT{2x~0wy^3
zN_w3Ms84LGk~vkl;@Coo_9z*zLbbCCh1#9|$h<1hIJ}T6KTD>oV8y|OEbUCjSEeuA
z!*exNL(e&P=gE)DjxS_tXPP4O>isgKP0l}gubNL*O?&1$edpqhdz~|9YVy7GS?c_e
zcdL2Gw1peaJh8qqb>Ww`Q_@`dY8OqNBWGrQDp;ZA99TG|Ugp)iWsWN}%~K^$<*nE?
zdl8Rns>ZI_Z@#s48Hr3;_^fS`^sAErh0d0eT<_ElExeZ(G&l3B+V<H?9CqIQ^7Hb0
z|9$pf|7}tKtbT9)mpe}?FKbAfclDTe{@e0<@;m>^x<j9X-}#r<ZTc+y&NsAfm+j)p
z;OG9^?63ZF`F;6~|0esZ^*=Sgso$9Y!X_-?<IAthE$w&yQ+dAp+Wd(6sK1_Hms{8$
z{HghMxw-wqe<i;r&z|p7ul2X{%W^YtfeC6!|6B5G`Gxro^<JMZKlh(!U;6LJ&&$vJ
zXW1|O`SOhaO#7KXIX^EyJzu9@>vQl^|0(vq|5SbkKk-kgYx<LU#y_c!tNt^zC_VDy
z@+1E)d)0p}KQ2G?Z?hNu=knw716WBNSNH0Z@bvlH{)qe#e&Ank|MTya?~|v^|511A
zx8`a8h`L>$Cg1a~w14<F<>_)Q`@o+s-!0d)zxg-h`{XI}U(|Jd5}q>uS>2@HFHiax
z+FSnQe7Ai2{5xg8?w%~KUw&`yw>L+2Po6ioto+hl&GUX0WvA}GJm*(dcIfWQGWFYY
zv&t9U%`8*DIrr5Yk=?>?{7TBI?q;6zTW5Xp=E_p_YjeZOgYIgc^D8Lxy8H61-wNxw
z@1CToU!Ln#u5@?hS-+gJsLhvO_${(tc~i4Q{leT!Z@%oD{M>J@b>X`$J10N$tIsI2
z+8q4MFRkp*otH)Gr{|t}6S7nIso!L4&vzm_g=fxHD3{tidB)sBZ@%o9{MfJ8+VI_$
z9g`pVby_RFE7>vmp<k=D;5(BYlOOmcl>OQ?dHUR4Z;qrc*R^JS$Fk${eZM;EuWy&6
zs_&cot?bk$;c0W%y;-t7_?};db>z*Jr~E?7cGcg`Jmptref6zMs`{?Ei{7xLF5fx#
zNm<pV;5&YPWlgtV=BjU>U6=o9@5=AXY|TI3Tk?F_-PtAir;3H=%`VE{RXlm_?1KDV
zdry8_c58M<{;a*8-<DaKAHBy?Ej)L2T>h-$$*+Bj^0M}JeqDBTc1V6#vGAPPLHSk1
z!moUnoA2Ct@=N`)OS3)lvx<dh&%SoYq-ydD->f{Yy_{c`nV8q!dr}$v+;@(7?!6_I
z!n0<dyECP7^32%=`MdU<{Itx-JoKJR<>e>7lgwT3xja)lG5gpZm1oNg%x&*IsR(}T
z+heYKZ%IY)Bi{~l*?TD!!4G{~%z5vrR0z+Qz3<MGr_1!r3wLIo_N{L;XT0~NeDZzY
zTJy}Eo$r_JoxSN!%hP4r<}dF~DHp!y8<w}KNO<b(cX_jlCg1ffHTT@v`EHqpx#P~v
zcWOIl&$}a1K6&!&2YFSyPv$PWKeHzN)!fYUK6{K`&de-VyF2sKnU?frcV@mjlaj7>
zXJ%gdrnxK2)Naj8OP@4%<vE{?#s}-qxuh?<KJ(d`Csvc^%#2D;nwwdwc6H{XGc4)L
zuFSl5rp4;=OP{<nqq!?f)Gp1ub>>LgGE?K;b1GJoXU%j<XPT>d)@QzP<+&@C!q0tX
z8)u$tNn2)YoO&)LP3_D~z4T3UR-W;hY8-gZ#B%ZzpNYm3XL1%TGc@j;sd>hy-`Mip
z6^nY|$3ET0n&+li2tV>^H<mmXVj=v{r`eeE9820VedEfXEKxJ2b+6akvd&O{4U?;D
z;jRlET|KAv9Jp|7&xV$+jzcqcojH=aOxKw89E-)}`#yEXU(YT{Rogf7TiPij;b}A1
zompZYe9x!CICAF7Q$8VSyJlye@+mXEdR8S>ZP(02XX;r}m+hSSB(2IQ_>PZXTGQ;8
zxoX?B|HK{q&b2rEMltI>?H4-_y%Q~2KjAr7#kvXAt=8ciidpYy--$c;jcaT8g1v{{
zh^DL$c+RykykRfXT<tw^iRVOP)+;>cS{t6Q_s}cRko5x3xeC@zsA@G2ci4OArRbfQ
zgI~CohAZrKnytNIXZ@jB+C6cOU%0Z?2~@FO&|b3h&~s6n^%p8x&uQnxIezBKSXc0j
zYi77XG3y!an7D(VxKh^zRI;AZ_K9=+#5FNop_uiAcF)eH8QLv74?Px@S%0B|^_aF!
zoa0BX&Txl4haQUZtPiMQJ*3SO=lFpuVcmzPTyg6zJmrd6x8W&QV>m<oo<sLV|E#-E
z&Z-;!VE3VWqMKqEPm8XLaeU8J8Gc}Q(^Tz{olH};@5Cma5`D8Spqy1Re8Fy~DcTM@
z58V-cvhKqZuEOwwBGw(+dtwjfa_tZPFxTn5$Ty$Ha;~an8?0IHYCf6CG*9!1-@|hv
zZ+sZbxwZ#ynCtXbBxAXOHS0~yD>Lhz-iRbDcSz@2A3VdD^}6PXnNF`oB9?EkV!f()
zWG2%b%_V*h&x!;rFR)@Y4>p+V^isrQ`GqvDoMju*xE2Qs%x#*b+2Y4o!j-w~gC*;E
z%@V)HVy@Z27v?a{(wsBX>6yqGAI4&?>A@T3IL*`y@oOyNnjAb~j?)v76F!VZT*=E6
z>eILqmwm8cJ+5iu*I3Ba9UL&n>5+)Q@&XIi!<r&~jRjoI!2)xbW@y&TY?`iFGLvb#
zX3k8f2O<p1KbW)b*Zkt^cv|GgvJK{~I>85wTD5~O%yzmb@?lv*Dp%+-hg7ce;2B1&
zyEU))I-U}Fu}mP9D`1&{IqObMo0(2`MCSM~=GAlM2NzTydM{iv|HAJUTmJ{OP4m>>
z*g1aZten^IoO7rDj?XOC{s(Fgy%o-xAMl&ymiiex$8Vga^8|jg+)%$zdFZw9Gn<27
zIg939_{DNfeT|*tSI)wD49_`N`d55rxuQPD?%-KrpZNj5ST3n=sbre1zNYff3t^Y}
z3!ZT<^k=Abs-LA^V|VZ~=Uo2>HHV%Fo6K)`#+g2E!cP`s{{uCLo(k*C4|v8o)qg?F
zp(nyB^96pg82LBU9GaotQRy^8-Nx?VN6udVgqlN-ghl30_`z~SUB>R<2hLW1g_=VT
zgjwb@JmZX?_uwgK?7Ra{Iiu$Z{9rkt{>S#<d(K+_3!hl_slTzUS3E7e!RFy9;V<(h
zd}rCC9#QEuRXw2c&|TqWHV5BvmikZl#Ij4>rIKli`Waitcbxw71irJV`!`e{x-EQX
z+K1gN_f@~>C7u_mn7$yLbGP?}Sf_ca4<eo33BA)fcuuHbdckg%+p1Ue8p}90drydU
znyY$5kFkuiWZH*aEtcK|u}$@JRJZ6gmU6E44v2M{qk16H>6Oqu9mZ15<=zFGSuU$i
z(Q7Q>%$;^1jWc_i!7i4Isw*O$UI;l%pOD5m-}^(1({mw<=^J*koK;;Ad1$6;ir&F9
zLI%?dcCwsSof7HvR7hjG!A_QwsxEqsXM_}{8>De2O%q7t?DsB+ae6EyF@3|1dX}TA
zDte5CobBEQF-{MKIHnuyU^%GT5P4|2YDJ{ebk%~$L(^1Q^cV{`>%BijJKY!BqSKhq
zS?zsd6N`@bj!i6kRiEfG=5vNk3rOV*nO3m9Mbmpjw9{RoB|43HoPpCAQaOvgCqy5b
zta?QE;7Oqe(>`ot*{=LY?%;Qhz3w-PS?cd8zpy;?POzkZ!gG#_z6sSW*6tgMS?(y`
zkvsT}W2^fD+e2>zQ~Cp*b8K{Puw|O7yhkqaoM249!gG$b?g_SsUI~Wu3q0p2=$la0
zV(#u>d+4R$9hrk)IF`CA*gDNt-e7rXmU54r;}?#sK7lHh3(89@4?T~~h>wnm&Pa}n
zaCNO`{<P=NmSaj4atl9m%yGYA)AUU6g3QA+f=2xb&p2kd@33jQr@n7TSJ%2@r;c3E
zlMyX_kW|@X<i5g&>8YSvzd~h;hjwaQf~3*}OQt7+$7CGO2p*Do_>rT}J;R3Sv7lK0
zf{K<S$_jE1KXA0UYuGS76lCjHs9-UeUfBpbZdIsW>r&T;ryOy87oKv&^lf;`(df=#
zbLhU{pS}y_EV}LwtPkB2+$6(zT5z3=<9m)u_XE~VQ<Xz3nWie=kxe`$zRj<v5@e2&
zmQb@pCChH*E3%HK1Yh(CJmm;bIwR}&j>Eq#pt5C$vV|qn9l?8j56oK5DgNP8%;%U>
z;p`#P^uAu8s(nK`#~$Y$GEMUoJ@^_6Id(f=kae1;_(0O>oxnSugXaVa+6$~%ZY%!b
zJ?MW%KxQ}3!BYa?+9srO%y3?@v^DyW?4jENci!!p@>^)$^iOa0EqShbZ~C_%`@UGS
zXgN=aUiEp>8}H3q^>6MI`F-iF_oD2$q|cXLd#~2txbMoVUzh6VnCY*qJjt8&(mOxS
zs8-YTocAjI)_p0@R1Z#9GL64g)A@O+_4(<S_G|&2rEC<xsM@pZw%0!Wn^*UiJX1Y6
zwJLs*&gV%_y{GFZYW-ce<@wT+(-q>CK3{t7U8tWa`B`Y{zUgf7LZ4O7c+J)>Tz6&X
zq~~7qwKLaENmDh~KDo|hcfHVCudUiQ*OlxRdge7#du}A>S+BG(rdZ7qRa5QGbtbzm
zN!^(m5*`)%vP9K#jrQG0&2m*6?Wd8R<xB5QjS6=H9jsgxwrR7_d;X%&e-?aW-@V8F
z#oySIZ{Hr2Q{BB=OFQ!CL0OBkx(U049(xsQi~e)CZeTd6=U%fZe_rD2GwbU2{Zsf-
ztZJq1A2#VX;|s5fh8z!H|8S={hr4!Is2N`7S++#2<)-2ZzJ=!mlG+)pS*)BZWDm_z
z+`;E~P9UoNfK|&?#T9&t=L8<`D3)^Mw>4O`Tvps6+4NGttKGq>#mqUuxW&|2LbmCJ
zz!e_F5{?A|mv|l)bIfyoA;a`sz^uJ~fhCKHvxRZX8O0frOwR=L+7m2WjGR}<Fg+De
zYge#rIiWZ~lIe-SF&@V=0*81W7IO4CXUH%;77%M+V9|0!QGxGa0Y{s&h78j~0k(Dp
z3l;<CA4V+t&M%Bu^qg-PwH#1n;Cq<QQRn<Zx@nr?2j0Ydj>xu#RF3er1?DY#6d%;{
z9y}$mil_0Ez`HhsRE{#|71B*p6ff{9o)TEVlbFX*<XmCYvP02AlIf1Xy|xG6F1`2O
zr~h@|7EmJlvS-WhN$<QX;|_fedgompx9PLcJMZoKH}{477JBQwS^wm|kmpNpOizek
z1WIwmaYeP7m3@uRC3YU)QOsj~{DAGTw}$mO{ejgyx027uIe(KV?Gvo#xsiOq^4M#`
zXEKMsN)+{7tm3(ryhhIXt3+WR<8z6X$193?t|ZTqJABsAr$4ZY=Th<(OSaj`Yb=kw
zFm&l(_)KEqaRytrS;;kWhd)cqJ^sMv*fT?u{>En#>3tI`d5n)AusQbBP^UlenZ(rN
z3v7-(sW(*V7p&wlI^JM&Y({d2rQ3{T8@a<DC3=r1*c^LgDAGT%g6BxGjNIW560OG-
zY>quJWa(#oCK2EF@To*>-@&I6(S3pyJO`5h$R2(#QG5JC5zoHlH?qp74L8U<K4ti&
zZ(=#mp5zEix2eeimdEZIE|WR@PNMYqgd(0@$u5>`Q<Ce?$U481@b42W=TSf2V14Yi
z;hnaR);#wUzwjlWH>hY|m@cvV@C8}7d5I4s-QF3z<2iiJprF0bn&)=n6~5*&iOq*6
z$hyr<Ji^CZCQ;J%(W=MtaDi;woWw1B&7~5n4+qG)%}G2U>GsOt9uIS=#PY)h#ypo3
zr|>nGNaVI1Oq0m2Z!@&wxtO>@((Q$TL;J)uiTQ^=$hbW>uxQ_C$#XVwf#k87i79-C
z&lniA7h3Y1PMjj?_S8V5-O!TfWTFdS^BDt$cEdD@q&C4ciT=X{GH#CzB-%Gx@ElE4
z;bSh8Xg_Qq<Mz;iqutPg=U`%k<gw|A6_Re#6AL7dO-p3qV=j=WuRr`j+U>r<7M|vO
ziR!~AjCgbo?=a%ooA`v6IbR~IEihFgq^;1rNAvIoX}7xuOL&^|Bm&zQQzeQIPmn$~
zIq?YZ;gbdr+CG}`Y>)r*@6dPgz58!`=DrvI;^)zK`X%)fpNm)2P5j+$y??`J?mO{!
z{vG-zzIFeCzenHbr_={N7vEUFzu_<I-1t5JlFsSJ)GIz0U%Nly@6lKKA@zdK#S7{t
z{^~a0@9_8NOZ_{44t)_{x?kb1>+JXqKab9e@A>ESMLerc@E7-m_$5D&KG(OYzxb2;
zTzt+yr_bUUb%oEwXYM!n%zY+4=HH=D;;D6kKe<oE`}}kIBtCJ!!e{Oi@jX9VXT;aH
z{5<+tU#9-z5AI{}I{%zLig)gJ_;d83K2Lq%5AH+pJpY_Nh$qy2d@3GSck!usOx?z(
z;*I+m{v5rp|EKQacW&MN4}KrLr@!eB(`o&6f1KWnSMERXyLD=O$WPX(@pt|vozj0(
z7x<l9bN_<hu2bS2ejdG}|D^8Y6Y;|S+PMywA04V^lGbu6zI4^~n36-_g~<2m`wObx
zHPrTc?k)Jly(50l-$QRV-#=et|N3vv`Sd;WU;fPbZhZIrr<%6so9~=|$Melp?a`Vu
zThq_Hh`Gik9j)Gdn)~&cbLl(g->pmg9r-qW%lxx-YQH1ro=>uG{@ZgdZR3{NH}l$7
z@4h+j?3bLd=Q?`z`kOXiKmV*|*~?!u=bZPkFJ}IE^HuuP`AZc)N4`$)pWk}(%~#`V
z=Z);U|M+||4mF&g`BUe^X5IOPA3sUXIL~8medo@aa^v_*=Wo?a`!VxDdgKGU;6FTH
zHfx61t^PD~>iG|Lmw&%GogQJw{nzJg`l9*0b=#g9o6VnESN7D{?$CLzdi&@<Jr~~^
z?>>LeuJ}{roIB~o^RL!E+adWTZR6~#8~5@|JD0X|_S?K=&o^B=TW7v|b7a=@^m+4Z
z>$YvbIoo1>Vcxb~lCRTN%|3WDr%PVq@Xo8|1r8r$PTb5XHa>UmtU<J|dGn_3%e!J<
zyt?@~ZSw`Q*&BaaZ@xM0teCm?=9#l%AJpfm?Yg<*X<CXI_a2!)E=xCc-#&Y1&4#?T
zH1T!oH_Z0D={oo3S(EaKvu3B48}G1~ee<nS*=CKx`rSJ=ai29VD=x|?W3-x;D$I8#
z;h`SS>m@PYs%O4`sHavwaR>KtSB>2cBFvIEcXaQG4G4>R_sHl&p?I|3?cO_Yz8T#;
z{UJuJy1xBf+McatMe2^`r#DN^I~`;DxkqYR;60~fmWNfp^q&#m5i%inp{Rz|B%A2J
zK3_Lm%s=>3=j&$k`3vjHe$AYH-p5}1Z_k&_X7e}x%sHFBcz$QyvS*twoOiJI{(KV@
zP^ERpe%^eRK5PEMpKs2j&zwK=C(q~2r_bxyYk!V>nm%QIy>Fe`&&Vg~DR#|&a?YeD
z*>Qiq`8d6AzG>aDA2%PRcg<I=Yx{BYVS3wq(K@#uHy@-o&2Rkq=5%_T-Rn=1)6Z|K
z5&I$eAiaM6&)RF>XHGl+!|wKP9Z+=d{xtJmdgc6wwP{Z`Yt0Y*`R3hb&G|QL!@kd)
za{h%~_b16I=bzb4{{5!@WP0Iz%bz^&Hg7+F$L#mrGv%A_o&A<`Z1>E0XUohl-_<#v
zR$+Gf?wfOIWoC!(z9}=leKyN{@!gy<<C|w+<%sQ;e3MpUR(&_;T-v(XCvUDPHNJK>
z%slw6&bhP#Gw-`^&Ze!HJvZ-Jn(^hcUgpYo*PKnuF^k@O^F`XC*(-1Alo(&AKYJ<X
z+s>KK)8@`D%-gne=Cia6GwaQf&(hM&4&QlGWPJMUshqH#l26kn&-Tm{+bKEotb)1p
z=9x3j9?JQ)W9H+u-r0tE+jh)+l-4<0F|TaL%!g^Mvjy|acFcT`mSFaK)6D5-cjX*Q
z-K;yCHIHq_&HHI}v%lspOEuni_M6%1O_I~j*00N1wmtG*TE*<hn`=&`g_!NWopUO!
zZ1&Y$wN&F>XBXwLrEcDN_K8{brpP;KerC<L-{cx^kNxxRP`UWt-8VLK--~^5^XNOh
zlJbe^;uU2RcXwOw-msbbPVAj`hswma?p|>B=o`J1^1yWQjk_D}vd)d&^DgO}UQD@S
zy7=1N33rdas@DrC7fcr~D4V#e+kCgf-J>t{?z}lvBEEFD!d=(du^Vn4ofX^j&Z$H^
zt4weg_l4LcH;+Eovnjv0llxq3&O4`K@r<&<H1V0c4K{P1iH&)8s7O4uEO00HsaT(P
zPDSDqcPnh>J`vk<vvo#n%gv*Y^<>H~?%+NatMksOP`q=u!=0n`5A}G;19xyAisgCd
zR3M&E_AymFuIyr}cud*GRPn~$40n#+*ZWg;aXYu}?gzJz-qYLkhUv84x;IYw;+4A(
z+-{v38*-C%YV4i2NvHJQlm%|**4(||w(FEwhnq+5=shX>m?B=dyI>Rdj@UhK553)V
z|8$N1>%BSWQ};}Nxijax(cRPapJLjcZ@P2(T};|@qdTYb^f&Ka^Udhi=`{Vxd)J&x
z-8lVVoZItF*H1r-c~&)Z&gm%q<h?mxjjo=46vOs>)0NZrV%n;1zD&*2Gv2%Ai_xXi
zw_=Vx+hjVuH%_f;=B(3B`pkQE&Zf?vUKw|-Qu2A~?CF_tZO=9tPfv|YduDXzw4VOv
z`aNsTq)we47-v>F^GWK&=@WPIeA;9<y>q9|nbiL2mT}iABp;`CPuGl_Rw4N)wSBr|
zTv&zV!_?;KoN;W=HtA2V+_~m-YK-3PqR0oS4b%VrH9dCp(dpAGR-Et+54?DB*2x<&
zX6BNaE2TG??V9|S@$Q;nH*xk)U%!9hl{tRG&8EGjKIRYC+q#p_E`9J*yZ&p&oa1kP
z>B(!G@VM0Ycx%m{r%{}rw0~c6VNv&4y)^f4C0qN_6;qz1ELBZQo8f0;zusW2A(N}~
zw$#$;H)GYxZ%#gWB1SFM$ShZ{c=wr;sfE+e#=4o`oMk)tWz(gJdp}$Ms*=2uvTwTM
zMq|m$moKGFCeN+UOqt^oSoBfA@XQP+gUO{gjc$tTg{vLj#C|kylg8wezGmgWPkXQa
zA(4{tCV4};(OH{kKWDs7&bN9!VP@^0PcvR6ukPPym-c;z%)zk3#}90&d?PTC`(^Tq
zenGoq&o){_%Uup)eZO&!m2Jc~xy#i(`R8vZmvsMp_sLEzHE*MN|IYfmg_~}?Pxcpk
zU8|F47;e3MOHq+{b1aYPxmQW+x6Ylv0CdQ*n#ZZkrCsTX8u#SGX3V&D<7TJf7DiX+
z9Vr=VujlY={`7g1#^j%V-=xhW->1x)+!*)G?B<fYDH|qVotaa%DavZ{#F;%MM&^?j
z`k7fpzDuc8>)vb?`8s9Y?#Zrx^=TF}AEzX#dC%$jxM|<XLq6MB3ycn(+~xD^sgdsF
z#GO6wH|;&W$!FSABc187JAK}7+H?AY-sYmnsi#-Ol$GC{a@<Ki`16bx$&35fR=)YR
z(YF7qo!jpjZ<CAV4%hO0F|_W#TdDJX<E`U9^47IH=aM(|pS3IdE-~}?2b*Ire%yGR
zTtBxz(eB&N2r0w<-b$WNhOWB(if(d#c5Xi-o+hWtZLWE<>2&g>UHxzEmObBS)4$YC
zEUnz|-q8^G>Q6JK9DlaR#!dYD>~zCh*N$e%S4W@OV$`EP|9)KDqXo~Bg2h%#vvTVh
zn()NL$Hcn2G9H_9Gd8Sz=9JSf^ty{Ar<{JKH+gsc8&EQ_+{yE9)ArMM)PB!BQ@-im
z$!|W#tY^+US*Ct@uFm<C3boU7-<(S+Q#(BOO_|Z{lUeGE=jN0d-8}iqN6cFCO-hMc
z_1v6uDeESmoVljd=-SCJ_29WW=TZvPyyw0-o3di^T)$^&Mwd@|sVmQ2b2cSME!z0z
zi<Cu^SI*QaF{;0C@{-Rt%bCwp=1wm3+h#fQSxSbQwQ=OLlr*)&bKVpgoj!TWC(Kgv
zY0Biuo_=DMk~2>#s7o8qoN@Ay&o@xi^-ebQ+h#HIQA+1zMZYqOnGaK1Cky(SS<HNp
zlA!k6Xy){jyL^tNZql91>c?hr^L|R*<gdQVQjPYV{HAu=NOD^J$#p)<%p>omR7{SX
zx#m<#h}!PiIj2&}CSUbcOEubca*+>P>ZYA1pQu$EMczsAQ){06Cf8{D@jCg>wQIg_
zwC(>0ikG{`OXN>~mY8?ENPhR{8FP;p$nUN_^KIj;;~Da^Ykj_LwCX=<$M#!d?(sPJ
z*`H^;PA-zmuI>4{@#^sq`TFk95_66R$ya}tc$K`oe`n>HFB>l%_mI#2EHV4|H5;>E
zGhQTT$#K{6eA#HyUu*a5XT<a5IsLhI%YI7CI)2V(+Rqs?j~mGEt~v8*qfvjTo!ieF
zPm(9~yV|)uGdywpn2p-AjRyU;cF%r9JWlTE*R@;rBjQnVN58CH+K-5b$u0f7^>%7M
zBxW4nXY=goM!o*R%AC{5js1*v-@ebdpIqCYS=sY`<KE+&Y}%e~)b4+2JMFu~z2q>t
z)t@A$9)Bk{`_qiO$))|Cl|An^YV<o+=Dai9d3>IY*!LNek3W#Bu0E5y@&3^o@z=3A
z=acqyzl_W&H@tiFlTKUu#ydyf>7=C_-Z`3AFTOc;O_|}XqiN!kW7nKZ+Sq+i&n<o9
z^`p;pp6!}3=V+98a%@hi;nky$blB22UO9SCr)}4bmq~eI#<6Qk3@;tMrE@H8qiJ`q
zp4zS%vyM86Gso(jO`6|bsdsIs#Pg)t-I;oAX&a5ZQ}xo)49^_Z6W<)O=1kJm?m#`W
zoim;!P3*3p7|Bz#(XhKSQs+!kf48OHwH*?Vle)V#^``BRc$C!MEvXl_L*ijlb2q0R
zTiQnb?#jqDr;}pDZf}ZskkruqSNGZWi2F%VVvnP9@;B;qCq}+Gl~mRJRJU#WjHyRg
z>A0nC+<kPJPTKZ}yAnJ0?byX4B6zd)saN6SrF)Ocf-+~l$>K#qNkL+ZqjgRt1&Ga#
z_Q~6*-hEW}S&HF~qxZy$t>1h%xPQ2c|8}v&`@|}~+qOR6H`ug4wAA^&!MgpXCC~Q_
zw-4v=ueR;^w&CXC6#mu45pNPVv|p5DZWE4=iFI^!)w;NE2am|#FIyMbzWKVrvVEtV
z+H<ju?OWxJRdHXB-co<B>Fb88hePrwRz=J?{6J<})s5NFbM8Gls~d1QV86{hr8By0
z`Hm|pxb<W64(^yy&b@bUbjD8B_^HunJP)qky}R|U?ut7~dT+iMn77ZA3#*cNnV8F$
zTzq5JVK;tZTc5Lu3)>szrajwm{;&=IYnz_W8_pg!;dd^+G4rqy`)T7D@9Gn_H}928
zOWR=HJeN-_-5})p!Dj~~eM$|2uN{nHmzM20n~;5lN3BZYS>p8eNV%|Q8%`b8;GfMi
z*+%D+fl<4ooY^x2!}eCoH-#Hanp@?bSwuWb>}^(*J7zKCX<~P~rrfj&iAM<wn&(=+
z`CxGPFc-hFj82iksl#mi!ZJK(6BFwBehWQ&x<RkKQ1VRvhW&@P$%s`*JV+?7|N3vK
z^$ZaMt&-h)_EpwD<@=VFnzuo#qS;dN&B=tof4`R2GI#z8f09tz{#W){dBpw1D89$m
zIqx^<v?p4=Ih9z|{#3TDe8$wnt7P1sZrFWz8HZe2dBoksAil-cI;Ro?_-0%CyxXAO
zUVl{f*%N~uhwt$f?>_oow<iB$b+_&I2YXxRMZY=cw7vD6?x#CU=XHzn3!jUX<uz7!
z-;Ta<Z_&36Vq3P)*xUL>_thPxZ(<v^FDUN59(`tK>ucR7cNTpWTeCf4Z|f`FM|YUc
z=?3M0d?vPHyT#tt+0irZE&3w1WP3(2x7qfH;_i#l9rgE|&gwelGgft*Z2z&R^|`K9
z{=v%bv(Xv%n9k~+yQB13EG@6Gvio#&#JxplbhYvwE4xodd)!m{B$krLSlMm3U7)zz
zV0*=$*2lV1`3Ebyk49_UQ~D^@vE5=%>qA|xe8-CJgV7xKn9k_#yL0HY?yfscr**g8
zQTia(u>HsG*895kzw#D76|32PW4G(H=!Bg|r$)cHtMp#1V*7@oZmsPLin@14`|NDJ
ztNSWX@u^tJ_KqTMjqNjbx8Bj6cSq@+m|q@adH43{9lYPHXS`3?+x%9tr`*7{`7Pfv
z>xg*=Kk!VmzA^XU8=f}n8*dY~HDBd(v%c{rVN>%}Nu4r-8wX$Te6y;*G3Q_m`()#Y
z*9mKy*YYj1ikNfo0naq68?z4vuv^QXIh(Moc`BcqRmALrH+Z(CZMb;Qg+16f;zh!O
z=B1KviVe;mv|@iOlT&PP_TUAcva}6n4xZs@v%K*%VOsN4$u&g=rw*#IPX;A9qh?1w
zvor(4=2po!g$BnDO0g%)<P;jzA3Z3<?kw}Bz~JyfE_P*^oC1S`2M_RkOEu7ME|u&#
zoe<5&EyGi=VgJEDY_Fw#PAAkfzvZ2lYM|2`E9sNJVb8%2Y@3ZDrXF0uQ)Yf+%E32m
ztEGKTC6qL~O7fgaC~iK<`z>X|j)QY}*vxO-PROhO`|s)R@b~f6{~rDIJ|Dlk{$>5Y
zsi2XYr~gWy>s!}9{8##W`rG)e^%wt{{+|9OzVu($U+!=ER`q-TnLgLQzW>RetG~ow
z$FHtm`A_t@zD51ge_x;JU)k^Xzv%Pym+?#MC;q$oOy8`2?a%Bl`WN?K`6K#E{6&1`
zzh8e&e_nrXzs3Jsf4o2Io7B(!sr^~sxIXb;>CgJ<PvcYnt@_jbY5mFlD*wCwbf1Zz
zP~ZF0`%HY|zp6i{KdwKvU*dn%AMcOrkL(xtZ}sQ&hxLc{bNrY3<NZP3puYC!>C^GC
z{~mq1K5akKf2KdL-|O$+|LfnSPvZCEtLsyLa-WV5|99!P_Iv$3``7#leX6fjfA_EH
zQ~llhU;Uf(N&Ienec->QPuK6n7uDPT)PAS0UVrfK*KgBr>(r$`wC=ta`DP~Tdz~+5
zlFG$)m2+xHP5OIF{fzj*$TfVw9Ddo{RA2X2&6sm?%EWZBinNK=-PW5o7<1o=ymRhQ
znb_9N3+5huqmz;zm@c+)bHiNLxsiL$C7siWNmoo?f0Xa{Yq8)ROx@S&BRBNTIHH=i
z@mHtmn=RL(7h4>SSzU27cJqxRIw9$T>0$+G6Ro<<H#^Kd`cmi4nL{OFOE)Xbb)6l#
zVdl|Ukv->}O2o3#1g*F)L@t?m^tq0W{A}0fIu_|0Gfi3^uxS5yF~5;-LqoIJF7953
zi|6mP8j8g*cU}8rB)Bbfo6;MXnK$btxJ;HPF~ucDCA-Z`QBgc<pl7$qA%y4h9M)Np
zb7s0e(>Zg7saS0K<_&XPXGVscYbp|(ym`VL*C#qB&M*~;C8sH-i6y3ewBSA-X>zWq
zP^^1%z#P{{Is)m17Tkv;Mb0%9h&68(n8P|FvSw!M^urN980Bs(SM6WVHE*tr$S#9T
z^@c{^i<rd!CR|Jvi%Hv<D%QA}Vb0O}I)BnGnse)JelYv!J)KQwm`>}gJL8luR=N4W
z?AED~Av0O0M&3D_bV}z<TA(?%=H>;nU8h7k%shHW=SkYf6tTk11xDOEBKMp<^mg5X
zMM`n|tAD<`y8HUPy%patZNC04Zddiwo80H)c2?Kly?Odv+>YwI?^1V%zm3~cefFK|
z?(n&LlfF0I?LHT`zWVIV)1~^B)mz^k-F5v{+^XuMH@VNnt*qXAle={N<-K>_r0xoT
z8MmZ*>&@=7aoOLb?s}K#n^w2JW8D=#Yp>n+Pj^-q>z~_e_WjZw?z3^}-%i~*eI{;3
zb?m#;o#8Y0YJFc+e<!<0|Kwh!?@F7+pTs496WSa;W3SxzqC2Y#^^ffp`yO;hyHNkg
zUcT=}cUBkZAKJ_IUFZ(?nYj3GpEiY0-@D_@*3|U}_A-3`b^CPw`h9!1yg9o4`n|Zw
zZ-+KrpSt(Uw^O&d^Yyi>LnWE7i(l`5*{QF`?8<TGSb&76V(f+nH?e6+^*Y|(+1(C-
zjT@BLYgAvf-7YSoUQqt^+0N6U-}z)CBCj3TyZgtlD?OVyT{m||J~QG;S)8y?T=bGz
z_1(9oPxUNTRo}eZ8W!@!`S|KQea-5NZ$(q}HLB0PRo#Al^4>?^iZ+SgiOa9vdG~Ae
z^!KrQ%ir$o{;p?R{`TI|>hO8HKirvGUwwV<?l*T@tFOO}-By0}o@@2>H?f<_ukO_T
zrgvlai#uPduFu&W^L|os`0Lm;<!kRPtqPyB`@x;5Ro7?l4tQ_1_w?D=W#v=vxmJbG
z-hJcF)@SQ3?sj<}R2=>yc0u{lov%OZo!@Qs{?VT7&w6KfU$|5HY~7jNXYRCCUVj=p
zt$gav)t~D1PVH8EKWUHlCq1Kb$9tyF^bE^ecfS6pcYL?h`=mYDAN7vz7JBcr=k*7@
z!@IfOEA7etpm%WhfjeKH>gku4?(9At8~u)J5BG<4`*;6&_iDHI>DZd`x3<r|8b5at
zzZMV}7(Q+HhC8KC_4e-m^zP8^)2HIp4uS6*^KuLkQ9Q`Gsorp-j-EbiB3qY0N$Ae*
zQ?cdczB^C9TeoZXk~^;D;Zt@mxMNyA{Z6d^yRO}*PsSFM@4fr<Ti5;YANv-47p;yv
z_?yf2cTeR}y(iiw@e`kmR>V#G-DSOg!)LBL;dk~O`X;({{es$~Z?se51D}g-T;EX3
zIyZdJzNB;7G4YDeMc1xRsINWxN;@Q8@VRI~+{9m9=Ib45kG|Btv**wk(WUDZYF%fC
zZ>T&vE4*i)(-+aKIKf|B7s8iR9(}HD6Myk1*SYYVeNLZ6GvW%LiOyVa@R`f!;J*D1
zda*L9mWJvFD-3InKGoKV4}2y%b^U^xqffL|;st+l8Le-qIXWY}qtbOoxXr%$Lmx$Z
z*C*5*eWWcCKk*0Gk#L!Phdzk5u2-lz`aqi{p7EJzeB8sQqOoxYpNdAu3I5<Z5dLTH
zq4%P->o0ub+86$2uhMDl4SOD)(*6=R@jKU^@Q6y+so?>YNAGGc+jHohXzBV1pSX5~
zyHv7H2|u&f>7A&5oZxpZ_4N(aM{jH23Hw;To9lk)mvu?!wJO3FKHm^4x_kA7Sl4->
z4<cRPX}w!>=$ux8c=a2x_OpjFd8MBfwA=nk6lni(L@BIrH`ndZE9;udL^rRV5bHWO
z^vF7<GSQN-kGr}oR~N*#&I#SJuBlXX_3D6F*EyjFB3)l;-CM&{D!P1i!Dg<@p;Oj1
zm5Ans9js3i%?>l%#dR@sMWpKsEr;-lX`=I2e~58?u4NIvaVOW=&;^l4XNIP%J9I|N
z;CYn@v!rFD<@Cj>X==01?)+iFDji-3+K4eF()Fp9M!4Zlu9KlI>zdAJDTEuQi6(^!
zriu2iE{Jh`tR)e?aR=AYP?dE|g`(}N4Psm$YH@@c?x^QF7}^kdbb4q-r0ew1g2<!O
zLRr=^6^Pca{t)eYUu(;nrhL)r)h9M_>8#$diED4@leJ9wqG4fysiGlah1<I{S8s@R
zy{olkO;es|U>IYnXz}U^(MKnT9$9<nq}GG5kK4Gm@2q?NY3}Osb+*MH&n<nfw}oM)
zUGr~&cbB)HpK`cXpk7o`_PZdn<u&i7>c67L^5qSFGyFTd)q487n965|jKkl>lt0^K
zEdDNLd-2V4q1NJWV>TC`JQte2?#9l9=ZlQ5zm6$>Ry5c9T+G_yjpw#nO@9?r_^in|
z{8dc;GpD()OZ3c(XPyhS5`P(!`z*=$`mCL9&xPiCpN&~q+<0zk+Pd>Q>usLDn$ump
z?(9yJ=T64gXYMq5Ze%R}EM|If<hjtab*FY}JfAhkyJ+2sohQz)T3(;A^T-*|v~|aK
z$~@1S(_Ogk=uVO6UURq$*B#!;^IU6Acfq=YJ6WDH8DF2ibI+Npsd{?Fxihs3^bYL&
z_w3W`)%kk5#UIaZH4mS*bHka^RK2}BKh-}wH2d_an9yg7jIQ5}34XR|_Ub%6&Ekt^
zMN{=OiqD=^HNQT2=c8vuM&fs3@{4!Qee_*q-|`o>N9P59nCEm}v&?^Cb(hWZ1I1l;
zg6~+i&JBJr@6k7rZOd2KvcA<!^H;3yvRd9T_oQ#$?c*oy3V+*Z8r{zRz2oiYZTxrK
z*or2!+SI2gt&Uxw;h^<K^Tis^%^f~BTo>##F|O<~o;8P~t7Bcp!d;cC3v>-OE-y%N
zezx{xa8G7U%<B&#iPwx`xP?CI9NxSw<?O{(i>8)$T@OBEdF6@C(fgXe{20@8yw>>Z
zY3|zd^hem;sJ;2N^HiVf+}vEWImZ8?`L%hQna+Qb?*6_;r+)c`BCZ3Q7tA^IRiw!8
zVini5;5GA{zKRt3F+LYrxxAv7>q_vPd56ww`nWG#oi0*c<NmRlYkTmXsq>mdSf6Qr
z@^dWb((dk0Qc!kRtnAWQyugO_p603rGisENUln70t0Cd(9<D2Ed@-b~uIW?Wt3?-_
z6<M#Bi4^AF?ff)t-Y)I>-HSIkJ!jeQq4T|T;`@2-4t)xjXDvFbl(Ri8)c@c!!SF|W
zj{dqf+kM7OuUhUB!D6|OPu5;@Ke2bK)pL<-Kf@}ni@_@@vr7bbb>FCMd8X;)&sf!E
zviwKMQe~~5)7~hlY2W`<qs{x~+AP<Ud51oWM9*FRz~<;PO%wmdXCmo-6D#YvjF%s<
zIr>yn$3O6y$kgQvY>qx@R8q3lHD5j<=5>kgm90Vkf;+`#2T!nMeG;`XyET&gOjP!@
zpyDpWe9?JMXEYDZdGt}FZ+V6d>tjtZ|AjxUJ&BC-n^?hhBv{66-PG-3_oBkiO^WS4
z6%~2yR&;m1uI_S!E31mGy^GwH{?xMjT)igO`9n6VKkJ;^Y<B)uk=X2Dfq7S->0H|E
zb>7Q{`{SC!n|aP(lIi}mcGvbd_o_aLO%3K*ceR@9;MO{S#%Ch&eh;7O9@{Q+zba;R
zf$rh0eEt`oio{%VlHooZF?IP3qp)Y1Oy_4=vp$H7Jl9kd_D=KPv0pOX-$m+{zpy_2
zUL@)qSG`R3dCebw8_T<NmLDkU(q4YS+V!612fxIpBB6ecPesa?&nV*B9eic3(<#jt
zeu7U$0{jfixpoHISi0WPoHK{%ok;%j0_mggHEP^1T6ftlejwXAFYryDQ@Kc`TVuM&
z&c!>7xvUo-kUjcVBgZ|^n(J2JnLej7ky1B7YpxrC7bNSCzSelwbEs6L$nByP*R{Yk
zeNLq!g>H=LA}beH7;{|-oYQyctcH(!pcU7pz%7!jvjf*i9(|$V;=V9VWZ_~4S=U*C
zHGPMQMdmJkAanGYhKYM)nn=3aL`yE?#Rp`Lf_88Orin~lyg=sY6Acx2K}#;9#SJn?
zX9RXgy3Po+={r<kDAKz)LFVWq4H5T=7F<UHW%>>kh_o(NkU9E5gT<XOO(fp!VX8>1
z+rd<kXg5I%t^<L8dJpA`)GoeY#I-N*O|Q~vjSW4IPHB8`n`q9pCon?Nb!uRM<k7nt
z%X$vwiIgs$V8pd6&_$AUO5mAZr#umVH$ihQ^~DX+M{jG~x%aEK{`B{?_qKoAbM*JL
zdE3kGU#itUA6;?pRPF0?(Pj4z)xQ3wdwYA<{YACe-*j(oe`Vv8C%7yBsGU=hVCB80
z+U|4F>+{c69+|p5=KiG5VXvdtq}_|^t?~Z4)*}C4rFN;{j{LomN8UxR=-yEK`iri4
ze?v~qktIv#xSxqU@-li^{?vW0^}oVqZ@;l;>$9~Nx4YaA`W*H``O!V5yI!BKJy+6F
z-)^IM|5Z(Qu~>XuT)eBRbGw9@>BXG6kuCad+%wa*8Mq(Fjn!N9y{T3A^jf3nsnJuq
zZ+zx3=hh4;Xw}syVzAh@^lIz0qfc9xEjV%T{<SC3lk#2nxjxf9vHjQ{)n{uB@@@Ck
zKm8H*IJzfaci++<VUMCa@@4m>{s?;*-IC9{PxXh`jP3jOJbk)WFTb!d`*d_;KI6Wx
z->2P=uFcP^?0&y?@Agf5TA!}f&VRXg>UXhw(P8&ieG;3x{oTD;pQhc7F3tC>?0&aa
zBj2$y`<?F2?eq4CexEjZ`-6K`)u(gU-rrht{Z(vs{rRXp*)Jor%XRN={j{bveeIpC
z@7AQI>)zR#cYRar>N4G1Thp#jid}s!YGd}nb*|}auWx;}=IO3!bGAlZPm0Yh)xEm)
z(HhqDwO6*@ThqGh+RLcCYeuoFOLQ-7y|w0O+FH}>-gT<Grp?;wbe$<y`)t(w?8<dl
zcZxlanw_1wt~G6~aea2`y3{n?Gh6kpZ;DxcCTePS;5yTt)1E|4%$^v@U9{FPyE9Vz
zOjLii<+`go#2!a=XKSvTx<l+yRC~7My3ieB52Kp1IoGkKt<}%2j9h&>D(2d)O<@nB
z8nXYceY!pDepJ-8N732&Yjv^{BVV72s>*)4wsrfosasdAaZO#jd+V|_^{Ly#?nVV&
zTNJH*Dk|XGtZ47Nwd&bN*FH_r-LduFwIb`+-*xV9t~!6KSnPdd)wx@?-rv{Qq(8LO
z{=UXK{iY@N_cgaS=bT?<+x>0L&CMz2R~3i7iQJHW(em}zHJ0f+=cztlb8U0P`6yfO
zuWKyQ4_az}U1OfUa9(NEwAq_|&TH9r*MC`KmcG$4`)uUm^v-!ppRKvD+2Oob@wMlX
z^U_P_9j(0fEOJ)*Ld(}@B4?)0wB-K0=JaNr^IFAWPa~(K`_5CX40{rpa<0iH`%Glg
zIj-Vsk0bliP3Il0xb`TrD_wP7YsIyPk!|Us^IR*gJ&0^dZ?t@UIx_Cut0J-Ko43so
ztq^-qA6cLNbMDphY120UICslh`*dW)xm`um?nPFnKb)KTbd6Sepylg#Yc$hu&J8V}
zHf8gRb6rJZQ#L<4H_7_-$;iTVOH1x|YqoE`bL^Mw>GC!AHh$|lYCUb<#<Js=WVO#n
zR2(}c`}$l&*|9^iugi39Z_GNrNH)7n=jO&&J)+iPZz4*L)mO=8pNm+Rd{T0Csm`^H
zVaJ1Hwa-Nq9P^TWeKul6^4z|sX*!oTdL37iU41qp=U9~SwHFbKl2=M<m*`yBc&X>B
z<+SG!bCV1Ewpvbm7Ljqx$~f#<MB1@KGOvqtPH#Nb6KW~;G-7hHXP>C0*vyRz$EA#?
z&DeOT=c~oE#}U2BhJ9P>Ev7w+=uB4ZE47&RFrqbCu+P+D+JlIMW50~1P2aey=V<C0
z-DK82R*P%*BkGdB_AX7;*|+iAu~SB3(>AW_S!y13FQOtjQgZdFh>&Buq_a;&lqFy7
zRZZ2|wQ*4oYwDVv8=oAjG77sB;diV_`gN|(cK<(hhrSE%oqyvq=RN-yKabSEQ!lZf
z_*}TcZsPAw>-if#bKdd4Q+Mc_@YeYY{vLUwo?;*PTzKRBhQBOx{rA)*ol}poS9~tK
zc7DR&Bd^p$>;<0-7uZew)oDK8;qQ@`>UU}meGy(dU*WIIZ2t{EkIeG#sdM@woMk8Y
zi}Qm2lAlMOtJ~OL1RX7tQ|I(qIK!^+S-tSg`39dk&-lmG9r`4kY8UvE^OV0&ozo}b
ziSrdcbDr?;`PnkVzvbtV$Lccn7k_Xb^Vg|!`Y7Bv-{H@Zhw41`fj>A8`Sa8{eGpEt
z`}kBi&hFw<;TXG(PlX%jGyFMnU;U5W#qXTD^B?>^a!-9z4by4$bu~`!g)8SD_}wzq
zKjbG%{Z#)uwMnPc-`E9y=hU3P;J3>Ze}|t(?x;Vp`}jn-aDKrj&K>@HY7gZK@1OnQ
zuFHG1Z#hln!c}G)cXQtLeR7j!p6`>qN9WYu<S>;BZ=b#4uFG4s40FTXoHu>1+;n-P
zmSFCfF1&vBjLn?aeNWtUd94;<zHt}lRo^2wS?2gI$$NCRUM;}9a2KcfY=gTlFV#HE
zFQy6Sm~BiGUOZdiZp$p+mOQ2s;Y_oSJ2}t$mgF@R3(uZ?;SS3z-#Is3o~fP5VJa4$
zK6}F*mzlmHc}+#alV?x3<MKr9L=IDtaI%?VnsB1o#~qx<eNFP33Wd982i$Raq$Xfq
zxP$YsuSi}~fpGI|f%-cvGkj}qwoLaexydr!H|M6y12qQokJ~x-`+muFI<5A@Y~%J$
zo!JLAb!yMPaNFgc+6S}5RN+uF$5i3+*)ukA?)JTs>vT%(g_&TgaDbWNcFvu?HaA`F
zsLjb?$`j6?U9kJed(|5Ki`AXB(;w_@ndki`&gr{QrC#H6p`Fur6mweFPd~8t$XnGM
z{lIF@Ti$2loW2Q_>IqhJ-tfM#^T=z}XEBGq3Ki*Htm3@py(Z4-t5BgH<8z^v(<_QO
zuXxXiJ9JjnM?bKN^OE<Loh-Ax*X%s<Le)io;WMFy(;4=<%<`^@JM>v-?(_$HjyzK}
z(QkYvl&&|ilGAwlfjvi_s_N(mJ`<{+I(@;OBTrOS^aU$9jixv3IWoh$W2eguZ=1M7
zABB3SC+s=$NL56CVg=_BZ<)A5AB0+`E9^P)K$S(G@tIJ(-ovLtv3due3PtM)R&XBh
z{u6uXy-@A+3q_p!yx+tsomSlt^XQc77rlw)oO`?@cDhXU4%m6*uIjRwL+^x2r%x#2
z+*R-GvXf<s_nBCycS8Ppg5{j*(;IdlxvhFf?V~m4ea|m`N#|86)EA};?VfyLuFE{n
z2QyvXsl4+!bWWu}z0jKTw&xYUrZS<;lPAn|nd^DPkEu+kMD3$hr{&~=xh-=%xA--c
z3ay?TFxO>{=Yg3nuT<{&FqH}|pIl(fdD(M{UsH)teXiQUG@)!YLo3dUo-1a$yijpa
zpO_{zfAWVpF3(jg)Hhmkp7mTX^T<rk6u(1fR1DM$EjdqnPMPWQR7FGG(310{r;A_H
z85IR}!!)5JHNiBY{>cS%Tpp`PsBg63JnE_9$5bfPKG|T7%R?0obwdlzgPsjDk4*Qh
znCUXzvtZ_tY4x5geoO^I^^-r$cDb*z#iuD>sCx1VBTk*kJB&E@dOq=G$`=Y#3rrOX
zQ7bg>)SSFww#!|WB|c4gLV;?GsY1n*C(J%F+4G3+p_3{P)IOSVZg>A<cj&v|-u@e(
zIqtc?s66scxkP^AbHNI^iN8Cn`!{^%xZ{4u?$9^Et^EsXkGxT?PmvFNF1WG3p_XN?
z`yRWbbILLDiq8et_9xUHd8Hg8FZf)rKyKo%4)cD8+9NNO@7NsrBDl0)q1I)#`-aLR
zv)p^^oW2NV$qD}AxZu8|^2l>#8~KZ%%cFAaoIVR?$Q3>loY`;ind6LmjNPG6f~j(W
zKRHgh``9^s5}eqt@R{R8y?ak(%MACH$|H}JW#ljZ;5g>4W9Rfyu(RKx=Ey^39{Io@
z9EaR_?3_LbCdhq!Di|ks@u^^p+{UMZjr|NYNA4^Ck-PYvL%07y^^tqZn{1d)E3dP0
zdM{Ynf1tW$s(VN!%T)I}wn?Xy-^c}i=g{n5Q0+3s-J$Zx9pxu-AD;*o_SYAD;@IK7
z$M#UJ;QsCpu`chGzUefT3s#A3+|6;<^+_blJl7|BkIpH*(P1hV+}^z**5$2IhPdHw
zj+?GmB3<4nC5Stw3$E{;v6<t#>xoF0*GduM8+UPBbv+WvGRJj^-lMZh0pf+bILx~Z
zVqIP;d5B+36U-6Ym?pTmTOhV&mTODB9#e^6rr5`w9Oqq2^qPtVXLnzSVVUJRC(`Aa
z(it75V!`R%8)95$x`yaA6$wu6p74ijt#>yox3K1h3kQw`D2Q5K5Ej&I@{y355izY{
z5l54fg1BLtV3L?%nqYr-L5#~|B?<A3J2;NIs^~El3buC}@ObP@uB&I1_~p4&mW!!A
z;RU;9c~8o#fPjFD7iP>9yVbQpIMvzJRr`?N6jlu`DQPV&BWdSGvjr~<<jtMz_V3rN
zXpGqMV@K-8!p*|3?;g*6cQQgIR`|Z!{_dk<_f*QZO*`jX@czNYR@DHPBkp18^5#zR
z+a2Z29p%jpB7fA}`Sb18o5yS`%-wEHH~)U*cs;M4-y7a}wRi3;x?uV<chU1QL%%y4
z7+qaz?ebde@?u$5|JcFW=lbq(+4JX*mzk^W?7uy)UwY1rRa0v3EV*I&aqr1_N3)Ll
z6sCS{5fx?q@ug7t&hgv}$9+F+yi$ATfKA)3{@c@Q@9cT=_*$yGxt?8K^tVFpJI8qo
z<hSpyz4PZ=Vg2jd$9+%R<<<P&@%HWGX{qw&I=^?s-aPJ`FTZ_H?VS(b3O8@+kDgk4
zXT_VxW!w92i^!YruD$c>TjAtSGw#^smGmEd`&cYh-dyANj<atct8KsW-Y(C-=FX#U
zg~gltrSI6~<=f@u`PSt>y1nGPTJ@|C_gwrZ-<|#9p2~UO4|i0)tJRn1B|TT$VZL*3
z=Ud;be5dNkH)o%?x8$5}Qa)3)uvH>cSI4mzZ7<C#_nw@yVZkCLcNX)e9fBuk@3`l3
z&NnLm(5{K<=8<<h?z{amTl7?Im3il9!K3{u_gK#P2IYTxrnbV|a&PDC*)#4f`J%Q&
zI&qKZ?AaT3o}AUYA}{5u+G2CTz4e{5Zhtr<RzCT{O|A6Bb8?H!PR?#P7gjOZWHawM
zwTju#bLVa^ytl1V`bqAN&4Txyd{&z$U-@a`j+;U0)y0#~-8^?@n)&RRvkT6#Sxe8o
z8J9l0c=Fks>&`4QkACKBlHc@9Ej@2irLeL2!96FR`s(EG-s?GYcF4V!Pim9RC+_il
z;#+^>4$CLC<UFNkYKeKDDuj>EHo4dGQLWoNaF6FBUxECh3gN@EMeenHP-`|9+`~Cz
zcFoSt>9b3Aa!#L}v(xi|JA-*qy7GGI#=V>m-1Y36ehQk%U;K08neV^6N8cwN>^*XC
z$$Pb^yhG)a_s&k)$vJIy%+AhxzMt}%%7wMfR~89t)tm3!eR9g|8+VuFnO&Uiv6J(z
z@1i>_r+lB~DV0xFH}BltIeGShyHDP#<r)8++xgz7Dt%MB+8*PJ#*^>Pd~r_Yyw8U-
zD&=bBX-Vm7JB)YE?R@K#mF{Fc`R2?M=a!uFNlIt37Pd03oO^Q4%pK=k&iO>8AF`T!
zb>@n5D(8G2oKY!NtItnsvYLE(=8l=2FMYhyovbFC87CS~HZ_)<+xf!h${CdswFSnW
z#*@#_tT?x%SZ$8+%{iUVd@h`Ma>mCfJt<9X#`fHux*yCA-2RtVWGQ@l=9HP9Pkl7f
zjVy&v&U87~a>hp?-6%~hDNQI%t$+K?dtuemZ*vRsy!XC6o4aEB+<V9BpPKEy{VMHt
zvGm>Cq&)88+mCbmwwvBFOI7PL&YZ*f*hegVk;UXAGZoG~DNt)O)||un(1#6lOPPW3
zPa|P{<CjLldd4@6CLfr|aPCRITAlIB*`3p7emI+wuNIlsl&Th<w#a<)o|zBM9y#T+
z>P*WipLb!qjV5avZ=CIU*JsI@mij!kz%-^*wPNFmvrkT*dF1SolRgj9KA8z`zseMT
zdy~}ktGm`rOWk_y>XS9keoeYR{l~r~-&L#Q4*eFg)xTNW`Of>(9+vaoMe#+?Rm;K-
ze-gSg{m#B4-&D7*=3HmCL+W8x>uSk$YCEMKXNAQ%{g#@0Jt4lkcI~<BP2wB(o_On8
zpkJT#T&Y~|Q02rOSD(c!`J%LJePX5V>FnzDANMYMs#L9asao)U_n&>w$^<W6jSHV0
z(_6gt+*O<K-!;CUx1POj5&ybo?V0TM^)vTP`!VZLcK7;=`@(+DdYip{{ljx?=CdbX
zkBHZ<<t^NH?&hU4Y*x`PbC&7PtnGZ`{c4ZOH`NXL3qRLSx<36(W#?<}CwrEBRb8VW
zS=;%_`_UejbKXJmpPs3%kdCZ5`O^E&o+DpWm+C9ldd{A{q4MOc={@^gzNlu!3H=hf
zFnvko$>-iS@t1xIo$K9`dn8x6ZF^?r$!EE>`WI_BXT_(S^gdlY>z((xJu077)8d+b
zPC6a`MwVH;P_o@yr@H>;o+W3zwc?$APC7Z=W1q?=)s#4<pOXyr1wT(R(65~1_Sjp-
z|MpzBDVHD2S@z82;$=7gWZSi0O)Qu1oM)CU)uW$T!}-`-EPm0CNk^tD?0fP-wM}2M
zhV!8}TfEW_Ap`xNpM>=FUw#tO)4%y?(t+s=`<}d4t<!&5-8pUghrKE9RqG?;nx3kL
zyCuu4{U});Ixq35WX1UxpLq1o|Jc-XH}y&++k3+|I*ZFC_nrPx+xFbpZvMn)k_!sw
zE&SDEa9*J1*aM?)J#Ch^o-Uecym+hTUuj*zQ-)Ig2Uq;yIgxrmulbx&oaTH-w@;Fj
zmp`4?_WYy7%;Otu+a4Qc^c!+Jf0rmeUcaKY^PcyoxTfzy+WIR$32Eu?tUftq`i;Fy
z-l+z~Ienj`q2Ksv($488_C7i3{V49y6V(F!%IePBUUlJ*c2BxD^-Uz_d#^7i`plxO
zXT8mwygYDTSY_1A%R2s-t>2#VD)T>Gbn9Mb%W~0qX%)8~W_BEw(D@SG`7SdeY*M;v
zMcAa>^^>f%H*OZXGxg58BW0>vwHL;oeB+f89+a-SQM)mgbMDkV>r&2n#e^%RtFF~f
zj6M0vD<oVfU9})=(ymG7+K#a&UwYkHbEHId>HZ(GZPIrP<~-qb&R1QscHR2P+L@b$
z%(NpnPr5j@gYWSvuPbX*N>mqUdv2a|erm<KCB>?9v~R}LcRusFu;$4bFC%y7*v^?#
z6V^Q`Qk|x)xp~s5sR8R!ic}|QcgAqeoa(SH<&4*nH7aMk<ia2Am~?Ea!Mc<})h=z%
z7|usteBntuCLNk8ur8%QwMm<C^CW%kkDDgxX+PXFNmrXQhVy~fzpzK!C+(Y>5y^Sq
z>s#2QRMm*EqEyu??UVJ<ocFxetXXo(YsH!;d8)x-N!usw%KYQYTp-nW`Gyg%-twJu
zzm-Yd$@KH<mVW!SL@GE;DOIXZdttQal&KDpCu3%0zFF&%r|KUjv|UJDyK#2gl*}u>
zhx1hPwF|9JzW1#0zf?WRR{f!E=e)^p=DB=Vsbu>t<t=dis_U_)6(>%p*H1WY7yIqw
zqs1Lt`;{Nq)dqiSwBejL`N_N|=RDucVfn7IU45gi=UdMVf1_%lo0G3tdcN^Y@OOHy
zvR-{=vC#F&CoDZ*dq((gsuH?7`G_UwoXJb(Jvr+c;9pcFWUg*#>-o~t!~fDVl^nlK
z&r}wx3)*(hn%pvv<%>$D-=|8U^OH;J=e2xRnXP`&hI7{BIhLN!JkQKw`K&TseWQ)%
z%*i41T0W^vR-b6&`NZ?Y9F|Wi$$m=DR1*C@RR|rQY%;Iqqe{1WppEAvPXYg;3ZcW3
zMdr19P-#{dwBejFxyG_{`s5Nz&gqkLEIl81GWdTg7urAh%UqY!o<ICHl~2-9KUg$L
zTfP3GwdXz04}M8cRYLuoo~o3q&nyz!J^9L9ms6fE{DhvW1o#=13+<e2W9fOvbIu%=
zcPjbnh0-VAd(^mJvYuqC{7|-Y-o!V3F6AnfZcXVbJC%1D3t1~4ls);@BgZ|+TIklq
zGkq>)Dy42h)<QQXUXVQb+QV<tr0EJ8Tnn_4*6)8-f9TbQHh&9Svq_f9g|eM<CT{6#
zDOFjm94PBKXW{`#&sQGzdRR(TmMa$;3tgT#rLUz#CD-jxno72tk(JQJi7O;MUwAmU
zPfAmnul!NQ^SOtG`zA}FvlACco}4)`rSHfY4+HliOQF*fr$~A}_0VuPvJ^Tw(WS5D
zjE91|QJPAUTfI=4O22ZUjOSwy3HMDFLPsa6^syAGv@09Rcs}&ta5u6LIykXG^5pc1
z6_TFQCl*MaoHmiAkEKARUiqW6=Y5YYJuUev)ygN0gmjd58VT*4_@tL5UnR^fC{-oI
zt;l?mrt(H<&$}K=dRp>S0^OKWRf?4-N}rrO@ksBHlO7M;KGmBEZSVh6cjUYBUiq7!
z1@HC0_<7=;dx`y|=gJj!lYURMmf!eU@J|1ox+C9|x5_X4d*Y3Iiha;?<&E-<e>vv%
z@2N{U=N@CP^jvwZeB$2|uiQiIg`O)H*iHI1(Oll~?}?Z0cWRD&QC`YF<3M}@v;2)0
zti~3czTMp1JdS}4r<9k-*JpkfG?S0~Jn>?GN1e-AcPD$MUlUE_fBxxs?rvp&=;y?<
z{TX#EXWh@$sC-sVvupY}@pOMg-I6ozTJ}yqC!Xy0s8ji*oMOlHbE2WV;OB`3@|Ax&
z9=l7~ANn!zXun3C%17l6dCNZ?58b)!oqkL_*w0bNa>jjM&5_gYyJ}iayKk$nQTd?U
zApi4s$9?x-c8i`W*T~=e?J=!C;pd5|{V!@&-YZwgZ~Qb-OMc;}iM#uKes<h-e`Tli
zRJlaH^OK;4{LJ4SciiXIsJv75vt#-`aeM!c+$ZJA`=npqJu$EML!Qfdw=(lZyC>R6
zAKX0gPVb$Y9dmmh<UJ`<-X^{BF2`H9H1m3;-4m^(JMVJ5aeI;Tq*S@s?9nbkOX<wJ
z9IxHN%opvNXd&IWdE%AcJvTXCx%rttN>g4Yt$CN@rQ5BXma}fxa#Bi^7fA<ho_L|R
zAy4J3o1OWiofFUX7UZQAE6<X4+&s}(`sAICnY{^lPl}YMNo#JNc&axbFQrI%l62=C
zj+wm<^?500+>Yd^oN<#gf3#!bv0j6`ltSe$Y0o<xkKFjolXgrz)GLseQlQ)<&A54@
zzVydU6ZNDYZknho&3T98f!jZ`N82av>&>{yao_Em*`!qE2(zM8<tpiuw>j>)t;tz(
z%56o?lRV{Mv!v}4clCPQ<hbj$D2L^g+cPtz?Gx3dJ8#!_Ozu69`y^L6Z~NbS&#I%}
z=T_%E-s^ilclY*}_oh8Jv)TUiUfFXq>+KKkl~vDvo4a-U#d~Jev)|;F=5_Do{bpvh
zeeXT9=VsS$Ke=<QO8Rx~>g_A<i9I*7*uM1Mw`XQoZu{jI7tel~yL9`+d)J<snQdRY
zGxv+x#oJfzh*e3y$j!{F|Gnqz=WXY1Tjbx~<NMjnWc%Emx}VLAw<q2!tDOBbH#Kkd
zp59N}PTp3@@7~jUCU?U2-krW@auf5a_niH>?bvOJ{OCQtAGaO3Es$@$=j?}Vhi-G^
zOYiahU}mtrcIVmCxv_bVi*8T5&6Ll)=k0s5{kMPRT`rQopIf~>btmuX-0-~1^}BW7
zo9(&1=1$mCGp+4+@0x+z!+Dd7r0?bi<~0}HzLQ(D-FBz$J2Um|2k(9>n|(WH|K^|P
zu36unce5h>vhnSAIlDGLoymJXXXoa-GtZvO*|GWVxistOw>eujpFO8$9X<DEQhM{;
z-g7zYH=mt(w$#jW^VW06tZu)`S+)7-Ox|<#IV(5soyl9e?efh#XVO3|#3h@z&g?y#
zlbt3#*SEyXbaU%DHmm4aH|^3t&ske+cJ8KG`sF#iXLHiiPR}`eCTGUx*mG%?(KF$_
zx0_1o%Er=9a+1@8jiYDWluIw3v$oLe*iEtY;5oX5W=C%Fr5n##TVQtRCR@7j9Nzjf
zIq_+qjiRUD+;L`G>b3(n8Pb2xKAXR7-_0#&j+x)SmlK(G*y#4un_tpS&*sfH)7~69
zv-ea^`DWjlXY;o0y1C?xn|bt<n+wjEna{qH<Db?&`|Qb_g3Wu+KKpj-efGZfU-xZ$
zZhG(fmp$8l&w7_#8F%<|)Vu8RxXquX-eqrJe{)}0{cowa*_+p&+!ywI>y7IP@rys-
zdYxSyS6u6RE_?0zjr+F!n)NEXFs}J?)T`|LIOp28Urf!{&)gUGOX_8IZd~%`TeGga
z#S7Q^p3PpkzH#5QXIsx-w~2pU(+g^yo5VYRzBTi@QM~bIsb|^K*GKLPd$#q|b&dGh
zHNKy=p16Ku58KcBTQja7*(3IB>+$O{@!2)KAGaR8E)wru!~1dT;p;r{+BLl&wjR9B
z63_hk*7WOp_FQ{vs<%G3Qul-Df$RU`K3A`OZ>qcg<KAuGqo!Tou&3;)>E7#~;tp4z
zJ(V3AxA@boyV=2Uo2%EpGu2#waj)1@Q;qd!_o{urHTn9ZxZ+Pzce3->*YAvd@?B}4
z_{+T~=5>FFb2;x?roX6qqK){$;)!><@9gZD+x;N!$v35K;w$%Zymd{}SE`<9CEmH0
z<BjW!m?vMAiuE2<30jJ0?&Wyx8m7OfYNCaBWAVf*-FtR&ymIx^fAmagnYiX&j+d^t
zVp`6+UW-ZjqO?dnuz2Ey?uIy(v#xga`j09np6f1%OZlucOWd(|qOthNJsmT<6XKqH
zQko{NSv>JncR*aqC#6Z^oqITDc00tSoN+x8qjJVoPXAHG#ADqCaVZ~_y2L&Aa6EG5
z(@(0Hc&J+-F6Dz#lQ?7XM1Ap(MHBVJ9~Mp273bW;@xb+;-lOt~`?@oBa@=?QrZ?%S
zQhkJ8(Nm==@sqnb?zygsS#rvCMa+|TO2K+b<r8;xd+g-6>$)h0<&^6)J*D!A>f)Wd
zJ0^D@h<)-_DR1@Pb<cK3z0ax+dmQU~K5O^tm+Pjbo7${?x~?qU)Oz*9b!EF}z0KOX
z`r<mX-Lu|gm4<c4@|Kxet=_xNEZy|_)hBDN?UH((Rlj=m%5`GtrWUK0uKSi|dgZEL
zc=6_0FSC}ep1AH>nyK09wUN0crWdbXStGVf>P1#&*zcILpe~?A`0W_qVpEgVb0c+&
zO^sJ4t}EL)>uFYM*y@<xqOB*ds)TpP^q$F@u(~(W_e@q|Sar<V!mY=yN`yzp_!e$G
za#bMQI_7M_)<gAIIl`r5d<#qsR@X+JJ)IRB_IT5+X;+!TnPcAOo9@5*E9~+nsry;g
zt5YL+PiKXPU5?hxH{Ek}&6==OQ?1o^*P5l8?!NjeZ1N_lyIFx@&6{rB$tqfH8>yRT
zs=oT*+Hc!t-FB%{e`G!JUgw*c9PeGe_@tC8?Gip|ENCNqa_)(_o%J_na=dfNQ%|y<
zc&qb*UrL$MCgGLF6K`}L@M}5e5~psIuCz{gqVdFQog4g8N|ja#cNz;?2z$;wF}rht
z-;)xhJhddNiI+Mj_$@i>a>FO(tc#nvl9iyTFy~y37cQ54o)jz16Mi{|<GG8O`XWm~
z6Jbl^iDx=z%;b3HqNko@Ink(Ic;y_9r!H#hN|qB(bWWJb@x<krkINaCLq1OmmHLD;
z=WslB5mR4eG4V*Jg5Q$@r8Z&BIUEmN*wmFQ1Pz3L8VTwPzcdom6TWFQ@jxeo-;;c$
zI^mbIJEnDh@J-2Aid1V#RSH*IWIl0E=L6p(r(9O~w48Ezr)HF@R3^M~cE^;?`U}1)
zr(72Jq~s|T30E3T+|g+<ljDxdJ+(*QCfslTVYlSFVzu0%-vYM$H)}iIIe)TYIqzH~
zU-VqDOs?togxl>m?3R2}+`>Pzw&RWSD;t$>iW~SBex7i>{Y+)YYv(66OTH?u;g78C
zc;)=ahUJ`dko>1-iYxdnYddDQ&#+taMR7?zf97WaGycfW6E3!Q*twi_c9LiMHNk}c
zXHCa*XDj(bKPQ}R&#+@T>wL~e<+Ea%T+`19r`sd!mYi|cl6U$!;bgmqoysT06gj4!
z6AbwUKTj~=udL~K>?|dJ=*NVk?HYC}9~C?JEo(X+I&;Z8{g`mDox_ghjPpL5Bd48r
z*|eN?uHR;(@<FkI|7Uf_edk|ti=Hah@ZYTVnAV<9d17k&3tN@<iWU4DKTXi$U-)Ul
z?slKbj=RpU<dmK&mhg9e642nES>18Rd7h2RJ4HV^rtcHBx9`w>Qm(j<`(^Bjd95Gx
zT+Ta{i7(nc!G`<b<_ULN??iUYZGE8kq)c%e_sUp~w@zvG;!3+GSaEm8a=dYRq4T6v
zu~_WUE&)sK%vg@sPGRDUc1^J0ZrnWKO6#6Tj#o~8;*Zi4mvL*xa=di9rPFfO>6%VT
ziQ*#ez|9jbv^MCeoOQAjf3$PLxz+-`lw!qM+>V<k7;~SD>6qD?p!cLmaT>Sg<_V`-
z1N2gg6en?a#&FDRt#{B%IpcIhN9Bx@ocN<16OOeS=%o}Yc5!>ga6EG26HnSP;ZUo9
zUP^&t6F1}L3Hsb0H%-vvez<9ZE;nZk#{;K-Vvn{@*w>m7$#LK5o7kjO#R##YRK+Up
zlhGXaoYv?pIpwrM=SiMouvpUe3A<W7B026lEz)5*<@8KUY5N3q?#}w?j>)YDbf4rZ
z=CS{@?Rf84#lPvf!XEaE#S`u}zmQWo@AyGR<-0;TU($1h9qc=8JKj2G@jF#dxY>L{
zZpk^vBz~r90W0=O+Y@t|cgVS%bBy9YR5jsh^9nhYbB+&WRK6<Y^EFjXxZJ$Mvg4(r
z7r#^01T*%;;t8hglC~W$9IwdKt9(&d!0uT*;e2z2+>*}<bJ%a%bUbssAoJvmqY;17
zGld!KJ8e3iI-ZhQ@=0L|`%IgTCyq+|PR|r3uuB#T7_xH~PdMIeA-CkCLJxbSO~)fg
zA^xU{35S~{<d%F;XknMM>3HCHK<3G5$2~GjPCM?9NjdGv#LrYQVSn=v*(L84qU!k$
zl~35)oMOo_tvSZB<DTOuzNT^kZT6K#0$S`ltxrs8z9GBhok9?wQ~3l9_Qs+KJDX3)
zJ~`?5i0{!8g#z|U>yFzFb?lF<C){g%BgygJ;R{bnxxy~ylg0uz%qL|}%x%0O$??u1
zk3GqH!mY*&d?{rLo0wM`Pq@)|fUo78LmYd(QM$r9=847=t~GApODR=Y#oTEuV8QGu
zdt!Fu0=_3D3VCcvRue8YPT*T|*5L+E%2@|Db|otTQ)W(Cju#G>c%Bq1%wv8j!|~j~
zjD3-%fC;mu@q{ytGbA~lIq0z`SxzuwUMa)z)Ip71$#TMp#tD)fPaKZ%xSVk~#Pg(3
zp^rJUUWVhbgBbfFiwQ>>75JVMD6}za%5Xe%U}IOZ5HMi=X(XV}{L)B3kNKw2gaeHX
zd{6Qf>X=_jcT8*iz?+h<5Xsh*su0e$$b7<{#s|DdPC2aNX*uQaj?E}lp^SN@bjOs&
z3%n|)92W4T<S7&}R~k*&(P$ybamV2v+oLju{p=5_>lya4Z+Om7!S8UM@r_)=JH{uL
z3^wc&su{}p4xD3rAa~#`;|)27w~QC$4!mJJA?Glcxx<#>2J?bqhV|?Q&lzI)9nLXs
zkz;txxJItw72^?01`GBHRSfxj3||@i_z#?AoFV7%l5v8Z!))e+VuozKgf9#({0?Us
zTjUsCFfOrdIA72F!KUFH^8=fPv&<K47);m;o-r8lA2`F9Aa~#?V}zW;Oy(6f45yf9
z*f5-A?yzAnVrQsiNak}m!`NckaGbforr{WKf=$Cw<^Y?9Bg_sq4TqTxY#I(RE7&v~
zWEQYtFkt`ilwpsI!*u2cMGVn=3Lh94_#eDy_`|p0J;N3mhWqu5Z)6>&F&`*m2<LNn
z&+v(l;XT6|8HcIN3yK)Z*$tjDyy08$j$w%m!(B!fO9l;ggK~x<_76`O9`PMG$#_8a
zz-`7Ie;<FB-}nE;-{bS@Km2n(Z(sI*;qQK%{|7$z->JXzvwd#;gMW{|$#46=;xGGK
z`?UXxzx%EJcl>34WB=mM<FEDd#s41u;<x;t@t6Izec1nnzxplyH+=5DQorXX`zw3D
z{|}$ZFZ-|Ym;I&vtv}6Y?XUew{vyBVf57Md3-t~El+W7R{eSqg|6F~+zvR#Iv;I4L
z?l=B_;!pd``h<UvKgmz~ukpG6RDHm|<WKUG{&)OgpIPtlFZqo9kw403?B)JH{Lx>3
ztlr>X@<;iu{~mwXAKCN$PyEq;s9xY-@(1~*{|uk|_5XkP)UWsd!KZ%R{~UkVAK3r<
z_walFzWR)x?Dy@z{hRnyKH^{DQ~9d@Cw{ZvvtRRP@hSTie;&V+5B`_<y?<A|$4~aV
z_KW^7pR#}UPw{)d`u~pK?UU;d{C)gZKJWLByY27m?W(?SOqbvD`@-h_yR|RgDWA9d
z@J6{@zWiHay8MpcJMOl>wafbMxV!&m?TL4b&)Fq?XWY$i^}FKk@j10S-Z`JMi~4?W
zSO3-874MYK**$opTq>Xct#McX<=P!L+h5vweRtf|Z}vN3bHC|tiM#DD?5?~~E|FjG
z+hcS8`Pzzii;Ly!=ls5Lr~R4Tg*T7S*cp9KOp~ASd&iyjr*@~_EH09t@_WXe_9u2q
z-yPHBC;XP!%y0OcV{`xUT8np!3*~!$N8D+DWGD2!aYz5*T8Vdy3*=jVOWbLHV0Yln
z<I{F~-Yh<Cx8qInX*;Ixj63@G*Zz3BIA1>M+rjPqduvl}vQMjxx!HcN-tN=4#_jyt
zzgKMH*ZRHV_VFpTH{LGJlMnjlxV>NFcf+RsowX<4K0ayp=-a~-`GVgSx7%;q*1dmN
z-G8t8%})0BwqNcff0x_!{X{Xp&G!>~kI${Xv6KCsZQlFD>i%2R7w#p0liT!tMREU)
z>I3(h&)LSkH+(L)?)!w|{%h46?j?Vft6%lKqnO{~yT{(+v#S@}d;CQ%?_FY5|E1~)
z_ZFYEy>Tb`tgYL7#VUT&?;LyCU)Wx{^Z2veyzejeus^pod%v)f-{iYRasQd>89UjZ
z+3LMdtn4@XzG4siQ(Lw7ik1B*sweDZe`0&=j`JDYLw6p3l<WJRv4{P!t=Rj875zu5
z74AL$P%qc^U1JaXLt8dbL&M<vk0O5k?=On@^}gRI>OWA;aPRSZxw`K!cDGNf{%|+>
zy<FtG#;0=O?-rK#@2P%p_wXs(Rd<?C*}i*c_*Aa!`-<J|Q>riARX%0A;7;;8xuWkC
zMg2RfEq1csvAy^1p;`a^${*(zm&;W@J7~>s`}xM)_IEb*pUyC!w<&sFm@ZfLtkJsv
zcIAz8i_7G;e4a74{f*75Gs<Oh8$K^E?!R7nW@h_qn<r-$m&&dA95J{3mCd6w%;#)^
zo_|b}Tk+XqZu{)Y8Rr(4$SwJtVa#v#Il{RAVr9oU=d(6W&l#=yO+Npa)BfDX>iI#-
z{<D=C=a|phoI9gjESFaQtkJUnbY;Z3#b<1^o;zChpRDvar(7hL@{G~4-|(}5algUm
ziaG6%ZKR$bwCF!tsc}xZP_E;%#hmtsHeAmgE&2~ua-3s6W3%tf;nOy|&NQF4*>*;`
zK(68QkJ;__ZGJslm?~HE`NnMbX_W~xk58?9aaK8BuHy3sqkgT=3yk`ASJwN?Y`<&s
z>X~AyT*>DSBYus~GiJBnv6**9IZw{-8KZgs_R1Z5AAgtK_x?rg@p<JR_Bo%oF1x?*
zcc0Ds1E2ful;5drpIiQ5-{Wtx+upCJWq)g(c3<&#pVj-0TJ|^AFZMkCDqDQ-;V(YR
z_ZhY9udT!GFZ|VK@xI}6-<9$`mF%yq{p#;Od?vf>y+$qjOY2*En$KEa+mrl7cG3HQ
z&wUrl8}=!mwYIze@Mqt-@`8QIpJivgclg|A{Qg8u`^@r$eUCrMPJ6HMx$jhYz`o>9
zvXkC-)UeMici5MF#`?$}<ulfD_aFY~J63M6FZrWv*L#l|_D9xy_Y;5g9V!>tm;6Dt
z={>{ezIy%lA3pWzy?^kjPxn1X4f_M@fA=1K@7q_NQOSPa`rEyUPh}(S6+V@%dVivt
z{hsxjJ&R9Suh{eWoow*E#P5B($~`LC?^-X~!+gs6**(SYed_N!s@o@*AK3f&t!&=w
zAF=K4t*WkXOqbpB`oiYEyQMGIDWA9cutvFDw)|RRy6leEJL+TG-&$o|cii1~v-HHe
z#pkS&t~2iDvwB?-dwfpmj&;uGtfH<T+|_rrbj3R5b5;-5D3{9SUu)dece!*&Wcy1i
zuj`Jx`pjM@Z0<9CEfL%P!s^Nz<r3KiuRS*RoiD9ex42k#&g&a7?a!<(ta*IK%IJDx
zn(U0%J7U_OTAf<6xJY)&>-rfn?N6+ft~;j5PIxV`na}Vw$L7A{r55WJ7s~d$j)-Z0
zWF>UHaYx_bQi*ko3uIefOT@H4usX2j@oB3)YZjlj+Oa12v=!5J#vOh8OMk3goG%-7
z?cnyly`?FU?9)nPBHQm-eY)1TolpDqicNf4uXjWrpHg~b?czMyplgoX`!rrR)NktB
zS$bma<C9j8u02eVEqGlK-G1A$?)<~*zI(-QEZN^%ewmZ}U1rzw6UBTs&rjGMpIdyx
zlKq`!-ucApzFWl?<|TiV+4Ouxao>&N1M`~CS;n0=d@i%@`Gn%WYsDMpC4ZG!^}M5)
z&*Hg<?eW>g3+6rkB9nJ6v8wM<@q~Gc&syG?Q=fd+((Sxr6`$#I4qNsYmY3!{{wy=^
z`3oEN=ay#Y7gq9_Jhv$BJ5xNvlKq*b-ucAJKBMO=Y}lV#s-0J?>^o6B!IJ%n<*_-=
zXDkoRdHhkP?|Fs|`(sP7^9w8bjub1*d;CGB?YV{x`$J2%^NJOG2G4&K@##N*QN*YB
z{6<mVfntXGd5_=A)IEP;-9D}O!`$TeGLh#RpUQ-vTUg$=r})9#!>24)&1pVm`R<(I
zQ<<{oE3Dh66knLDe9CgcoaA>hMb9gW`gRmsShC--ym#)QS>OG_AAO6<WvY)IwC1yY
zd_%VVoyDgf=JOUs#|zVC%8oT!_uVeM(YLrvX3OIlvh8myUiH)~m&t5+yui5cdf^$#
z_SY6qdKQ<;ta%(E+y2VpQ4jMui=g8l(_~gWwvcU~T{xp}af!^5#~H?aW{)F``z{uC
z^f{lka5~Ot)o1efhfMo(3#;P?E&I+EX7n+iwK&(KTr87ztkJUXbYVo_;xiUn#~m&E
zP8NFfDHqA49AmWXGkh#iZ`^0_xI(7=v4zy}gBE>93pM(b3uQVUTgbFOwBS1KXwi4D
zkfV?JjK#j5!>28F^)#Qh*w&+5Ak*;phjjaWi(khUrpnYjz9H>CtuR6I_|(D|y~_DA
z6^}O<^=Um`VAQv}&_}ZUuEnckim5Usk2{R`G#<~8ZogwOuSYpg#_t%Td41pZ!X34b
zzf14C|KjhldHEmeoX?w=?O*u2*XI6#&%Jl@@BD0=oByEh@i*yh_gDO7duyJyU-5UZ
z)%}jYY;Vk8)I9zwUA*t%FJ8<08GqScn}_XR_^a3Ae#7V9EBSkVvb{3*+yC&H^s@UJ
zf7xD|->PXoYksXJ`HS?T`vISOFXT7WDc7Ghx7+{lXYaZEg1Y3-(zEV6eC{>Af8tNu
z%>0D9$DgF9-Picsdn!MmF8P!6r28Fz*k<NC)Fq!WKT@N7#$0ay!ymoJ@(t>eKT3Dq
z_xQv1$eeF~;*Z`#`2uyxAEcY^GkosVzyIM=uipI!pL%ugbNpd@VE%94!|%QO@-u$2
z-8cWXuYTfF>4<%WPo=BwpZLvo&wNeI;#1};Y97Cn4&Imey?0l>$4|Dq=8I~WPnkd4
zr}({B{eH*qw#oSiY9GIq&b$5NZrgjas_h%orT5&vu(|hc?u$I-^JX7%l*^^dw<V@a
z@3_6=ZrfY4tnH4wdvE5R$Xk5QENMIAZeFX~6?c!#$=#9XTz}3iYWu-my;pNr<SCys
zdyu1CDxJTraaZr<+#NUDUYdDrcih!$b~|Bnujy@xyKOJbuH-0}NH4hUvAOqrZbjbW
zV(B@zZ`^5nW_BUx@fkCt?TKm9Gj8v=)ArQtRL<fe=_$8o+-ZAarnKELO?tv@iOsx*
zw>dWV9?!MNTU;pJb35WrecK~5q3w-3dJpGH<Sj0cZn-USr|p5+ft<&u&GzIhK5e!m
zC;7A)({{!kz58>2<Sx#aj@ou`d+*-dl$&hRa$|0`-81{Nt#LcA_U#p$c(rctxP5F&
z?v32VdD21K9Jlvs+-}&^yEFGh?&FhYkG4HbkuJDhal7rdY2EsV)xGz!-|S?oe{cFF
zCi%P6uInd?d2Ozr*n4bl_Klrv?@aU7Csy~~%Dxbn{7q`p^%cdvH?j}JHJ>w$TW|PW
zYTfk-#l6?EH^e1>m0ESZqnOv?y2svQv$Ge(J^mt<w=S`&_fqzRxW#8pZ^R^@HFaCB
zSjB64ontTC3)4$6k3UPzyZ&Mi+jCR1^$RQOc}=cc6!)IVp0ShdnW^6T#L8Zy>nrxK
zJvCKZuUOf8B74G4wkM{?Vw}&I9*TMVQL68H#vZoEref<CR`edpR)~B2L8|S##vZnZ
zrflmKD|ijA|0v?szy6|#SMU0bqTU193~`U&OVwR}vAb<r_J`Qy_fnDT8lOsquUlB&
zyC?fW?BV)TrmJF_Pno`3XZTdA?D~q`ZBw!@#44XMT@aJ}PO9j7MN#jLY>S<2cTDfC
zduY~sKl6v*;&Q3#We2T!Z7<)L+xE`nlMnNGlcME?=~89O8m)V8XWsBzTqd>U@{GA{
zZ%khKD3?iXxV*r)_j=};nQgC4p7<;-m0EK-Vs6_jlSe+x=ju&@mVZo>T5;K8Zrkk4
z8GegPq?TOHFy=M89AVshF|)(Z`K*c4az?9OlgmHmv^_VmT7J;7_iSc{AM;t0b3V$&
zQfbQ?EqhOAM))m0W1_X((X#hsriY($kyOeuM$2Bq%L2x|2A3=5v^_SFT7J-?_h_bu
zpK_s8$7PE-Z4XVjmOIv4^d8LQ@MAt>vd`!6X_H+(&8JPa`6w4iHC+BNyY0TouVo8U
zrD`tUnC&(#GhybjshKZ)mGh-4E^jdE)w;aEsCRd!&&;;FCa;z$rb?Au?l9ujxIANa
z+Z~g6KFWDge#;omd$(uqnES1I*89x8%imh|emAjQ{&wE7>Zo~_Kg^j{eXD-%<u`NM
zs&Bo`+_wDcJh$pwZ!$M6ziO%b&E&@A7jwQ<-I{Yb#(#2g)a%SO%h%3ZRuwhp@`E|k
zs&37`9N=$ld-iPRvgK3fxm88YzI<cOwr5)|UUu;hE{=MUxnTKH%eS9R&R@3je{7Tc
z+2riy3v<ezZ8>xK%$&B$TTe5mEuU(+_LIq}`patmlWlZAnHVj1oM-mT#Bh15<=c-Y
z$1h9yC)?zHG&y=%$luxK?FW;?m%03vZE`=D9K3vB&bOx~`pZi};U4YBZNvLv%l^xM
z{9ar8p3baU{&w!PrzSefV=aB(Z`pJCgWu+&sHvA%%qc6sHRbXfztz^hr!q^HyIS&|
z$}C=fa&G;%CtG%0o->E7{MPNvyv2X}o>@n|&!~2LEbDtdWB1~hebdrSY!*N5D@!-A
zUi`4H%zD<_jIE0=_L*7FdXrJ=)-B6hW@5E?Z=YGZ$@Pm*dahYXz0O#@cx9hhx{1Z&
zrG4MhOs-t?b1ycY^)h4W;)#9N(oD=2ua(R#F}Zm0N{^V8RQ-#LOt;@MXN$L-yJ+El
zTgJE8#ANYYN!?--<Hd=6WtOv^W~92Umgz0pa`K{zd$&yQnT!dGdnJ9(WF)#(%bYFT
za_pjnd$f#i;g%y81>CJ=&K7JrbdkedTE@4)#9(o)<k{01v2KrzZcV$$<jySfHs56b
z#b0ihjil~pR4-1I<UL)V5$<+bS~uTh&&4%8VW}osi|_WDrJC%%_{wdvk<{IcK(}V2
zTX!;w7TZed=9#E3KG^%sY}Re#y7>=(_uNZ=Q_1$;_=`>QcgbDnPkiRFIe((|*xd9R
zm2B^f^X4c1?zxqI!7llm<fij0KKI;6KVa8<&Nyzq;d9A#=O=vbxt6}cF1h}z<f`)>
zpLs0Kd(<AAoxZ^C@fXRwd5OPzE~QVfTYT2|hE4KWW4HN=zj#c~bJViEFur8-__O4^
z^Dk=Ho*SFZU-*;9<h;e_o-^q)D%qYH>&;L6*<*BmMGf0iW3~B;KYLE3PpD*jVtmZT
z`Hb-)o5vp|`_5<7ust>wo4@c!&yjQmyZXl;B-_qw)UZ7?W}C10gU8_f576SX7oT|a
z&foadb0D3;?(uucy7Mop+oq*|uuXn189A@<sbu)Ph2MMjq(87de9Cy0P4g+^ck>LN
zN|v2pQQbBr{erFXDdPn;$?qhK&R2Zu*^zEh$#%#1-n@s~dhVzG&|6$CSv~FGZXVmy
zH)7lB-x+<<VLoqEG`%ogvTR!8?w;GJH}n>lNp3kkBew00(JLM0GRY067i{jio_Z#-
z?X}SpoyDb+YfeYRw!JcXq{Dp9C}{e}G|3gGEn?edr_Rt@Tq3#TbjD^Lv(piqdoHGS
z=sBM?a+=P#tH<Q@kC?XSMpn}g?(8|6nxV&h*65s$a&f(6+O)=<J*QJ6^cJ5n(wgqL
zv*%>0hn{kgWXd$gojr!91vd8>oUVvzdu${%{oszCqp2Et%7v00r!8XI9vX2?ciho)
zFqK1(`Hay%ox`V%cIh;qHrl46Tp-zS`bTuzeWPE~7N$zpoW2q5HZ3(F^4QeW7rM&%
zk`<>nZ0gZEy<k&M{q9ts$hNyiucj%cN|v1N*u<l8dPa2H9iw?V%6XE0(-^n+Y){=G
z`}n)WzT+=!kIhT|Am@DEu&jS!bx(Wmip4?SH1u35Iy{_26uSgc+R}0+l{gD|7zjwP
zwY7(;JuhMxc5sYdV(2MwR70S7is2~<=N?5RDCnHT{8^$t#J763rMT|-y7zNSLvMUx
z68e7N!VR-@aecA*8+0!wJic*jf`;Fvgai$>Yu7BF+}(I<o%1rzqg(zd@_0R59vf4r
z-Rp46XlMVn`u%#}9+m2~wInyHI)2#Py3l6t)+1YPr}Xqp*}QP?zF(?8KX&byv$l0I
z|D(t|Z#TWWbVs?8D{pIkw8gg5H>XLRs-D!bc2c179Nz`qPt$%YTYBisGMoK?<=jHa
zZP(P&ob@Z#8gV3^zP9tT`?Orar}9t3w*6<ePT!qVRIj;jW3*t<-f&&HT~`)d>g~&Q
z`>;1|p@Ds)PkR^d+>Upf#clM;^>#;|{}Xp)-3GnRvzGkQncI2P)QsxcSJ$_ihHiOq
zIPBl{Gl|0g--t?xZ9A2eURLK4`ipB3cbf7I39TzuF6=p+?0?>T<eaUXd~)A6!J96o
zFU(qGeFW+?I_f80zdp@-6-y0oFQ2^j`l(8KkJonI`BeDVx%-;e3fD-9-X$HYEYr7k
zTg<&yk}~bVhD=}8maB&rF4&sW`ZTGezW4g-9lREg53ki;x;nL!tzWR<;`Ikh8Q6JO
zZ{PF%YsYn^Pss+x9ig>yF~2|Q&-lfd_@t`r`0eGNTFrlCIda5$CQM9Jl3l$na%Yty
zkM{wS>?6Bl`Vab^-|&5H1Lt<#xfv4<I&C>6boZ=7^Ow!P65jnb@-g<9byf6Wn5Bky
zV^>an#?q9Gz{Tr0pZ(sq!*s)qUvau-wtd}q4R;@X*ZL)d;X&w~I-gHlD$YF?WVE?Z
ze_~_iy;G%=zq;7o-zwnybE;74-M-*cMNb#~oORNr{*qVe>N_$FyrLWrOFsAt{762l
zwpNuRzU1Mt@8NDKz5(7(Rrz;D`)-{YD7;`|s@^IA^W^#z)=i7H8swPp7H~gv3uw*W
zHA(Zb-i$+&Z2W4UhH)y#><io=d57yU%UfaXER(3Npw5;hn)i1`PpY^j5a!JqyDr`*
zy+1jo?M2X$DN7bs^c;wCoVRqV+N>=PrJ8;}h}Jx}>eeYkk@_c{mz1XFp7<#gw24F8
z!|WE<@3!0pD%DJ0^<FZm9Zd??9i3}-tbGu_c7b8&#|mGIR|>0sKae%*dphe{0B7_c
zKAjJVyVqLnSP<?J5umm1y5t(K3q>`zPu<+>zVg(jAJOGjFIP?t_udw@aLP4yZ6V8$
zxK^{@tOvu^F&<&Iyt(DWvAb4j%bDa?g}Giy^gNtX)Z*TA<bPfAYlS=YjW<gx#Fw4e
zB>$!8%2|d7jdu?JYVz+>X0xx@a`VK*TN9iuXW1T0o@uqIVZHYJl>8SfmHl;&|EyTj
z_F&VK1#C~Hy4qVF^I1l{)=(*HVVAO8*1FxQ!}QjhA8h;kuKhhE9kW8&a)pSxo!W{;
zTS_0WGJTzxc7vgO%1*`O2CoH<9d@s8t+d>cyVm*sgQ<rn@h;0!%e20BZpPZ8^kdiB
zQhlafU&y{Ey(v-1CSdR5u%nM6q@27Wyc2^JOp8KQMJkeH3hsz+*`#SQV^ZStPfTsA
z88Ro`ntxx1fxCg>Mfm4eOP@F%z3|xS;P(l!({h)*Um2mN<GV4ZwRD}L#x$9m?&tS?
z*RKB_xyh>FDu3d~_jA`iobiEs-%?KP1)HVsMg3v<U#Dc;E~#p4?-V*EnKM*Y)W2xu
z(X7>s+P&Jbvx|%Nxc$~$`Rk9)svCahZ>BA6amsaGHLptl+#}|@T+&}|AGmpa{guEa
zNrJogiJh6c|I_A6DHC_TNH)l;SKb}wedd5tH`n!>?)ALMPq#2cK22q=yAqdr(<xa+
zkZF(bQvQo`br=3{xYexwOYpXX$eUZv+k58J==_y+?{D;6=TQ4z^5E{Tv89^-o)rmC
zD&}1Jp6N(i!!Z|+g;(cuo>1yx$y&tS)%f6w!gCSLO-3~?IsE^(9QvA@EWiBlREvF&
z7JTvPXj}0|N26p}eMZ}fqYvwwFWhN=qc*MY;t|1X%3M-=j&w9wn{}_$pY&$8b8177
zu&T)%vyDA<8!bL)ww1Ko1b+~`!6KKrSNYU}O%GPKcyHOw-0{=&TC&x&%hsFTGI0F8
z(^>K_?Z`rhD;i8qGpv4PB-?I2JAYsKG|xMK+qxK3FW7rNv1Y8PT-aJK%J0h<^iwdt
z*RD$B`D=z}HL~nh@$UYqwz|I@tbX&e?tY#A|C3MinXZoeYHwciyncJ^O?}(u@{s?Q
zF?TCADcJCetb6l4MveRZn`6dzZadve=PS;exG(aM;<vt6`uzFjk1nXQK3-U_wa!v+
z{SBKd8iKcWtM%z9D_AdlxT62p|N2J{H|n`voSS3(c1>xn!@-7IF*mN2DXIhrtJ@jm
zUaPzi?EC6a<YEB@TL!<7fQ8BDeo3~p24|TEX1~r2sN{O1c5c=V?&WK8v#!UTTaY(<
zQuyqZYu}!#y!HOd4L_e3SEk;Wb!oTy$H^zO{LM`R6)#M@CEBl*{iVOaa1-AVwViD{
z>I*H>H_i5Db()iPrnP2~Pp4;%Ue2kvaX*ryI;R-@pXMmDhm~i;+Jy#Njx69?sOoOc
z+v&aT@l-Re!k3#?eDW8U>FViGJ;hR?;5xxZmFK|nuLT<eEDX6UiWK<vyzDDqtXcj#
zZ(Fqao6^^5dn#Xd6mIY9Eqi^1DR_73WzmFa_SE{bYbP=`Y%CScT3P$NIABX~1B;Eh
zqLf$1twp-7Qy+EK9ARr@5SBNto|&ce%4N1!K+*?x*I6qxEi;rqwX2AoQTdm`A@Fhe
z>xE2GwIOPXA*q5(jJ|K(GWEdxf>}=@d_`SPN&8Q7WB;y~v-X+sLmei||6Q`?Idb{Q
zJj$U7LL1rZyBWPN@JR6<QN0w%G+#<iDa@MbW8zfyuMckU=&-LzoblTGQ*pP^)0n*;
z3jBN<*n`+>4yR0;<+yO>A|D6WUdLdeBL<1#kMAvaI(3a>4TE!o?k9mbizEIri*A&?
z)hw82SavtPWwMy_#EdY%FTW0RKXBnS3fywlDe%kP?nMlpi|W@j3%f>V+|^ihN~0=#
zzlN8`Aq`8`Q{I=hX-kF`Yk0)<ZJV3+!b~}KWkprkZH?Sgmp_j<9!*~ox_#q~^PUs-
z%dEBXT6~+QB=+O0PCuzf*B`__4KVc7j%_TPP|Pk;yydFuy{)r0W_ucbpQxm}+j{$L
z4}L|R%&V!lR<T(}z1EstfAvkq@pZ2(Hg!jFMI2&Ux~_`%$Qy&@&v(4ySZe3JK#nai
zR+M$AT~grsf|bpO?wM<tY*oGdeoFu4)2^G2i>#}99@H;wz4PS0<hvGcT$9yZUw3br
zymFm(xSZc~<L&F-v%Flll$}NI)!Lx{b(gujuA9HSIj!$&`mdyy2c%YC>3r>6Z{aUm
z`_#>ScFFvYH|~A(u6@L~`@@8LzE<)swbsp#r><VwE@8dq*PoZKniM4dKeb_hwL)%{
zckQNa>9)34)@_$my|yP{uiNSs_by3iu3Ri>a<XP;%kCou>0A&0?r>Ra)b{Gjt<?ds
z=Yr02E^{(;wrt#bQFY?f)4qF59ZRoytTew~ziM*HCDTP!CO^DVtX5@<aZIz~*Ro%0
z%8@GHu#3gA{9=OxU%;!z4f?E2b0$xT_g!_)v`Ha`>xvPp`O66A+AoiMpFA|uR51T0
z{8#Ye-^b18+mC$bslMXJ^uzy=Ps6{p+WZwa*!s+y-?KaqdAr6dY5nf?_Z*kM_v=W~
z&!0M(tFQjDvi)-Hi+=r9ZaH&)p1S#ZZ&mI6j2|M*E{$ipy(=y~FZ^*u*k@Jgn>h@Z
z`Gl7}tG&D@_Vjdh+oZbLGW(8YZl3gh2J`>L&&!^jI3>`sEAQpmEpe`Mi&uub+FCHw
zM=kfCuQu20-8FZ|(mbbCT!H4&S6>F{zb`y#r0^={N8ZO574^kOa(Gt#@0{*_<z;-T
z(^sx_v!{H&Y#JHZU#pl?V9Honb^H8wEv0EMuiD!-N=@1<wVeHP@vce5uM4G(YoBfP
z)VGLzE?k#${^#Z8w&9}v7IJ+1o-caAdFk-}Opgy<1w|sUe7-?ymzLks483UFF!#hy
zp{>?WCv980`L{x4^14{h`XqTykJjJzhiw;qk|~~*@R{@3jup}QIqkN;E3Q5M`SM@!
z*P^qBzg$b7x@%LK)T;QjtI2iU!uGY6H@csFdEz6#eSY4fFH$S`zFc!}UKgZ)z<;?$
zso<*Pq01-REnQ(fac+?Qu}X_`Ukr70ODq1AtX=VE%FA%WrSlZ$mRz-5Wo#H&FB_-2
z)9EhnglScZArnL2S2C|s7Ajr%cJl7h#6<7CtM}$j%33d@S9<SoiEWnmzIEqczTK`j
zbH(u~R;ezvN$36Ax14_IUfBQP>+VZ0zRhO8dX7Eh{xsIr_xitfdcQNbk<=~yCt1DM
z@cHDpz*k30ZGYUH{blxNov*sDm^Rn1k`suiXEbGOEMM8I5_o^@l}6i;R~ZMEIIZMX
zeD(7C!i*!qLhmp94{OaXeqfTY^1IrrnyJ5d9x*U>2w%5a`mg`_Q%~!zubONVZtf90
z@%oE>?SYq`cfY+fI`>le!g9`gp%cO~zTBB__s24J%iMOay&9h?cTQ!t=&6{UH^HH6
z>AanDPZ;^v-#Di@Nnq9!!3^20xtAvxTn$(j(Xb)XGO@x{yyM7Kt;!!8bFYLecbS_-
zJ#pLqym-B@!1I%dc8ikdUUXi|D%yXMXS!`i*7Zq3MOlA!J#HOob#gecv^RHm)8e9#
z$J5$u?mylsA+xFM0ng9(#XT#nBlf!1@a>lAp0icAbIR$DxBk>~)wAC8oBQbK`&T*p
zgg?#jUcX>@BJ-5Zol!p*Ih^R4V92d8dn!}#aSbh3GrRhNJI}tPr^y{lu0Eafe2vr6
zL%xdN)H}L!o*uDQ`W9^w6V|h#tN-(<?5nE;US{2B*rxP4duHQG+3)txZA>kMbtY_l
zzw2iD*WcH+7;F7v(NLC~VphK-W}XhCPg46w-t=nAr*?CEx3y$Nhn$KrHqGwIkK4Gw
zrBk3%?(m$WhfhD?IKb$nz4z%GOHZxpE5iD3pKI+tB;&tn{)A1o{~JPXo<DED?P}1e
zz@6^>iA!djtk|j|^Kk8{+RSScYUEGHJvW=ebGt3Eu>4@VdGfpO7dmDqUNE_4RbThm
zBb?!xv5(}u!i_JFyuWlLNJqx@+wG_seygu}T{=3s^wZ@K%iR^Gb8V!gjwpnrygikq
z+^EQ#a;EiG$iBiuZ*{IDR@cuK(lyPP#;o3Cbv}K%OZdc4tHNKMS%()SOrLQ~GXH{n
z!a>Oc1<#u<M(kVvRZg$P;hDjE{Y|VH`fg11C%nU#a4hP4Gna>Z)wTnMF><Fq-JaS%
z^@*`y^M;7tu)|uc0k@Jew96yrz6e>YyV>h#TD`&jt6xR3=bbC?yc3oncxKwUqb1Jz
z|CY|2x<T^AlYfR5hMEiKZoHpp<@Nj6l(Rf*dh33QFZ;CMb)bg->UnanlO2BTvlrL7
z*?M(S_`kgRo+}QD(e-cmM0_4(rF@Nex#q}v;U%l8BIPHa?Y~wdD$8ZgRqyHVBxa}M
zIxFIsOLct2v6v@E_k~0(-_mGv^3>X1E2-+sC3Dwg+E}^!@&`t^`W^YO<j@_?O3}?P
zm1P1yZOxLj(KIVb^;2D<Ceo=sJJP?eSA88zRfn0u?(6xD^<G==2*jNBd!(WH@YHoX
zQ~9;!)24jMe>7>I!IIS>$vo-LS4~b4;&!&tFu&8VHBIQHgt*Ur*R4}e-a5N+MP6o@
z$zKzXN@KzK+wO~pSZ#GJkzaGYR($E>18RLQx2(|+_WUWBdqpfeY1T>&qiIJkuPY0@
z9JEklVb)CBOqC<c{6#<3KWuKXH`^RGC$wT|f#NcWXWfh;zuP99Fi3K`uq97rilCj;
z3~sd*+GdBc7%g>myQJ2xSzmEG@%)Q|q$di+p<Q;Rj`RKsZ|ShRT%dEQMQHkzSucLq
zd(32?m}9czh1HqGb7ZdfFDmx?r#_Q0d0l(Nqm2)&Po@a1TJ-(Ww9i7(m+b3jnF#Ty
zFTU+zvBfR&0KZ~zps8rVqU1)Wg}I3adQq8*f+{9YR?o{+HP?F@t+YHWL;2?SN}~%8
z-#qQ<>b)_e=ODA8#O~bMmkKkFZ((rbSMEA=msKdBrzh_e!;_mXeD393PO{uHT}-9x
z_%FXxU3kRo&a|1o%_E8u9v?iMV|+=do;zbnkH3iHVgu>2=s2Z7$s_aH&TL5NY_prc
zt(!smM~b)Ci4E=l6Sn*@VeNgN5*C%}@yXNYRf|B{KBG;G+%4*)l2j+zwkhwtF8CpF
zu94V6gS>!=&IeDkuy|Bx-eA(29C|4ClHkz=m)_bi9@S5EbYF9M$(3o@N*&RWhphVE
zIn*x=;89LuNp)EpC3xXcm*#{cBCHy{0{a&5MkpjNRE=UR-S={$uD4l2&kDPi3BIqx
z|ER=gxtp?<ekeZM)@QQ)P4Ko1JyoUFa9P=<u`AMc&EhdoHOw?{iNAK|=*ys2{u0GT
z>-9gVA1m-KoXSwK=E}l|NfphU(z}g*q^)4!Jl^5yktTAJCtmQKEk|IFe^A+sna%e^
zW<9qF)(ADMy3x6yr1YxN(M5p=&Zw+1P%oLd#x9B_z?+#xgaHJYT52NCYFq!bVPRmf
znSS9tqmtm)9*=a5t@?ixxY?&FGO$@5oX-4#QMZ0|Wm(MRy5@NuUw&oJ&%GU;7h`=i
z_jfUOZ8zg~hAj+B8D>=%+e>w3>FH+_Pny4Oy7~3Rzxyg;6xZLisPdNGnkut4Q)cPP
zzH?#j{?`JFoo0v?AMgBC8F(*E#!PZ$+N=yy8*6X%x0`&<%>6z2=gyf|bMluTVyM*p
z$)e0!q0CWN|CH7BPRov*>)M_lQ{(-nKhpkqhqGt#CRUqIx6T+&clmj7#k%8DGzu*x
z=DdtB=ne4ceaV<(({SCiJ=r0N-(O+X>QxN0m%ll3oXu&g$HAqk*BKub{76V_KFaDi
zwPxAtS3z+X-kBvSubg_pCvETKhDxVTmzO?C*!8cg!aaZS;%(RJFW!2sp<7nMW?i+b
zZ`Q(uU0Lt0PPpEb_pGF}a)O_S`Ss-W_o5%!#Wc?O{W12$r%j9a!V{j~dt6qN8JQ@#
z&f$`4>zt>ls+$Y;?UWPf_1<OPudn;9%q}l2a@_*26KQH(p~+Hb)B?{Q7M)ROc&c67
z;E~rK^(w>vE_Gu28U9yRh}7$+&0~oB%r=Mp!S`u%#hI@=n7-Y%IoZDQaq*h35B!$L
zEYA9@xY_Q#@z>+wGHZXIy!F`s`nt-muaq~{?OlFx_w=N@Rh_Ft?>~GeYx?T8x<zdf
zgTz_uZQG8_Q;Tg{#4VGe%WAjvc;o`J%9|J0&5pQzaFN^3wSOOkobNN1E@QfuWKh4%
z@OZ@Ss%K8^JW^|VEiyejoFj}rb9g7s+o*E$`y5_5i-Zl~k2cNW3JnuYkUDc{OGMlR
zT_^dPtoyc6<vZnmZE5LtIQu|!<@S)+s)m5a_jK}0cYW{v)#3h7LVx*(Eg$D@3YGKv
zf9S=7Wd6KOe8GQB|LiOZUwQqyo>aw+=pPqf)f-N(7qH-1?zr#Z^@(-~SM>HbeCV5Y
z-s9~9li>M(-1eL)5eaO+(-fLDVf87!yX&k<1;ZBWEx5Y;xzpU=%NIG%bIh8!+-TMl
zm59xLMHf|{-*_=`w%V=oepmJ%ZsvLo`&Kj>D9zLm>R_3u;<@kwBZKmyhc<!*s+CHa
zsn0_v&7T%rZ||Ng!uWYkMQ-4x@6K*D-(-cHw^v@N5t!`!){dpN<I;_k6Cui;2hTj1
zA+gEz1k>`bqM<*gf47Vcn0=JZEaS~?#cw)1oy8e5KLor@S+;r8<wHEeJDO+eEI41m
z`S{3$^zh^bmgyb^hKD9Lh#XSwX;xYDsbrFo&(xFMPu?v*6#TtD_~FXmD-T~3Zf#lp
zDf8#-mA@zF&P_IdboZs#?n}JvUVqnIz2<srreN0rZcE{Ys2>fEMWPH_Ezh{KP1t{N
z@%rs==VqSXS0S^|u<QZ%rc)XoEu4-@TkB88T}+J6IPkc`Z?En}(fz+ZaQvC|qAR|(
zf~C&4<mh@k8?J~SjgzBRG|pG%DdgkNEi|qBX%8zsI2b^s$5O#p3m5|#8Cu%drYk0}
zh)s|E!pKz*Dnxqrvd@rlFI%czwaK%9&*4IGn#HF$QMJvhRMsvjJFw-319R^&ftc&N
zFBOG<E=wsh@z4KyMsLsed)4oGAId)5yIp0^_j|v^CteMmI<ZuF)BgOnsfGV0Pc&CD
zR?1Hi-*igKB*)@)#p00J^Z!43{{Mr$z5efC@pb>U$JfXGs-OS=+xvb04xjh_|8f4m
zuhZ@S=huH<eZI2k<oWLUkKN~+AKBOcJH7t@&)4z)PGA53w7>qxr}cktt=}8_#qFp5
zzJI^h|9=?1qGt1pt4ZrUh4-v<*?VzKsq~+B(XQ)7tEaBbX4w1m^;-Fi<d@-`8_c`n
zG{dZGLPEY&6&{;^?RVJLbr<VzYwmS_9riT!hwfJQ&UL?dTfep)+rPNLGvMp)D(w}!
z8qHp<5?=L6XzStjRj(%X*suJ)cJ;06`>y%UdZhnl-9f{;V9%w$B-U%szjtBZ{qP+o
z7RRisv@MR^UUllyqgSuit4RG@@Z#;6wA_u`{u_kk1&8+be|{=-wq8Ul`=95&m{mXP
z`<+wI+Mk_%+T_1Y$hAMlvYYeX%{S4gTD>J^yYuaT3gN#ZGd1G^V*^*+`r6oMeObr<
zdhkzI*Uw>3r;47fZ>wKCQSU!b{gUYT>)xN{E;9dGcEP@Wg|)(sU%at@!$r?(XU#Ix
zKkU78lHHBfT8oVCcCTMq^`&jy^Y2&Ir8?@1y<A(rK>YqCH`!nEt3RB&!uNi)#3`e?
z#zp0)v}T#CT(LGoPG;lEb!+rA)~)8a5+7b|;>jj^-{J<(uOGHYXD|5@w)lHjUBJR~
zMUk$nA5A*-DM~gs(j{27VSm`!H5u!U-1_wF$La6gQeh_RHP`2f-CMiI;i`4rLcUPJ
zmP;<Vp{&uOT0-@rD_8N>FO9mkXa|q#doj_K=X2b{R`F#AMn;~??mk`DW`A|*t4}`s
zkJML%M{QZR#%1NS{cH4oZ`x_6S-(zeZC>bN^Z!1LVOMu4$zDIbb5h=id~=<w1<7mH
z{)((DEp?5w><W#Ux%l4~c30O@<*i50|7W}%SGD%VQkHw6|5G!5RMlTReUxYYYyLj-
zuk8n-e>pGmsIRDq<_}%3TIVfZyJxX|2><KAWmoP89GztLBP2d^)eqs?yD55?S?mJV
zuGeO9R*An7{-OWMyroYoJl{uNl(}$J|HCG|u)0S6uSX+(x=t2qt&0v{wLR4S(f&03
zh_?GD^NRlD9TSSV_QUq-gPeui>RGbmPhDC1&F{hfr`sg|>1{vz@$;Q+2mVjIJ?+Q)
zow@w`FFaoGi97VEyVVV|A1?JZt>;6+r?ED#^(j5r|MhB2Ojy|51Mkz#CI2bxKKZfz
zrf;MDsXVVgg=Loy&rdP0RcYF|=$EtqjMP_3Pk(JzVic?~^2lA0!1-tP8MedmDP|V`
z>Wwxleh8n*+xh5BTl=Jhd_MgjA|IOlJ5xTqR1~fG<-tDR^H1i7nTO`H&d>Z)*}TYn
z^I;L`FPb{5y&k-8_HV1d(7rOr@NfJ~-nRcUZ$9{O{Y=|||8s9n_)%{pE%)z!LVjO8
zj~(kj%^y>K$RAwZ9?v%4?w{okpGV;b)4Beqf0%i!e*cTERj2nI`oFwY|8(H0bAFN1
zHEZl<seF9>OL^(@U*TUq-28OuwX1$;!s@QSsaNN|j&r(rd*Mw+Y0>&f(|3E_TzPvQ
z_WSCuWDYf1uj}^sjGL!HV`$jax`k}F{|8+SOWb=e`sf{p`X3d}u1nU(mj32m)_PWd
zrL0l1OzJ9a<Jqg~7nxS&Y&(*DYCW6nsqU`0;Q3rNtF?1Nd&93jjR;=%yqRUzlWmf-
zR=wVzXWc0FlgasXP2*y#c!7+m`W{!FaLYwK=eDbxrmylbb>9T1*)@v2>x(WH{4rs#
zVES(spYWsnC)4r$=fzq6Iqy^WDF2z2f9ubt{{K_-RX)!D+$!=<Exz94aenbdj*uq}
z9uM|E;pT~d9wSsg_x86Bu6eiJQ}e7l({{cUne}|z<rS+|o6Jc3SKb`cxbUs_d$GM!
zbyY9u#-zNE(<}PIA<kOW)-AO6kSqV*!>;nRhmNZJYU|eg!YzLJ#s45Xx4tsP73Z!u
z6iiG^*m1&8BSu6*M$e0fQ{0xPo>#n<hg*EIgq+?o1Em<B<P;6J#&3!%&OKUDFfp-Y
z#|cBV7!e6+J+B^aaoZk#@me1a@yRnJ^p+WD#`q)`X}ERrDQXDM5YjX9;T5-B*4oW<
z`LJuJ<ikbnGaoMMk9?RUwE6H+k!LO4nP+&9zF3&dQN?+NTU_#4d$$btA=k$I`a`ar
ztq&KqyFOghfAnFJkoTdZBGcNsGj+I+zE~X0@rzT3UtBV*rCUb(uxn%J!da7E$Y?FS
zpc>+}__g=@6^v^>356cYGF`quC^&ZEBxTdDuiiW<HeC|^_fL5I^*^ueYh{;gJ2>m1
z=-h9iQ&)7q3RRw39eMWoS}kp_&`%5VRc^}G&#wQg{iQzA_vO(KrMHfJnDy_$%o^_p
zynhvqGpDz256Oz#Ua{(`qiN{WS4Fv}6WsW(1#Nw`>{I)#cdK0@Gp!b`3oVye$E~%T
zuWU^~XINUGsL1KiFOI9`T(REf;{Ep`TmI@DUK4^^g~U=yRc&^}PvzLN+Fqq@fxV5x
z(a`OmxL(!&UfJb6Jv8Q5osiCvl9>86Z|$VAbfv?c#5WcG-P)zSS&6$Vbys+4Oy=HX
z?=6?)wKj(oPJQGbx^T)v+rI}dM$~icS#PgmcR^mx?uz%!fSCHFmk;{H{K^y4xmOZX
zzvQi*-K8iqy@g`T3!g@B_`g5gvLu5uJi7J&gz}dT%-8pyuHXH|$#3Vs<a<k}7d5`V
z|E&0K&{WA<F}+O%YB8&f=E=8qGufY$chqOSDwy@z{ld+<f|m84^!6%*toy%Yda|Ue
z?5A>P)wcWGQ!VQ3Lxg@X-!;5h{H68XnxdLD+2_}3F@H$6YI}2P)3@bEucq>>e|6xq
z`hqXLK3e}f|Akn-UOw|qz2%9AU)NeqKm6a<uKoY*8ou@UXLri{dwuLx!OzmHfc^XC
zrU?D^`FXy0XC>2r)9q)SEbaK8{!p6o_;f(u`-l5ia~npqyq1YtU-eOZmw42ot^WeD
z^Y4Cnv&uZ9ib?<JmYVWCLTi}2uKKO|ApG}W^Ons%ET@+kb>6=sFIVRsyR%fg{>cJG
z-;zV~cNUdy6V;jVb?R^RTkqIk&rf){W_`@G__#kx`}h3#{pZso`A?_2>(A)x|I^&R
z=ZE~yr$_Zm&#m#lYX90fWqqU9T!+<LkF5_WPrvrGaZaR(iV^4bs45oD?QvBsnfq`O
zQB^GUk-MU*{;Yox{HT8aN_*R>hOE;2yu6!wm+bn^F0EPG%xiY(m7Z8!{g(Qlf0bI~
zrC2VOonE!|;I4?LAF6uqKTMTvx4V9}BsObK371x=^ODcr`B!zLcU{RfES-EJr*P`d
z)NL(Zw~V5Lo@UR~^<SFhs2Zc1d9W?uW#k6M&?K>(ih3<oZnK)HJ*?86Q`=ajHK!J_
zN@q^Zd1-u4DE?K~*Qm4rhbu{G1rE0!lwYwtY4ejK>Lu&ZJ5N-u9^jkTz_Rq&L80Zp
zPtR06_xXj;^2ev=i|m!J^a?63-Cq53@uKfa{N7Dk)qC!2cro{l@MMRVpNbB0t-O4C
zzG!X42bHDkUTr%VSihJ*q-j;v%T@a{^z#Gb=Gw;3jjyxbzC~)$^qkO&h3|hH6rP^2
ze4hU5t979tZm4RMU#(7=w{yYw)2D7N(ut0Y7p-Z&BRu`U-|4q5SY^Kue=|FBdT)g%
z>*Q)a;Ti2OCojC7svIA5{EW?1&I2EIU5%7B)qFDV!j-Mz^2Xois1<~-tWUeLVvoaf
z`KP;t=AM7XWi@;4>ENcLcV+~1u8c8@f21|_?DUpXDW6qW3f-(Z8C;pO@5yQtvv{v(
zn{OlpnyZF*tzKg)KI2?uvBz5BW$j)*scU`;g>z=_QwiBOOW$+qx@X?=PKA9|wV%I+
z?ey--g+X4b%YOz%Jzci*KuOvx=d8jF^#&nHTKZ=tm!9$}X7zu%WM|WQqf0T0D?eR~
zQC#}ze2n7SP3L#*TK&a0J$1#AkasivU9WaV%jy|zZQ>W*8TGQgiz)lCYiH@hMeSc7
zF6!rfm?R{9_^60kYj<W2-_a8rRavfD-jUKXn)<h`OXl`r*GAcgi;kQtxOjM7!Nue6
z3Jg2zZ679aRUbZT@~t&V<c56Hs}ea5aY??mZkhH&u8oHuE;>^Fa8djF!>;n~hmNZB
zwRLOCaEo8Ikn0M3<ifFP>%Ef&6CZ}`I8kU7BVr+}=QW2{+;$GPc<mg1@yR;`^p+JE
z#`ruA(s1LS?i{f8>$;Y1p76u2ec2Be9r#)h_{eR?i~5IYJ6=2v+cD$F+XBN@>xW5F
z<<5q`c8E7+tu42YNvROfE3)AcXO(Ns@_JObV#=Y(0h+zbA8x(3dBL<he;4=fs^1g)
z^YebqzrV!ybBI;vr-@guU)`&gdi80Ar~UKG{a^0~NKdU=@mgn5Q2gqu)QFYRpSo_X
zxHQkaxAkGR*SeoS>-F~?TQ4fQ_t(?&^*>FQZL58Gc#)gx)uU&#O8J((6a2N<XyLCJ
zmu9|-E!Ee%BE0ITO2Vp-Di2=mndq>>PO&k>lUY<G{P~PatyVXu=v|FmUgAHu-s}6-
zR)?3KrLF;0Ua?YB=7nA7Sh(G9g-X+<nWy+W`!d#A?H1;pacYsrfzU<H^-K#tg$j90
zEe&*Bxn$CnR<Bx(*r!XEyj$rr;Zp5Xt>CJlr9o5X1!>AJT^_ng?3(w!HP_@{ovO<!
zDGN(~r6=&NW6r#Q&nBERW^MF5aP^Ka)55euArI5}!H$Kc`in|x!xxpTd{*W4{Yq~4
zrP|W}i@q$`H8HTt>+VGj+qHSQE$i0RzY$(??uu^L3y#{<_(hDt-}!|KO4St`1HOw0
zd6bqfY<i@nyP#y@yBSmTuCASY$+nb#X-WKDA5Ghp?^aEj7qmUx%YXInHBr{ARVTxB
zU38C6DR*jG-@^L-&yp9L9W-?FLRW=(gqk|a{?ttO>}gJXV!c1^i}Q5l#`lkOcz!?9
z(W=kv+RRZSpB`u#;L~jJ%cQ{iijn0p#f#d<7K+_B<daWMQu0lz=}~U&H0(1`i<J4f
zai`g_gBwm>db{wWmG-$q2G-{e8LFRCGB7`<WN5$4F^gC5vA_)R#}gtX9)B<}J@zm;
zsz<r=rD2~)+7XLOGb9VIglsj?o5ZrOv(TWYtE9TVQMqwrp;%jCp;#Yhp;+U|Lb1-f
zhP;m=L>>!7$UL49A@%sf2AyLMlOuYRI|U8<MB0v9T$0Ewe3IU1YsfcMB663l3HO|?
z%?5M&HW%b{Z01<gvDsrySEj)6e-iBn8YS9~9F%BpKWxY+zdK3BQ>L?UNrBF>iN{Ws
z*TsDCJhxD+e&#XNNe3ohkD2rEVfK9S+N|fCUuy1VCR99G*Z6qjZG{h4awk+g%V~+)
zvgh5SSLfb85vrYY$2ng8`^EDoCri9|qRCV6$>i5$8~ao9C;y!+|2X$#v4qsayLZ^O
zekzf+xV4j)N&m!T8L69Z=k`9Sc#yNif&KYEp}BMJIH#+Bzj*ZI)q1XLCxc%+e3DsG
zZ#(<h^su^#D|{2u_1^Qdw8<`0*l<=vWm_BTvR5~fxOis!IwmaE^w6nao_Nt(a-rMW
z6``uHmAMY@>QLICw#cI<Tf=I`Rl(N8R2M-Dxj>b^XG}Rkcjl~odj12O;=y32?`-xu
zzciFXOjahX{P4mv;Z=0mse=FYXXQm}a~5;@)SYgWT(-mDc!s!`#Ip{c*!TIjRvt)Z
zpIY%~f!sW+75(Xavs|j(&tyG(v>@xEQ<=N3>ra2}S^rELAL^YkXgZ|2bMNv1b))X9
zMy*+)cOQ5stZOzpqr7_EVlC&g#I}!mXEq*hW&LKQ^mxYm1x(7aAxVABRT6I&*wwdL
zWC%ISnzRP;tqQtjZm<38!h)%1S4CZ&VxArNS+r{3&&-SLts6T3X;t@|+iO<YpSe=9
zY@N;D*5+oGAoeR6$7kPcHz-}PW>G}_`v(Rqjb$p0e_uIyLHNZdF;0nHml_`1#7;fH
zD&-Ngq_N#QWsA&hkJtq5m8+-nudI&nd}TMUesYzN?EOVwM64!ONzLW{DpV_`Cw?t{
z(fLDX5A|KBVlw8oj%IGNG<h(?o4K*lWP*eTGymO_VFh=Tq7@5fUr~KGVORU^CGV1%
zD(|Q)n^?tl+0(XHGQT$F%8e`0D=uGFYTPGw=t94O>Os4|x-E$g2S2Xv`no;&*M7&B
zuO#watZnKq2uJuZx4D`;i11);%+FBR*uW<DZ1TmTFRG=Dc1!dw&c0&%MX6NY+0(W&
zb;-O#K|%h>o(tm^oj+s~ls+TkhG3NcgPX5a5-R+d`@~HIGQ8!TAIa@)Z`VuNl67XU
z-%BQQ&sg8JOWrM1j@;kRw`ATC=S}lO-c7EO;*aa^o22!(zE;V!Zr46X;kG;$P0nvG
z^Ave(@BLV?B5_7a-iqam|3=-5xodoScJja5tPXb#MSnbd`@LI$?cmw(K3gPT9gDuY
ztJ0S#UAN`ojn@-*7fn+s_{z(jv_mL2N%ql>9Lq@uM7byK$WoMB7unG-K8<72jZ8(&
zFSV1^GGn^uNnHJV_3DoLKW-|#tMu}tqT*!!h}(84i3cl8UyvTCGWAN;1Xk9ae(M*V
zusr)f=!@Qs^`X65qUm-QmM83Y(07c_+W%?U!Mdlu7yECm-;>GtOWbwcLjBX-SC4wH
z;9=&Rnk=x8i>L3~>FG~Z<EN<`v|N#Fn`Cv|AV^%o@0HM7kGqE#zv$JwP+M=K_Elw`
zOa4qP_qEcl7qwUS8O-VAo2ujaU?s1i&BiOo3vW5G_(gUc@#OwkaLB1fX8Qz{bI~4L
z=XF(j(=P@td8TFBCAVL2pF^v>j_Ipui+}BAI@V>>Bsp*I)Y=5+hb&g&J1v~W)t|q3
z^@Qo}oS3AB$<;GbdCqe@uXvZW_W-we{j`kNtL_|jzJAZ{*6we9i<-HYU%1$M`Nfk1
zYlUaLJ(RWd!HdwpD@r;i8|#!7^`-jhZMbt%HEhSB@Yj;!VXLLYr_FeONKS0WhW`8*
zi_V#Pa}Ftq`z0H;zLt|-vC-yYtE5}d-dTq^eTs_OPWqiQJbIFKnbpoCChFe}?q0R&
z3)Iu8H@MZRdThsr_KkXPlIL)%8{TUTp7G#NW=}za>9q7628VsK*v>y>xn^y7*iZfW
zji*nTcF&DT;y3k9vpmiwE_UO^A)(nZHx8$Xf7^Jpb@Gi@hct7FADV32FM2M|l+X6b
z?ayvM6yy2WUiaJ$T=suTP)u0d#XS<$_cv`6debepp)a(4>pIP2>oTSZG;QU%9>4Kj
zbd&72TJJ0S%;P$bO{vw0?EK66F)cD%M?J#x!;wuw+-ugD?lYX{s~Hv+6u8)UVcjud
zW8N>5%}w+5bZ#g!@O1a2nD7fl<SO#qkK#Bk#Vr_-ttj+b=g46`tBk&*CIS&o%$-Y3
zK5Xb=vwL<~p;OeP-Xl?!_fmu_lj-`{C5(qvH~D{wDZA|?@h*bncr3Tzj&wz#@4810
zGh1bBHCo;nHRH0w+S8c}rad+JP&k*(^UeZCF7b>B$JROp2=z>TY?5FRaYLQa<3UTS
z!JI7ueZgW%1-G13&P8;zZ!WnY)1IMFFoR9#{>1}_zngq0Y+>`1Sz7PNbv$FjF{j6w
zPGT!I7WLh6?w$HMW&VqemmcTNGT_-H(05Qw$>4~SN?TM%d*ZRrTV)=;@MUhCXENc1
zD>Hv>#)HNBypvwIH;eqbtkBDt-#*`vMd8Qk1}WXcxgCiutSdL)JlG~NPegRlq%#}m
z-I@?}G<w3nTRpsndo*_3`Vw4RTEFBStNA4cgA!x8k53($a&=oCHhy$a_O~#T?3e%S
zl3FXKwDF*m%^GvL&(ApA!*`i7#bzj6oXI9<b@70^yVQzMJKmrS|7Me06CLKBEKOm)
zS{dX0t#cmpv?z|lLfnBbTU$AFHVJgLiamNLZIv-q=c2=dXep01%NpB5O#&i$c<V#N
zoSQ|aT~_E#ZC#)uq0OCfV8f;j{!9}NFmfl}$XAq^t?QDozIDaa>8%wveA&+yPGMtm
z&rmQt_oFzBZ^dubz3u()GbS8Klv+~I!Imkb(kP|9J7YoEZcq>!-Exv>i{?0Pz%3||
zr6|;_bL23KRYsqziNFm%=FVJ`4;!Yk)o1DYG^>2OsL=Y+#3Q-qqx*UWminu~i&Ize
z8v1NjC^cJtTi`Gc)6|qc)5~oVY0(p`uE|Iz&G=oF5*QU1b!*X%U5lQrW_cDVd00gI
z@r;~Qrpar3k`_&^lt@aobBl-+QjX{U-{`pcLFCaDFIc0$f4}UtrFU6f=@QdhqLYOF
zF27puwPpK+YZW<v_Igizka^<9b!C2ety%v|D>Hq|&ffo+E3|#p;kk?4Pyanz7PBRE
z=l+}Ly5bmr?!Vb*^6!?2lh)nMihU0+@@Ra2erKnclEFbIm1EHz?FXl+{glYQsorTQ
zHtA3Xw`cN{kL@cOUhI?m=JvxnKkD3n%k4sQ?{B+&?S)YN*}cZ~Z`ZnnOKox6zFoOr
zws${sc+!H&+mD@S(pLW5{>bahh6&eZ{4D!6KQG1eNB)VazkJm;bMZ-U+j8tp(<$Tn
z^mRu{xHEfqhRoe*?--smZ}RqIOsk%KTJ~y2vCb0HWv;(%tru0f&G);uWz|L3yFXT5
zoGYSzwK<oIS3=F5c?EMlXU`^qws&HO3RQM3I4RKQ`Q;<4+9ii1CSIGK<&FJ?CLT#T
zyg?Gq%_eT{*W0;FR_f$MU6i>mUEg+I;qRf@+Dp!TkX>0UqgLAJyhN{X!iCkdOb#+G
zI=%YK>X<f{&xd#tBz&0r98ClyJeWHfkBNI2Ih+kT+kE1ixM-Z()zr|n^+Gq&6?v)`
zcR$tfOqk4Tn6pK(k9V4L;hT*Djd#VAHlB8}d9y`8URzhC^R3vVLt@;X$;E+*{q>Qt
z*B`&`yt{ABHQRmXoUYuO`eJv3O2OqeiM$&E$4gHyywY{q>B^+bPFH4KcDl0YvQy2Q
z4HHz}MSE~<*H!6_cAYr;?ewQdwRY~UcRR@wt{JKOS|jp-k>YBRA400eF-ubVxTok8
z=vf)E-2WdqasE=q!;(@3J)L%fCm9-(zsLz4&wTKZg|}wT5=Wus9t)~on(#`TyWH@Y
zkC)}$C5K0Hyf!uq8vEN#JRSvp;W}OWKFiPKB8Vz8S$?+uebyvi!!4T?`*vUCG5uaY
zbxq_n1(vj^jw3wW9}Vt0)%a|lpt3B=gDY4^rT64TAB)dZ*I2Jl|6d#Ky7^k}m;HLx
z^L~rROSUEkGY3DsArZ)8<|5Mhm3Pzjhdq~eEh{Z84*h>NTx0G-v6aPl)Jhwzm*^FK
zpX%nyTV!?Fch?_3m!yd63QC1{s;re(21=KD*55go>QoxEE%tkyBIDs&sREk?jR%~i
zJYtM*FZ(Ze-*t2DulSF)28*x#cYEJ=)9kPQ?|1I8t6rbkwlU_m+-$~F#>2u=1vX0?
z4;V{%*qGlwb~RCdM(*QR4d2hK*lBg;?usSrdRHuYroK7X=K41AG>6Co7ruoG6>M4D
z=uj=?QBrZWzQcXR;d!RF)vhq+&klTDe)f&=`Pl*NJ4H)9@0j0KE6RPH!8V1JXZabo
zbjIV1QXDc%8jmP`-t$ua|BkF}zN?t;nJk|6>y!CywX2SKF0n%VXSbb<xy?75F|{$u
z{X)azYAFtxg^fo7H|Nf?%279V@vm1_)he_xy8UcrvrV6ukbnJ|ZIwH>$r>}CVrqMA
z^1#B8xpA_|gd6$W&R(D1eN!NQzPWMM-(8E-13e!^mAISEIlJxW&TVtem`^cH4Y}Cx
zScf;{ksEX8=d|0Ce$6s*_F2Uo*Xbpre`cHNRYyJdSfSkehJCZoY<=4#86dWzWeS_j
z^o#|3-prlaX}5!3ZFqZDpg#Cj!}LXyW^FqYI5UWSr$DLa7W3QFPHla=N-{vqz-AHS
z@r>ecCz%=zO(sZWpPQUndShE!M`r1P&w-tbn{RI0vd?0XUT0t0?Mpki^@2U|(d5Al
z2j<33lL<4vWLY?0+WxNEZQ0WIcjhW_xj*kvx}dtqV~(lO+CQ<?pH@#fXHegI#d6uC
zT?aIRrq9Tmqr1*{ZThjw2UeG@lIO6mGhTZ+(DOk^NYGiMG=X{Nx1>qL&SB;;&Rh^w
zCdF}PS>q9z&AGoyY|U;jJAd8NIIH&1KI1fjb?0w%uJC?Ty*c-1vDtMVjrvQ^E;c0F
z@v?|raCjuWIoIlPRmL{o^r+)!SClR*tv`}8``nf?_t;m<GPmi@&-`l4d@4O^ZsviD
zt!zxOnF<%rg5=-L&b>VInvZeT+)P>H+slmCJ`VJJ)N*rM&9bX^c{Ju{eqF%m?k~mh
zWl^I`KG=p7kPYf!8?>}I&lprYq(}Mp+?@E_c&%NU!%v^p`n(oa7abPZ*Ym2d%>Wsm
z2{PQ*aPhU7*JiHpP6)c9`teXswB2Q?47Mrfx3FDoNH*YQX}jQ%wBn}O+)P<BkU|!a
zp1Iw-SdO{J3cZz*IDR%g>hPI{PcyF-NEP%fXguH{<>3Q%@8`5DR+poa+}0Ye<qn*F
z;K~Yb-8pBrJvCliFXwh?A~TPEQT`26hU3LjD?~MURp!0AuX2KUZf2|r$d)XSEo*zc
zm`*RA<dVKQ_ovV6PRRhf%T+$iTkkArJh1M%$AW30X}3$3m7W9zR@&^q*FLX{uBdLX
zcs0o)y>ENXvabhvOy+0aUEUaV@3O;!$(5W>jMtu9-(sv%TVHLR|HS9lyRxUb!4G#x
z1zuUDwrGX*@`;&G-{-{kqzC?#jt&oc{=)4QldWg$qTLfebI;9;H9yyUVdk}($2J^u
zGw*inVtM8oD>S`lv+AdrVbP1biyIay^M)w-F*klQ3Aj8R6kNQf@3NfIql(XfqFnWr
zP=rLOr;d@^%oFMLQMb>60xU|ZV9mnD1KUj|MC5Msjqgf7v*PPk3j?h}o(?aj)n~T7
zJpFN2<-JeWA8Q#e_`|w$5}S;6#sW1xDUUPY@LdNE-z-r0?hBlLV9g3|)uLyWr_-an
z!KMpI73eH$JfI}yp<@C{KJ!4zXXUxAHLhPP7dZXEmKENM)`ODM*IrP_MmaD~{d?IV
zL6TSH+sxd{GsDb5QP69=m@n?NSm{b``&W}*q=QoHTT@VKt<E^GaW>nlb#Ba^b3xHy
zdZZ^RJxUwo*{wQSE1w33Op?d}D>ncsf1Pn)V=o(1bf&__qdE1n&uys!r|uY#9lU+J
zSgyIm3OR!l$5~KP%@*dhFuUZCz|5<14Wuxv9;DD0lmNrlukcPty0TQrvUuK^^r&F4
zUo)f%dKNXFnvkhrcnFjn*k%U4_Q_r&x%J!@IhR-_@x^+jku#p_&d=mEXFio)A9Xn6
zfZ-IjtW;;_&N#PaOY<48_xgC>XZ+<N(pM+w`e5b6%$G0gS5M4*n*QI>t!>KuKkSos
z?V31gS5(KOU9<M}Y*sB@w)O0bl9k45UEQKU92G6jH9cNJ<~`bh&y3gZa}$5w@XAiA
z;LEbc0|`<dXG}p6F#DLPTIsUV13mRo=~2HIPdadKg-YRRP=1@049ah5mm8ABcv;de
zIV81#63|^UkS<$LwB3@OdgZOEmggPA+tbc(SvH&TsqxxOlLr#c%#E5R6K3RW^X1>X
zJMH!ti|AChwd}X9tx(yZs^wW?m?kh&K6~<+hEL78O1u_o7aS67cvX(ogW~s^LGhlK
zKH1HnFxuuG%k+A&p6Sn7xu?^kzW0Qt@~voHxH0J*W8Y4b0B$WQk2CdWJKWQw7K2Kc
zt>-{doxOO{u4H%BPQ$d@pJrZTGG0)#>?<#?h1eyB)DtEXB62{5k@DGXFMYDNf~?rr
z>&0Zf$l9yN==QYw^IN9P0C{1lNx({3UY2JU9Fkf=KIS!bzB2RLxfMq?YHM+>>Gcw-
z1}BNB;3Of+YY}$YLG_u*gow{kKm1m=-&S}$+q!B?uF1P&RU2}<G?->9cO7wf+&5P~
zGdq59N$K6mR>!M?!cQu%^v*GfTEc#lVX<pfPp<i*`m4@4?k<8iBm-4`N$Z~KU(e~L
z^7El-%azbL*H=u3Jz|q~TvW2%@=Z$jlJe^Xf@|d>+LgKvZ0lHbt<J6c)8ecaLC?B?
zUX{|Rtdn;g$OsA#o_bNqcELAk-Aj)%X9}*B^J7<%Yit&DeKg_XqCXK**)Eghy&ika
zO}1INI;HE1s#?92?j`PNa&9?QdpF(5m>9QCr&oiiUAcPY&F61F2!76<>glHPbLpv+
zpyxB3UNHrG#wN|WsI=GTW!8~p;hOHsT*s3ZyH@pXvV1f#B4dljey5pvE|cOHoKYz4
zo4G{Kp!<qy+Wu*O*>+rOF28qW@BURrjZe!?6nxtHW<P^g3ujDGKz-Y3zEg#V99h>{
ztvF)J{<YxlJ%zsDGL3>;e5&VeENtIg_TupzS+&A@e8D>s+B0Le9J<DNXM=Ow>}&rn
z|6fto-E5Ro8qk-^r?ug(qiWd==Vv=o+nKh%4OkoT=EC7v>o0}p`6lnkZ`XXY`LKzZ
z_@Q0q0V^-=xXdScY+ulYddnFH>K`7ucQ&x?z08!y)$F1cj}{2I%U(HbSlxVO)@44{
zZ#NbmiL?H);Ur(}o9%~H_--U}vA;Fwbq-tM_PEeG<wf3Gds`{~y;;k7+GVFawq_5l
zoY(9nQ+ZWt)2a7w0}{5&u9|b7&-Tq$XZhc63_Aao%{atp?eqA->YMc)k_TQfq^n2P
zm;UXmnEv4A<#qAXKIVOsmkJ3pU8`}`e)*kM+g$ARtp6JMO87-`9QWiFv`ADGIv#)S
z-|b!l&VBFe&$NZGB|FQw$8S|I6~6Ip(vNv=zaHK)+~xFl!rL9ZD_36+Tlk9ScASuU
z=92l%Zx?7^Ie(b%roeGWX(5YL>uE<AtMvb#$l71eYj|d>V&CO28^0`GQ@d^N6%$Y8
zy?5>=JM-+$_2{jU4*J~5yYfz_TUBq>T~OnGf){&^$Admz&pXQ|#zoxQqS%&gcBHV)
zPP6K4<<)J|kBCaWy!s$jI%!9Wvy6DI$Aczb&pFE{#vPiw^!C#0MbC3O9?x0p)VOuq
zUX^o~s$Z5~uTPXtnvv-&)BPg(rRvR++i^m#Py7r$ALp&xlGxc=aAvze<4rLo!*(9M
zA7&plq?2Z(J7;-%mAQP-eayOTugboK6W?{VnjP72qAf(iOl3n$U%>ZcK~gU(Yb0YA
z&O1K+w!m>N>7dtX(vu=wm2LaiZ&Pf`&+SO=<z4BR<ScYLZfX5Gsk@S|Prmm2ew2;-
z;f)MMrrA0!NyeTf_Bk5`wuWsH*y^`MV5{2}fzGKa7q@S+6FX#Z$;rYkqT@gT_e2Rd
zp;~e2EJYq?otESctsFd?1Ueg4E}Cy(6gza`zLUkT$PR~i?ujpag=*hR<tp+d>$D`V
zY31nIEYSH;<>L0WoMMOS4K6xas6}=hNa3D1!%3+2_^d2Np36Ed$(EMezP`<BOO@|@
zt)J@Ioo3H%xB8S;-7@#T6Yms1FbI5E+5BeqtfF03-^zIxecht9UPpgzV#LJmQz|mr
zx-JP*TRqmCTWfmt|JPdkEvLL5IJ~<q$*p<#(H(w4A(O_5JHxAlXDsaJF0TJlme?5A
zcOqoj#NS5`IB9LT;CMCnnxm~wL4f>ZS(8przFCLz*nN{lZp!Ywzv<1(Lp-(`bN;KI
z`KWispzqb|T~o`Ll4V2A8l+q;4e>p%cjm&`R!hG?@dPpMHOs5E@D=YV2xu(p3-jDk
z8o>Wu#`O1%cMCjaN-v18S!GBG7w>PWpSg75^e5lX{S{iq*nIua*HeG*q{^P&8zHC7
z5hAq5TJCt!e!YnHrt6971#MR(&po?mll0;hpC@x$qR9gZKjub3lL;^UnfZlJWL%xq
zdFAS-iC3;3@qZ=P_M~o?=9=F#ynaod;r2??NByfwn#e2FUpg{MrER6HvJ1bmT)W`#
z^o&XUg9u;d(%lOik8ny=Sopb}-q>@6b=u@zN5q1@Z<u+dHb?2JirJ(pE@=<j-mN>$
z&7ObR6@MruNs(taN088)&6l07gv1oo{PnuaBKNE=)?kfs%=x`<PhSwe;l<phYx3ZR
z4|8L$$%GpY%>1WMW>~(Pyz4-0(DWV7ujKM3@9K|UGOzxKZ;<~(hLy`Vt_{$?n4T!R
z(s+&T8;-T=A7ptY_%1ahTl2DfyX=r8&ujBWb@9_5)2^_FP2LsNIeAz6)FtndZC9?2
zmS4HNpykTtA9ml>7S4(L?meTH>zMzy=?4AJ@6DW|u6odJxsFTk!aK8LUVA)it5W`c
z?B1G~@7^<R7v=Y!YOJ61V7kHfXZKD<u{X_r|Mo>=aenCD1Vx_b#rdJV6IkSK3mjJV
zu6>o3uE?aW>vHy~*rSJ&T7SF{u}$3>zI@yE2_o~Nvb-OAemRm7H}{t1ccsH?WPYih
zdB0dV<C*A+A9}OAr1C9}HooXx{myjRjL;47t3T)`p3}LZf5F?pV%ANm_4SfR7u@j=
zk=Vhq`F>Z7^FjT@b4np?()nVmWUh0B&V8P-pJlz3_XCra#xaVeeSeqeZRo$E$~JLV
zJKx1EnipzqRQ`I}bn*SXBYl(4b^RI;_59viF-6XpEsAa2(|%cmMRXjH;+}XTMNuv<
zqNBfBY*E!gryz@T#mtyfd&_j)>%VANP5V7})vG`8>940Pp313HbG=b=*|UEZ-Va&S
zEG-YGs6U_a=n0eZ+?b?U+BKjdW9%cwS)DHq{JQ%=?~K9Wi>rTW3m%?3XW`<>#U({W
zjpzLIE*=%NJMJScHsk3bq0O;34$FvtGrZn9dB*elLz-vGADV~-?0C1aL27ku?2Lud
zS65rkaj;iUfARi_4D+0rgawmVN4IF#^u5#5xp25uwQARf_Bg#ai??&DUp&|v{NnMU
z%rB)0reW;64Gs&quYa}i*P6y|W1I4#K5;+23)d=ZI?L95ux#74;edhow2b$M<mAi>
z66E`1EIN<t&8a^mEbjL>v-yqko-@-Mw$7P5U-*aFcZ+=!jMh||Mw>cboprBOa@)=g
zQIWeg9N-h5_Tyfw?Vf@y-N_4^xz-d1bbjS~mDt?;>&A-(o;gJqM9i!+q@v%1@ZR0F
zQ(sIhsI6>$<nAE;JI}w(yY_fndv<=`JUyKYr(0F4teKu?ykEd1TQ3u`XmNAZizf^0
ztgJJHVr5KP*YVAAGjB>$f5Gz0Lc=+Jk#@03qxX@_Gl^}d_0AL?ZDn1zYr_#!@oxol
zcT{Yiv3UOCt4x1oLy{Vrt0Z15uw%2#5ZW$lxV+$?<K-P0%YSkI<~vnz`QFs34R%F|
zZO8S_d_2<1I&as8BgXCzWVXxHhdkKR>~*IwfWKTeL^n>>r1Ll5tV7J~zK<WSo-bY-
z74-M0fA;KaTUO@Ag~ooq{OXh1(y8$(S=y`j>8wAts(fwRHQgwU!%DLouU_47EAPaW
z4L|NaSf5kM_W8qUk7+maEe~#Dk9FL1rcS%JAu4oh-?qxmyAxJEjGnDn{bOmF^Rl*j
z)AwxrQ>H9jROy@h*()sQo=M~3_iX+FK3lg<T_rrbQ&wW1a#_Mm*=+*W1vUO}4j5bK
zn3k_N*RtjQ9mU0IWj9>k94P3yu~2Wx+#`H4?wzu)oNoEOQ7CA+;h0i(Bkj$FRg<Qg
z>w70G-sn7c*3E|k8<`KYCAIG|lTj&q5aIqN;Cg)<^ASF#*PXJjZf!V!XQ7qwCAH%A
zo>?~&`8P5jk4kFaHQ}3(^@^0KAS>>^-xvBN>twjDP(<Y1n@KaGwjVWIpXZ%Wd3V~8
zOS^RWSHId;vu53GuO#2n=0)aL!*UB#eVHb&{-oOWaG}ugjsVYulocw5S|OhdtU^8+
zs)cwZ<%rgI-MhAQ(yD7`y-y2A+&v^95jKPAcu=swj7%<}*FFai^96s{cu-_g#QjBu
z7x_Oq<re>ad(c^z+okbu>!N<&)+8bA=zXzYc8P@+ZQbEoHajsWZ+5a%UUWiIUUX7X
z-tEIv?riH{x$~{cvaLT3FS@UpduhwIEqf|`E4Nye`L5Lq3#q><HhtQ(?OCC}H!t(`
zUOj7Tz+{=cy}zSf=ewU-8y%WHH}$CL(N}E$7s%<gwSO%rv{}{e{UFXZMkxIL-?NM4
zcgM<@KlWuZf8;B4{jslH-tpNrcRI~g3(fCMIkdGRSnB)N$xRzphGrHPheb!-aY~hV
zYH>5*ZZ&sdWa&%s7x!Llu3yB@9a&nr`jga`43}%sccZ38U0sl_Z0acbDD3If7p~#A
zUo98)&AKrA-g>vz%g38eX<fM9wyNN4)2yvd``xr|Yq_kAUNKMGy?#}r&Go{&Qi8I_
zjb+UzWGSwHy~D9&@6A4GucN1%`>HQZSYvj%Q1<2DlH;YD6s{J==C0tXy|;K`{i_{%
zA;&-F94}oarBNh%z44XB#Fsn1g&gnP)tBvlefHZO+d_g}E);%W(I;Fg`+bk%&iU_l
zq>C-Fdbg4{G?C?Mq0);T$9X&Zgm3m$Uzw1x;7sWq=d2Z{e2&{Lh|+j%_0BFff7|1@
z0N;s@$9tEYYhUwvhhRwi=>?D5q9?rCk!TiD-?}j5_{jy2+XBMpoGH8W;Dl=E_2gf|
z<vD9Uaogm6dF^V?vsvw7bn41&IoV%syV`Gzo@H`%a}HDV>*VB!yD!SS>TIt~JFxxh
zCqtRF)B7LquKLOH?b>Pe!X0sT{OzxvelFY)w@-!d%IWEc{#E_FbR%f~<zpLn+Fkv~
zl6LL%af8Ki^>%$PzkcHMSv}o9DQj<y*4{PK&mUh=^>fmU5dC;A;nz>Slbve)W*=Dg
zD@0vLB3@tq@TOlfVM)ogIdW!Kjz3GTt<CXVv$|imbt3QUMDJu@W#i2zxuA9LURoPW
z?uE3jd%x4?Y*+Nv7wHzgb&FrPmj#E;jAA|4_tikB>uZ5dNqtq+7Cmj<gXVtzr8lQv
zRgJjtLfv(Kr0uV=Yftyy*Zsx2T6v4W?E5d87qulWuKP0Oz_(*9Zyp}4Zh5m<_o?3^
zS=Hqi=1sc%;=+&PuJc=-Y`WB?(7Iyn8m+&J_V6$(XS(&hzF$1=XB>-}{<_@2&{*L+
zS>fi}4s7ar_G8<|%JTEhck6AvbGPi;vx6sFYW7LrzO+eGw)UP4j=Z;|boHl%sUkTm
zds)JcRY`=In5|DfH2J`jipf#0kI%L^c4Riov17AU+>Xz->FGEBIYS`dD=B7=W~=1K
zWhQCI=85(_-6*<p_op=#!iV2f2p^wQG5NrqipfXzR7`IF`B6=t`;o6_jc~qKVtwKs
z&0g1y>8=TpIj*mk?Y6jgWP{4L458IYwsLC6s%m`tzp5DOUYmUAN`${uu+(hsezmJd
z&z{OY?d_fIz1sWq>eZ`P8SY+qcG1828_#5A+)LonU8^<Ae67y$Gbfk~@^gaLJlij+
zcV@$ag_Xeug-oyK&Pkj*xp+-!QKPA!-p93*>#HN)KCuh4tQ69oYt#DCZ{D$h@b5)A
z<!{$5Td(MM&fvyLOE$}$2N=|+zj*&d?$^#)>Ko3ss&3o0p<P$+P4Z@L^^GT6gKxYz
zl({A&BdwzH&a2hWR7FiWOF9b;zH}BAeCaFX_|jGA@g*n2&$4atnq8}Ao|<2Mq)K9!
zxykdCLz55GAE^*N+)^QYe8Y_i7Q2pCvFtilrSj`om5opL*B>*aUVA2atx<11xpAI}
znyJb4rLFVki-w0yNu2XFY++4&Sm<<B1G9674AjmYGPF9UWT16U>7(zQqlZ6OboUGL
z+UsS>Yzmnow%vopyEk&;@s83Zp{-Fj_I?k%Sk1aZsnO3Q;YIxqxl)P0=RM_37jYhT
z=6+a_pvd%H*X7Z?)`}h3igxoNJ%pTf-g+i(XkB91@lK|X?~C56Wu_OLB-kQ2-0Qgo
zU!*H$MMQVBpA&nuczdhL#nVnfFESM~zbvqJUtqhp+pBhWG>5bKE~CyelMffBvDw9$
zC^-t9&zx{bSZc|~87!gooOf6`CGr}x7XD*jxcp?|>i@1UB)K12WGiZwE3Pm8+wXKf
z^4rIPgHAOuTPCRJMS5^e*H!5a<~@1t;ST@uJ}J}im#40**qNp%b6wZvL0hZGoh<_V
z?K($}>v4M~ZEsz&;keVK8{Xx82W)p0Us-X}J$#wlS;k#Po##wG6tuG0J*&Sky-KJ!
zbHX7TsU;hYKeaFCIbmZn$M+0_&W_5nig|H5#h+(+^OP>jkNcc)z+k>j&%R3D%>r!?
z#SR%>a$@llJRBh0891%hre~Xge6o&8=SHzfhb*`~lbP;X%5cAky<#vYLy>2)PD}Ep
zRt}$y0-Y<x78wO{e>A-7G--#&d=}Gs9{mUpspp;D(_hZM&hyJ4OJd$)?cl>7bYf>7
zarz}v5#hot-<>((h>+Bh4O7@Md(<0uRnAp-#;`0u?s#XXTQkeIXpX~v+z)qTD>9Yq
zxIEg{TCpQl(e7Ndhfw!P<7N4A)jBG@cc1JGF<+njCGpCNo35Y`;NyOHBTtbjTi2!j
z(Tvs#i#$cUz6cMY`_Ud;zjai4BTJrh$Sk#X@10dxlA3j;XQ}pN3#a(`a{HDy!e*N{
zG_z&O^weBeVKy>fKlx(E?5)@AmER?nmaNEpp!va;wT5-yVuzr{!xw+LH;1g1@@Lm^
zNw5!{S(kZFQL4hi<LcCF?l+SanReH&JoTmf<F|_k4yP^B`<r}F##+ZE!8$PVgZ07P
z84nWGL&Yz<-%L_us$O~Oi*~^Fiw6#et<qc9Uv$%nMK5sbOY!QsrHx+aSL|xBJ7vi&
zXyFvPZ2#QqiEKjR84n)XlnSimuLng_z|@!JRr3}$9?6uduyB<<a=AS|Rgp=1<*6_A
z+1C9R4;&7ZT2e60s$)I>{zFbI(*mcyEHBGj(%9~6;*n@sI$??3A1Q9ZAJbO(?B7sq
z%WJb{u_IUZ%H|a>TNnB#&noz`RbZ>#R)Nlcy`2nSQnogB`S^#$zOW6FaFJD6>s;q$
zsy5^Pyoini$=nkwTwIr>?`sT6se1O>y;)?QU_JZA{jW|IoOcRQN>$XFsKmrw_v*7E
zXadub>-vgj1KAtA+=1E!+=4s&W!=uN-=4YPleN^6g2`4K*Bieo`0ZDdxvT54K)Nug
zN&e;=UuOQj855lRUnw}TU%TmKVHMfo;Qq>>YSTL}X8zxq6Apd;Zm0jtgyoR_Cf>S5
zNtSci+UoNY{ykL9nh+uQ*yHh}f5%hH*pEAvi!gQHVe34a*W9j}*WAA}&spen4BOw>
zrM7v@hc(0fS()EB^*BE&>QU}3UU%<WevAFfzTmsZ@3M71&TDSJoY&lMoaZc*9?SOk
z+1Iss%!kdw{aH63Ym{I=eo&(Qz(R@kBM&9oqoj^lT(YQtZ?*i_+)uaf?p54yICsL0
zmu3|=o|^r*@zzXb<Mq@F?>EUmF*DHl*SL6j6K8o?Pjhlwk8^@sk8@I0&*8&cB;2Kz
z$2<@DZ@t^qzCemaY!|Q4{T;k=Vmo;4p6%xKJX0+BWj*tmLTQO@yQ{n;g(9RLCqzg;
z4(KfIaaO%x$k%z*u%3^9b&^cxoYJ|ae@}DVzVlag!`0jgHy)X-IJ)EZgXGD#CmeB`
z?)YEnaKZM*h1*vzx2e5mecVDqZvX4Df|8z<&I_D-oEO#h9CqF<;VvZ}lOFqD%2mI-
zp7TqIv`W<uUb$VndF^)X<n=5mmHe_k!KTzS_`k$4;p>v0zedWxeB~WqKmV(sAzz=J
zAzx#nAz$Z0Lq2|Ikb6qX+oPCwScfDu-BvKXm;1rsT<!<MYq=gtJ+~(u>Nw`-EOj`R
z?eA^Xzp?EX>=Q4Yzk1!X_HIeZ(*O|FQCP60zi`FT93L5>+~ijuJ}52gGg-G|o%G+`
zy0v%zs%|)!JK@E<Q(L|qURa-(*WAA@&siw;PMe6;Zr)6u;#l)v;^}g`XRWNScs6nM
z`ru!?B%fKuA2eRS(2%cB(U7n4pdp`W?Qx4Mv$U4!X3i;yHUD+JOm5e2?+1;y6)v7D
zJ@-X;*TuZ%{=htEA?3SlruTR7X7Uuqn*S<q(%Ug>Wxd{uJ*(GW{<UkT*yDs9^>U9N
z>=1k`utW0kgdL)fKNMIWn|Q47dg<bN<(G4I?`Ju;i&rT94jaGtT{ijYciL3+cJpe+
z6iQ!`c(o(1NuFuX;}wcOED!AN+jp&vh3}|^MA;Dwi+$aN95wQfCs+tQ{!n0YY~nH3
z<E6rXSRUDy*K^90Nvo9Y;*~4g$!k}(o7c1cO`&wqjn`&NHl9!AsB65Qn_%(oRra#=
zv-kD=ZZ2CPqj~J$Mf+ol1`5X%4GoSxOswcp?mfNkwVVAyn}_f88z<*AAJN=?@Nnq%
zgYN#vW7}S<PuY8?O{8i!Z|0Xm$uGv|)YmC|H9og|-Rrj3uhT+$niETUnjg<hzPe17
z>3p(`kbZrVjNGkbM<yQ2yk5F^ev@7C_vu}ib0@rbVy7zSw)36fFU=PW-xViGyf9m0
za3a?udBf=i^B0#DN=r=J`77$h>ol*P=ESU?=H#*-=Y*sl=cK%z!-pqHxJ#wST<@*B
zwCm2@cqZ*TY@Ji{n%fV8oShl_ew|_`Z=N&P<U4I9YX9pG{0YxVY>xAjEhy^y>!)YH
zsHbOm@no$}*(a4Ss~vu?`z<Vwm#B+NJboe+Jm=0~74`3h7cSOYHOKbbYy5gEkRKKp
zwz`{De|f;-{LsC7Ww>9x6^)3^Uw>r#*Kbub!t&SmZ~XdA&2Zhldm_)S+@5{x&abMS
zUhiMMO}!D6uYdevRed$<zSY~qlj`^GmHT$}_Uq)}y?Z@#)@={hz4Pj=@8hi6y-JPV
zU%wf}wEPS^>6HH>RqeTQWQ&<}K9eQ;=Tf;_6Mj~$Tz34vas0m(EB|f(T*}V1?gERM
z(!HY_7Ru@Mm3}SYT(h>_JBjy9{Wq^27SE2)W_fmWwo2OZ**0go%zw_1tk3sKa@(WX
zy7J>PlVk4xruuiM*{@!qwNlsbfy<NbOOb~PTGgJOEj0bq`ot=z_36ax*Hat+9n4y@
z!FlRsmwTo+RxR7HYgs9G?akM#OMH|+=ZPIUYa%cEbGyCex*L+*+Uv7gPl{dJ5^Ab<
zJHl5xrhiY5#_4?;|5t6<v}eaI7IUSw^=GZ3M5fm@dYeVW-V<6aHIvzj`>N;F{1dAW
z<(@wHnn!C-^f#?jt7c6Pf4FQfS8hP)oV=8C;ijRE`Qqoov+m?)$HeO&zgAVvy6wts
z?TtI{#qrO6_4ez=jrZcFnqI#>`_Q|p>dQa&?Tec#UlX3MfB0clwI$!x+u8*i?!|R7
zfBm+q{?CGYaq`u#-})x`@7=4pX8m^a-q^2SSN%yy-@BK`TIsd*ThqLsua)vYTx$EH
zTc46#{Gt7w*wUFV%lw=_Gfe#L$y9uot*vrmd4>xwr%rdFflhy6K~6^@$DK|ag&r%F
zyyF%&G5v)<b_hQ9c$C(o+?s9JXCmifw70`q)#}~5fcnjcoxeL?ne##8*ujq$#}q%P
z98>&ga_r&5$ezQ;KTEg^=_ko(Zs~ow(d5?7^(Boj-)UXBkSG5*;l%^H4j1+92M;@K
zKX_beyJF|jJZCQNJ8dS@-0nFF8>ZZwduiiC{;n=BZEr2@Z0|4mYHzCSW72k{OtI9H
zN^RtJi+r>v@LR#TdX3)}*;6L(n4`aXre34A+sub&err_iUKg{te)7v%ng%iF-(Os^
zW2e*~w@BWvfB1gw{>XX9h_&tZdvl#CbrqEYgGB~1T^cg&%3V?I?joIc1&<z{*zxFb
zhKuK;2p7+X6)v8STW)gnSub<-)l*mII=r&uP@&Z#j+kBzAzOO`QT}>crLO*Wf~uW)
zf~u|S1XX+W<Ry9qc4W)N@LoIj%rC2;tV=^iR=LZey+i4u-J*&e;+E6;%LM&}a+STf
z^p&cYe!OENEOdXi*vtc)I}Uv?SyW)tsln8*+;wDe$D@adE+0QCEK(`F&vW6hTyVh2
znVKpa&x-`z$mhzGIni(Qb$?R*mb20&GZQyx7VsFcHa0F(xOiN|hR2Xq-uZrh$Q1?s
z6&@E3e%a#U9-Psf=(a-jMV5q@MB8kp;~c>P5}8~=i+v6rW(fXZc#LDKOZ%<6U$(gL
z2WK>IbX&3XMXH3C<guAd$18#bW+ZS43Hu&AtP=de@TSNqL)CiT%!P|Am(A*mtA8Z@
zR{QGP>N__ke7U@#y;oZN?|}(fvmfUrKd#Wdc$Q}Y?=Q)}0d3;P<WhNRdu>ly{F>c#
zfGK!FL?)M9*vzK>t8SA|l}x@hbKbO!e6HLNYZbSwIyUS3*VV1YPVW@!nZ%pS`P@_5
zKKI(|WQ8cKJ~{h}q~GLSyYwgTIv^M{eTU1ddbxEIcJ;4bGVh3KkpDxgmCFm8U$ONS
zPl>&IIB$~GVcnqTJA7Yd-F1`=d!VE0J!fGG|8k9+#|^#w9%-nqj<!@?{k!sUdH20l
zldqQiT2NTW@Zx4kZid5)lO=Nl#Uk>jsG3Qn@=Nz_>i1pvan4M4c}e%2&T6-vyk`65
zxi+wTKO9kic471(_JH6QVT*M(oYnBoF+U|&CXp&{eVCDLb9(;ai$eZA9Jdl&Ee-^I
z;kkYFjOAU8;xh)Pn2dWj9no3*CUINfH^bu^)06Wu<T#d{scc)2cIM|pHCEv?apypB
zCaeAb<1X$_boHG+{V;Fn=BL4n@337y#H;VTydWWK)t_S;#q~NSr<l5XHXTV?{3fw8
z@Y_ZcnGyp}JAU>h&rYPf?c|--njK*L<WtVh$h5N;e;zKFnK&iznMCFkRyT>%&Wmn0
z4@)e5le{L-FR5mq%o@vOlBuODYa+^@&APhQ^4Scg!*Bk`W%W)xV6pg&;Xw^<9`jQ|
zlY2I`pLDx<Tx9W_BUfq|>aG5ICA4W8ocXii-I^<$oL@RMUM-UhwAke$()dr%HOax{
zqk+Mq9}*&glO$vUgCv9kFG*yXH7%Z>8Tk4ChK@r9hKmYv`ZSnkD|H<?*6}FO+2!L#
zyG1G+^%gDJV76$<#$!1x4&j#<T{F1vCen6Qur)c<MKD4#P$kSognzSASAYFeLDkMw
zLDkl!f~vi$Y04_QW{PcXi<AkJ5OWnV-RdIJn6K1zq^aXkVx7xJL;Xc6hSzy6IF|>1
zxOiA((u+(k%`Zl+CROzj<=S~-yF}FuUNSp{iQi){UD>LrZZPx8)m2SbEZZjTIuH;v
zUBc;=9NXkwQr%1D9dQWqPh?oR{NnujS8S$R1ItW<eowS=mJfRV!uJ(Z?aITeL?u+c
zdzPp0Kh}u6%b{V}X*gxhp$sp-<SXo@+oM%i`{aIQ-?`Fi@=D3nzFN1)g!O^bZX{2!
zePedYt}IpFktMbCZc^97j7~|noxEjj%sL0x7=+Ed#kcs(#=9D;eL(5V^pxB-iB$Re
z*@qd~X5Ml-d{OAW#8a~y5_}s(J|Bum&C2dEI<B@@XTw1a?=|M9<gQ7k%J=scS>~n8
zw_;75y7I0G%hZ*3xy&_BToIG(+9hD{wRxA1?&=jjxh;iDrp?Hma{EEqj)$o;q>pI@
z-_D<6`A#CWakpFKqj`bf3hrzCt`Oj}I&nozqOX41jQlCLA0+NrW3<)%XT$83*|mOh
z=9jPkDqVc$;}H#R8`D!l=X*A_KX<!%oO^Lh<F=o_9X6lYYJaw1%MqW&Yp%VPZ)4Is
zxIJ;ry1(Ck?pZZ+-#2TC)W$xy$VHO_zZKkCasIgD;+RI~pK|%rB)M1fxO=ZLIh9*r
zap&;T8FI(6bZY9;r&#VYKb2LPKSi}qDwY3u&!+yzZaZbvdx}{7p0zjWnIG454oH6N
zu%q+fjfg~zsvMp3{k=Vlj=AYS_R!sUMq~TInFa1s5;G-MZ!El~Q9Q@^6w~wGO-EQ4
zzj?SQ@LS<YjqnFWI|L6#L?&58C2b7MYu0|aNlH8X@KgQMg>Rd;*LTJ7UK1DolJCi`
z-Tm?EFaCp5<F8EHl6T4E$KG47Ze7yPy87_cX`vn8HqJFF`RP)xdfZ9)#p^>ne`97W
z-p|d=Q;^tsPVY_P1n%DwZw`6#6h0Jb+f^WS*ly!@P51EQ=d_kfzB|OD9221%9UGA_
zg?qK@TJF`R>$q3@9B-X0@%)fxz0IE+jhA=4+2O~!%kouN_d@NyT~|-NShYB>^IhNO
zmkZmQf4z9Q!1GJd1(7Q245?bXi|^N*3;a6&!N$fq)30m$bvhm%a{u+arga6Ev;C#}
zCEY?|Ar;3@NxYlHp1kXn;+H(1@XGV2B;H*PH41&2P-VVubxlGl5C2Q8ovVrj_RhXg
zA8NPBsO@;kRHsnO3HN+oY0Zz2JRH2sYvn2#hxgB3YV}7+9+unX<sW);zT2*2d(Dn)
z=-<=yW#>!xcOr9U{WUvM(6GjT{*1UMeg`WpGn{$aPyG54`O^EH$ebyM&6Z43++<hl
z^=pDPYx<LF=_CuMYs=5O=NGBRU*CSaO`>n&*PpBE_q}`K=NWsyP&&!N@!Ir|uU}Ql
zy<YzEyCrZ~bJM;ltJX{J434^9eydI5+=Q=7Lu+mAxTc*ebvZlbS9T6(%n6$U!_>BJ
zdA5Egr6Q)^9<ggDd(3^zu#&sR|CJEC=iS4KLEj5M27CEGVp_SpVBVF>7T+~5^2$tK
zad?%^6P?grFZ=p)6ReJB28sXZyQ1nhaaa4~CGQ@ytW+<Yb0yfq?Nz4C(p`=T(pP!4
z3N4&M7aO&`Uzw${E%^GZcG)R!lGRn!H_o0Ee8c%<X3ozKf0dr3{Ot+cZ}H&Q@m8(F
zyiQMdc&=dDZpSC@xBB33>-=D&!?Iq_c6gs;`p%X5cm1{Z!A6Ifz3Ril^;EfSw5ELB
zpHt^^lE+_T=3`A&ZX4|>U-#G5`kmy_*O<BZt$K^jg>?bf@;7JupX8C%n7LS4mAgc1
z%2(^lY(Ykcv%H@Dm^O*^n#ieNXJfK8XC}(37Oz>J(#YGosjm96n^)Qmmy<HHH6s(e
z7q0p5`6|pS?S|V)nbjJR3GNH)*Zkl4!p|%1hU-b0-I|dJ=hZJ>T-AOq&M9=K)WV`S
z>LHEHtnH7h_Q)?unVK4CbUe{Z>_(i%>jlq)j1IeaJ)7Zjl4&w`s_nhy0Y-;Iyq?W)
zJIQnz#7_?}I_%^1Y=-Merp;i!xQ|p!|M%1>XEsim#5zsnRMj5+Whrg0^;6DloG^)X
zpXQXW74Z%yc|L2-OqNsSKBGJ3YsGx$lUaL$H|=86jC>HVaLw`Gj$UD_g}lO?+b6Mp
z6FpV6=l#-@ttyLCOz&w%CWIfn8ySDkopWlU-@y~t#J^WKiOvWw_{(W~uUyP!VZAi7
zwZ_b3Yt_{`s{*b)uGLmG&RLjZnjc)h>A<I^X|EM6_b=$Ja?w)=>C5K`O-O89)&5w?
zDK)}pg^V{xXhLS=s`f`lPN@-oD`d1eLK9LOSG7N6aZ0s_ypUccAg3JC_?UH-T+@BQ
zRi~Obr#|Lb$TdeJ;Ohr#*A+a=Ij276S;#d<H{k09YxfmA&pD?)W?IM<V=|BP*?+O<
z`shXNC-3pUo$_#9b5TrrKqH_0%@uM^{%e1~nt0{hMt%2ccF`9(ORM(XOtoD%ubj_X
z<9IQ<Xhq`Es+jFt-IgBzE_{5SmSowR)kR6#S0C@|ZZ;|@4d~Opw&F`o!EvvzdkU^P
zO0KiiIL^y1x+8OG)x8~W7w}A%o$`2IGuNH%LALAbZ3+U~+WAg>yy1A&>Vxy&>|>Ep
zvFq%N&Y%Cf$#S9m^5o;o{k(lcxn+L3{7>3)^pMe2nO|4lUi@0~eoZ^;SDW6CFRH98
z`j0kOl^v}pu{}QbxR9f*d6Lbpd9(fcd0yRo)#J|gbxz5($E;uPbbowN_0HP1F5rAz
zx=mfcdChd&lMCwSwHwOCo@ZXJE_$W-fTX|HisxH4&npQye^;V^-SczmecpD(lK0A@
zOLV8lxIda1Sa{>A);vkk`<AaywiN3gTl{+Gqc16LM;+5`W(F1>i}X(m*;0CKvZQG2
z+<9kK+>n2K@#~z@W0NICW#@iPadWL+u5PUL4dl}2b3UfH9sMj(-@oqp%*&G{MXSLA
zmFc!K13y|ETl~86$*w2H)6bh-->X#oHKs(?GsW$wSoms}w2+trkOk9|ZFbG`TQ|X2
z{IhWQVdLwcN~fz9Yvz~BY80!=mtLK;b3*(M-Cfhl)-k8*J-(aQz3%CZ*A6qgcWhog
z?TqQ2qp2rj4q4w7i>*J+8Ll&v`^U7~i?VlS)dVlF`f;IN)ceY`Y3c<RPlWld3i~Gi
zvVMl&#ja&%SFgJI?{=~b&uzO)>o+ZwS$k#GeZH%={xAL@Zv1INs?+^9hj?b&8SPT*
z*?cg+XR|@hhA;fwhvMHa;Ni|;+@$a?KW0YaLS2h#-SvTUS`#<wIxTw~|EPWek9RKP
zCxw5hdS^D=5tBK8bidNMLmMuMDPI4$z9^vW>NW;ff&bg}&KTSmn{(pmezS9jHk=b%
zc;REY$vov5Qx-OVJi0_+s^g!xu@P&(+izo0FaEf_ceBBs4M+7$|D2bdvT(MpgMLT-
z1a4!Vt*p<VK9UzoPn5`co#=nO{+`(W<&W#_(-%AbNSB@Ra8hdA8UA~x4f)!%r=2vE
z*cJYE!oyjr+s+)=`)&b`xlZBJ_P1vx*qxX6zkJZ-Xnjhk(jd(``doP0iL%0gwsrEC
z3!k#TG4_7)^W&|%LX`$F)i)HK{k?N$9=vthQL-vp={)~cJ43#<ebXK^HQqYUC;2Nn
z=`_z}#(KU}1{Z|F>n7aW_NB6}r*A`yz(E1o+;*O1o$yWaE_T-(C67fu`cyozzrWDH
zX9LUg*C*6(DIQkw&N->@=_KDNgG)l;M&duONU$F^@h<R`{aIq2G9$55SJcJsvZG{L
z<Rh=AO7mqOC(KCZ)LW`NKf9ghv(Cn)%_o*yXdEu^j(J%h^W<=n3{SSs!KI~7cE6dB
zn66?r^?|wO%C(yLp^N|D+hAzqbT}k+!^GQb97Fk@9pafBJ0p1-H}{#6#7;@QH;KCz
z$I85x4M~{W?6syefInO2%JJ=dvyNo3`zB6pUbgX)*Vp*+WtJL;L$br!>~9#}YQ1Xn
z?2ydi*ocG{ZttFw#Crb4GH)Nvc(j0NvP?+QqUI`zXAA6(S!W16mN9AF$T#cQjQ+2?
z3qNo1^bGzxopb7(8V{znX!*ANS7)(v&e<T)_E_vt;Tb2EzNn5Pg4`cBOy!&P*jkEX
z&ho}1mQocK-pump8553VN-ZhqV#}Ok@-HqV`064vi~O@+_AmE%aBX>6{i%Y_mp`z|
zE!4{Vd!{k;*y}?wb7LYFEavtuDM;jh8+*guTHJ5ZTJGf^4qesFv?>3hd7St7xtLy2
z@vznU;?F8x9b)p2iFnk<U0w0!ke%Gl0wMlbi&l2MImc?<=X1rBztIue@%#`^xooL-
zOmP5zxa^hVqU^qpHZ?CRxb1lP#jpCvyOTf64-2ndDL*4E<AJVjUTD-so5rX1eV+}^
z{N7ksxb*Vm1HUSS5AUiFK7OlW@_`){laCyznB4C8QBA)2v9BjjxA{^7n|o7^b==r|
zXrtCPCDY)ZKJ%5ODSJATbM|;9IPLLNRr{#MKlzcbo!ar)lV%9zdnIP=(d?B3O}Ylx
z=eTCAn<<$eq`mjXV$YoZuN61MUVq$ZdTnvh?+AY`>15l?oF9dElfAo_Yc=N0KN=zN
z>*$%>&@3-0k=Kb5GOr&>2)!1Rka|5)LhSX&3+mS%FD_rB-Wj)Xo=DZPs!J~f%e5?i
z9SdFjY~j0&a&7-M%Jr?>DA%aDQLgjkMmbZ~qgC}aK0RMm3>B|UKJ+8PU+VEAzt#gY
zc4S?7Vr+Bmuz}gT0HMW?b+U4$(?1#-ott!|!@`@3`H@a0&!08#=KH4UteyR5%a%Q1
zf7cr|ira5dXj^W-=J)f%tRAtK>^B*<rEk-+oOffwfnw=NFMcR<f4Xq8t)^t#LX}-N
z0=QyxHG1>JLh9!__)90fNPW37>uUG?D9OW8(Nkq?b379E@_OoQR^&gOd*V2kv{%wn
z-lZE(wOxw%yLi`gPR5`p*UwV5S6BJ{QS=Rwm%8rOp;B;r#}?;~hv(8uYGwB7x(mHX
zRurn$J>qQ7{qf@gAx9x|9hKJEVv~;jKYt^-^!CIjk@NhWU#)vpe>c?D*fv|$B`w8;
z?YN=w@i(j3V>@z6y>@wdP7M6GWW7=v99)|*_i<hS#`)s9f9tE|i;at&m;XMp>_e_U
z=XqJKgWS<yIhMBc>vcYutZdsgov-!1fvi`<bw1T2((OVI*E)B8JhxD#;(b6+&5zzY
z=e3$2{}(ZoXQ=<Jxp>YR!7qPv%M$Nh@p|z{jPsX8@X{A=u1Hi_Z)Mm!C#qwfpRN=C
za<Rp`gS{`DUAgqdt4lu;cAO8rU1MVNMcRF7=fe+u(JDLM`b>SaAUN6L!Id9;hgP=k
zcymR`rXb7ko%L3h?{lKMc8jgu+fgZ9yXK7WCbxp)D+6tcvi9uXWl~?qCU0Td^xLoZ
z(Y)Z~9lv+K5ei<{dHhO+xNf|R{==|3$BSyhcmDMLkj~fJ*<u_w_raF%<rYt`O8QlO
zWl-N!)$usa_K@LvxyKvA{S7{Dx10O^Uj6Hpab42;p8VuX+oxZ-uR8L<RZX#~(l*yP
z+XF)D<&sv0_is3}`gp{<tHNtO)W6ca_G8ubhr8BS{alx_RKIeca^zEQy91{2_c;r%
zs=M!aG&x!3<4MkQKc9%CuT{<ewKF+VKXL2UCp-N%eB1u$J(uNIp|;<=EXse_x+c~!
zAJ*8fcpziH;t`4ciiaZhD;~Rezk-+Z*w0`O!+X(}MPBdW{9u^Sbl<_?O8$ZwPs|N`
z>c2@d`Fxai^7$&Q<nviN$>+PY(3ua?L1(^PGdWz9&s1YE<Egp8nQwB62iVrjB`pr`
z-*9sE@f&Zh3ZMD<O0(_d>f@XAwg`p2c)cL4H(}>Khc#I>0;Sz7)pwPS%56Wf;kGW@
zji+MHZ%Voa%XS=<xP3RNDL2pXXj1yyK%L@$6TZKzUz;~Ep*woXjW=SFW;>5ENZ(EB
z;LS5URJC0qd2Y1NhHJW?GVerI^LWp-e_~s<HTucs?Z%<|HWX$lKC|4)@p*1kPphBq
zL8ax>k{V03#G}*;H<zp~Wt@MK<#t{A>(4u54%Myq+i+ra@R<*<c#QW}HAKeQ9?4lR
zm)IBHZ+LyR`i%PLSA)-d57j>^b^m<j|JVmtImN0<yI#i4P23p%ocHGH&!W4+pKF(_
zKNtQYZtnUEd#k#p?t7Jb<Kb`1_YYR@u{xL)|B12e*As!=HAO9V?JSQu%%79c@9($q
zdh8~(j~TZ#U&qXQ&7!`xdurO=7UnwUgJJs{liKee*l;(WW5d~ej*az4^E)=2&hOZG
zJO9D+gZU5A4@F-VQ3prU{QC|Y?&mMK@zUI2&NpeMn2*v<cRoui-T5w^Wb;8<$mWZ5
zkj<xSCWi|_u~hNKT)^g|oZ<n)^>U9^g!dO5S$*8%%~fHUPp>rjUWso%JzaCBik`b&
zX*bLHy4ki<Qw6;(UI%intKTKOtm2)RWZaIU4ElGII>Pe|4`pwcc-$N9^WmEAvK_BQ
zZci^brz<q4{M!5-4RvQ13(YB1_bEK6YZOz`&A4v&Q33NiNiDPU43Ft<pYdQ@v`^vl
z?KhkE?bLY3+&NuU@9!}!ftW3vj}HFkE^D0Mem_NCaW&VX^q60BSwDZNpI)n5A9hf6
z&W56<$6<bjXHH4>-QqiaekHH*ob3-8p66H`iInauIM$XtXWK&-_FRjjC+}yz4Ndsi
zFVV5VKYquQ(85WlKc(*7IQ{7-forRDpS_qpbJeNf1CQ>{l6+MAzC(5Xp6jyPuIzr1
zzkThT2h*ko%WNoW3*L4(NM=)F+iWwL2b*}?>vtp_7F>6$peNgG&VyaN%XcJN*1tV!
z|5W(O*`j~9!q4AVJ^eHLe$BsUQRm{9b$vE>-`{lQ+4KJz>SwJVM*P(a+3aTUw*1zC
zz^h&oPsBKnSp+Yg@#>1iGK;MYljlZtc>3uca#=2x+!X9>aBt;OiRYIpwoAOd!r``4
z%eQ2LUf#q7^_z`DyC(YeCbk4~8{AphD)H=!5>IKCVVlKPmgc!pUF-I1|KIsCMtDA7
za>VCqX10j6&L5jDM+vh<{Q3Q6c1x<pK2HsyySWP)zx|1Ox20*C{ieMK+nCpwZ#;2S
zOPX)PC5uG{{F^T@IP+@ldvAWwiMhvo#SgXnOx(O1E-ZLtT@_ugV{#y^xhwyE@1_e3
zi+Q#7g_|F7V)ijz@#EMX8E#&K>kA%PPxaO{Il$H2mG7^&`2xe~dVT$%Q~dusuiCt?
zEo)5p@cyZK>MAGBy)s;m{_Rc|4=*$*Dc4}!WjRG4R@SRU{nX^-P*u0|<M~20MSs+e
zUcMix-JUeT)^Okcx-|cu`usKXtwp-T`C1<?b>{qVYGF&o+W@6Kg&KzUtfsKMm+|WQ
z{_RwvM8<lVeX9Qw{CnQF+TRl9HaKw4&iTuBZoywSTP14WIyKpqDZ1uA&HVdpd;6<T
z$J36gzIShxYdU`FHBXp7XMUG23xAidNPf33&-*T4#(UzkooqDCTz^F5bk$${yV)tY
zN$%(}5nFq0D{T>e?#)Mj7q36G_~W{}yKlH$Tz=!oh3z*)7Q}Dt{NA4w+>&>6nS^}T
zYfZ=NkER5bXxeD=_;dFkW1n=c)%|huspW^}Nj`{NsD9+LlYfhN>pTg4Zd=Yc(W;<5
zVfXlrY<*Q@G&Tv$(YKgXVgDjxVf%xO`i1R}JQlV;ELf<1V4;(LM|0~u4s~u@Lp`x7
zp%|?%OLoMRXla}iRXu!sp?0fW)9O~auHUV4ZPl&w7|OYA72k<eDc#Zga%4y73(tbh
z7bZV)ySOsJB6<pse9b!XDvoucvRVgbDtUKYcAdttyi3QBPb5-kj>e`X7XN<#zw)SF
zT&%wE>yp@i^-YCu|1CXg-}!(0%ZTs7Z;$+MKRI7>#lp~NpI>YKT+b@Lazjiw^zwc0
zQi+v{LG6K3i%KOHDu%Uxl;V12;OCqudn`+1)nN(VqoopmBeyMGx8Pf^tdw=qq4cNr
zT7S0ty}k9PxhyO4keaAKP6*>sHr5YJ>;JF$^SsRXLVZi={Hgz+708)y`Ne)Ts+l!n
z^7DzC!+c90z1UsivLV>{PlNR*tMdWE+v=yi<t+}fdtt`SyCL+{I*VsXs%kTq9})RH
zb;kSSQ)j$CGS%XUlI63Vv6HUv?l`(FG^Y2yZt7Z|)AhG9x8~{oZxj&zbaunP-=F61
zh)fLFcriXR;N!%fFYBu|J<^wYW2kt4$?N^;k2W3AYVPv?>VGv;;a|c*6Whp-*IAam
z2*~*~y?)x>>B<RTUw>V6YFo{;*xaC{pX3vyUtDl;OSdwa*zU;ZTl2Smg|l$IXYvf&
z*^f$c%RG5DznQe+$HOwuke33xRW@1N(Cd`pEAZqow$uJ!`@ZW+%g!M7`qNhDWMo-q
z{GGWY;qJ0OW??_ugzXcPe01)+9y+rAuGYbI>yM_ItowK6)$(P2Yg-lUG`{}u7n6Cs
ztn+AlXWs3Cp1<`%(RZ};$^`-!TfbpC*lFLncbDdrf*T73zHC=_+jv*c;LRp(#<JV3
z0@ZJvnwrZLk1EbSP!Y?!ncJ}KpXZ(W=8G)<n)BjsRP?NipTRlxzt_#^(}Jh!m*-p%
zPm|?Z*z%FDHDSYF4H4nDOovr=3nXlc<uE*|r!pf;JjiDQC*%4x_sSIA^k)lviZ9vD
zZD@6y<>Kb!H&~l4Zj0)1`CGSTOWeW_e;2(?>9h|rI#nmqHnB|c0LN~Dq#dyjaxdzA
z*jAq*F2u8uTl3g0mXGX{f8Ahh+PCeNmrL&6jaS2zvQ~H78g|u{H7?vA%VBUpuSFt5
zT<FUdZq2F)7PVW~6i%N^U6gxvE9auzvzxhDKI@h$wFv#P61i9Ywlh#?12+%%Tc-xs
zGQ}e$y9E+=#C8}S(o>Ph6c75cg>z9Z??!GG=G@ZypuA{*8LorD)kz}EZ<r1<?iNT`
zAIq`vvYtvsig?hSO`J)BAJ6Cs*leF_Aew6bIDq5aE#bu#iQ*D*w^|v*-#T?nE>k?H
zxqHH+EvarI!7nVe`wQ<)3Q(A~K>Q8UK^gB}j)g6Oe60zyYz@1-%NieUisdLcs@Jk3
zOI#^tGq+({{moVu<F`&-l4g!;&Mmve+N8P7N^|`=HA|7Eli!YLq}^t1yI7`pKw`H*
z(wf)~gL8UEB=W_Dd^T`vs@-atA)h=swqxVPOI}BXQs+-Sek1K+Qh(u*Q=ENKd@@~=
zH(t^cShH!F3%Fv9u58#ECYRJX)jcBXso}K9%BH7b^O9QYTf04rw@wvavoXY_^3<Me
zagKM9!HXhtp7Ok2V{@QNn}6fMQ_4BpK1oEcu{ju~?O%BO&{5Xb-(h|q4g?8qT(Quu
ztZ~77Q`N5auyY?yonqdz?UTUuwKj)%wfip~J|+BR(<hO=|EIidGhP>W++ybYCAwM%
z_nkkoIG%57OS9d~2My}=xm}OtraqkE&RcM0aht`XKw+70D{91F>j(*MzF0S3=5b53
z*zYUub~7J5On>BAFg@lF?|MIjkNoqVXv+QQJ6CXDoqfmi$<BAao)mod<B7!f+M=dz
zJIkZK+hg|4db&=`@Oc0K=FMTJjsM21_cJ&@-R7vp{4)mE)IW<h`12XwQg^SHcsltf
z*C}=N8E+>CpD8^3Nb7aYe4h;mVpp%RSz29cxB2&^<q<C?OQ!vL!q8o7H2-U@(fmKP
zM)Ax)Quc{_I9cQRVA@f+ms56Ycg($%`JE%^p8nT@>s6K4uUsxK=`!B8*m%y@lZ@wn
zJ`s3cThzjBXL(F_{+tD~*C%N1`hU<gy(6)H?{s(77jONdLVhaD_c6FJ+00eG|I~%+
zi`ibh3UvPReFZ05#T60exvq<nP8uFj7v?G5=BPOR!>OBXt$rVFRW4f@QO5IGcJ9O8
z_tzQc{dgkaUt83YZfAL{c>bIRt^R%=FX?t`e%lx=Hu*{Pe4h{JVqZS>+%*69lBwz^
zZQn(SPkvHgegC4Q-H#^>^0h@B{&tp5>G9W+N<N<C)cY;uUwCro4UzVHZ{N5*I<b4s
zsu`(eRaYde=d9XsamU@oLOI3LECiNUt@VEv9pHaUB-&Q%a8|!m!e)2gjVBkYMZ6CT
zUQ@j4QPB~xey@UKk+M&{g_qYF9n|!{C6aEdb(pPRDq*qv)B4$d>AOXy>#wW4!V+$q
z)urthnv>;kRjj&goBEtpJHFN4SuFIXG_2&O)(^Y+J{wL<HalwAf2!chVm6CMfzC4D
zRtWM{UXft8&1zznGd)_jTq|s%v5nTjlJwAN*C!~~7#(Esza?_nM(c1$zf?k(JFnr*
z#cDI21P1r~X|5<=wQ5`a@A<mcCfnX$*|%`t*ZUtH`oFqzUB_%&Zshe{g75#Q{Qao^
zD7&X3{r^Y(x0~hrv-hRm*!S`M+*hxxwr1b|5v=~v;m-s4H(UpG+MNt<FElvwQ&E3^
zz$Yb>f1pO<gSQV)e9xQuV1@hB+ULL5{8)P{jO*s6(%H-SWTkI!dHqAX>Q7+3&DEq1
z`@eT~nd<#>w3(=!$-7i2?$5;rmh-+IAJ4S#`178Sej_X_bjMinXsxi3jfsNp!-<@n
zax*3*-G0uNQjzCyOnjf(lhv`pL3<1p4@WbzsqQgWJXkFpRAZoc*qymewZ=%%@wvU^
zghkz)lDlRZIG78!y~sPn@%-%K;}+=$>O0P>tEp7v%vs#AJ@N9%f+H=Ae6tOX#0tCZ
zNIWEQomXOd!Pyp;>AoI?M_YKpd1rk1mg%%l!cg(3qOg&TVM5fQU|}H}W5uJs!bW#~
z*;FslYM-jO=(qe);~F77e!jb3E`KSvvnVlDbQBj3Dlt<$tX_NIXSL=5ZsE2c35Ph|
z`_@Z5p3C^N;q$c?mV6(NkGEQQ*8502Sjy>KW0Kes=XTSx=N{XW<_LLVp)aP2uJ*!4
zU(6F57}a<TFSH1<%``Zc!2GGPu!EDcZPtYRf4(OS?zAwv%`kBB7k0Bq4>9U4Jl;^V
zp*GLyo}-V)$15#+F3&VLkSyF*k#dNG-&f*sBV+wfhPwMLHBKgqM`MMJVhj=+ZmaPW
zo^BD$n`Ll}m-!RppIw}s>t;@Pw2D)5-3)^RslshLQk?8A&M-KpwYc>I<DV8z&c4|b
z{7uxJ{N@(^l-tVrGw)DKjc}sVzH$SF`67HXCM0xoYJQtJA#pt?^Bcp&j=O3-8&0<v
zmPt+UH?j7q_t<c^rKT{|sco$qPr;>@nnz}dE!Jv1g}?i6Jqf)j9rG(@_MRi_7|OrQ
zT=(hQ;l1ZKTVJqz7jS=5Zt`ubJKH&v_&*%b6R_FBE#m%`>2T-y&1)NVOgh(}yZdW#
ztm2NB0UCK0B5kkhMf{t*yMFX}%wE=Z%xcabo7?Uuf_@*H#>-p3*6PZ`2hVSA3ks{x
zyuT_rInr+J^~}`zQa5|Xr1aL!^V4^)yY}{{-+S?Wu`7lB3;u_w8{J=}yRJ@ipXRbx
zxwo!tUpZsP!yogH#?LI1tk8=1mHu&6|M9?f&H8_fj;8y0xA*zJy=7{a@M-?pJ<s$C
zSNT_zc1@nQW?R<ng&R%3Reh>IC>v=fSM_Si9Y49+mv$2jt~}gm&)KB@PsKxa-ml3I
zZrjxhZQ*17|Hii|v1j?QmW6za|9Y}WJT-5Tcxmn;@z825?;iUt`VUuxt6My}s>xSf
z+U6W*d%$tM+@pr@{tf@nN2Z&X#4+Fa{`}3N#)Y5mRiDw^ruDEVTE*hIO@{V9oqGGH
zfj<+T2mWk$9{6*?^FZd9&npzy?czRSUXwTR;ihPwf|I&!J6?z>#}srMp4)wtCH-zv
z*JZzro$ue=QF1g-|Jylz_3rABCONsOi<Y~mzIYnQ{N>vU#a}zPT`peNHTqKA&G>8g
zQ32*VNiBMLhQ}1P&q&x1?PGW(lKZW6-O+&O_5SslM})6)T-vqrUw(~K)%VnG3KzbX
z$sQ5-bnfftGFhovx6G1uXs5rm`e68MZ#G}X^@X9gf3+Q}swr6Z-}`r4{H;feSLeL7
zE;*up{e!-kX;SL-pW5pi7n~07<4I$?m(C@#=dp-|#x8LSi7F8brY|}LEiXbJbX?ed
zKya~tqq1ZDeJ1y=x_@5FU%dBkn)cz^)jT8igKwGGkIrRcKYW+Ty`h@5PvASZjG&x|
zMUst9fl5Wl1CNh4?u$y~{hXYjYNJ~qVDnjC<v{U%#UtGN6%TpuS3IV@U-4k}e#N8G
z@s_8vKkQz2HUF8yj?YVz<0n~r?TAfL+!3C#a7TQ~!}M(@4%MIcp4d8nrV_WkxvN-R
zS(nbAKO&JoB(+x5cWr0w{9u?eBm4D?Xbw4%rA{CBojCMi;R&G!$rDwNELZYwIp?a{
zWw`ppqy+bgsz<*y$sO9TRzc8iPLA#-fgH_E0Xce`405zKvD}DA;oP|9givDbMAc)v
zl)Rg^x=s_x=6Yp+;8l}LeUng_Xe39NSfoanNTkFx@kpjOnwwf~#HMs^Sbah$Ient)
z!8RrDu0qzY@<}z0N{O7pT{<kn-8v$ZyL5PhyLA|siAFm4=x=hF5t!0xxcS7Sgq(@0
zN8dF4>Ni-fFmXl8PFJy}N>{P2pRQtUtgJhGH*PpEF(I%~`DoJfP0P-num68gHsaEr
z$ywKavgy^kEp3?{|Er`)I&SWxUE%3F(ysrUw&2f4fjfV+?q^6<t==YEc=T&=)X$&1
z*UxAEd_FzaK7H!^`0~GVrq<VeJY6$;<%va2-a8(Mah|K6ncv{Mv87mU>VqElr53LP
zCG+YZo)I~!yZuDLh1fX^=6Cn=6du-ftKacXEIFpQn{(Z+qaxvNl6D{aW<S;7tgetx
zdAEr19i_u(^k+#={;})E`M0;8vVD9j-L=#HM@d`d&*X%sd{LECR;Moi)hGL^?p?s4
zqwDWz9b{d7>%;d``RA)cnjV*#TEFA$_bM=YuP{j~@B5ZFS60Q{VLbKyP1w$bSM3X~
zO>~G=t#3To$D*~#BjG}L<l0kwcAGvXn{<ktt5vmI{PN1l`Vuei9bZDt;%@ssvX~xw
zeC2AH^aa|TU3!xwCd5BFp|k&5dCvV^!R_(4uXP`1l)m+7)%6d>);7PDtR<Bme$qAl
z*|;ye{!G;JWz*zu?VM$Q>atFk`!BsG+h3@772I~x)Qi%nKb*|X`{9z)&%BFH$~+q`
zNHF_q#ogE#&~#AD%kYSkWLs25L!y{e(vDU)iNuA5ZnLK3tN)JHIGDk`R3dpHPqMDb
z0SoS@uf4f@4Guab`<Q6nuk+m$&~#GF%kZd^<gSQ}hVZo4k9RM>E++M`xAj;>cF;$T
zrL97Hwg+_ai=Fy#vEGTfX43_Mxo0<h<UQuyZSEF+`SkSfcXg&L*xefRWkW#QJTa*S
z(_7nK<SrEa6_L@iPwnaHhjn6J2B(}fuSIAaj^gIsaL&nj&Gri%(mE!`+$JAW&Dj*t
zbXLr3<54HcZBZKcEu%9!-ik>jwzqz=UFJDis4P<BU>^6<8_5fKs&!5357=?@ZoKcL
zEVJQ)1h>yA^;4N*r>^^mof7vJI~DFJCY3O)wQWZ3!W!}Pg@(`S=G8VXoEfHeRQu;)
z$FqBEWaG@N)>d?UozyB6vn8O5TkKT9y(LMG`3qUnb*DV)X*HT-ra3>(FH+-R9rw~1
z=?i&^eNQcKv=s9)xb38w7O7!>wqEV&;jcX0PtP8A(p(m)aX4i1r^M1Ft)DVaJ87y#
zY8-aq<~2Cz^wT7Jq2RH|jGm2ZPY=J6;C_0ViF>I;>O!7i(C`&E@5Yl($~jvuNJ#sf
zYQOqb%xl9rC(Ub78i%DOe|ng|wN>cM_JFRbVy8A7T2eD3DsLgnX`LxaD_f0pelD)J
zn-e0ZHD|j0E47cuw#~@zv736FYxy((Z>FFB>^#&O=6a_t?nwZLn6*fkDqm~j%jY+Z
z>$@(g<VEP7lV`u8+T^O&nY7S5DPqF@z$-eP+<{Zsd8R+@7to6OEZlbb^KvH9oxeIB
zaxHGsJ}<G(<ed9Y#lxXL7f<_TR`vXPSYtxFUj38V&)=3cCd^h$IesHcoMYPxC+FgI
z&;BQfa)`Zfnzy>OtZ}}j^NBvgqk10_KegvPj}kl*oyqIFf6G~?jnT)~))c?qbmif<
z@JHPr^|~#rbqkkY2yWl~^K09x<v%sPTFlu0E-g^H;KA=Ty)RdJ?2>D*DPrbZy=jMA
z@V%z(>vUAq>Q`P3nR>e;EoPg~ne`t+bgrJ3nQLXXH7l!i`Ol}yuIG=a$7{5o*Rx%+
z)TeGvd~DE@tXus(TUzIJ@|y<M%-*>%xAye4wXeJ+e$Ne7nelaQ(5p>1R=s=vP1b5#
zcFye!tKP<Y-}qMB*qZSB`^^Ii(YLne{N6rI^-Vz*i*>Bj`EPp5>yL?Fn5JX8a_7C^
z6vZ2}_uFbl&Wb-hCu!x@XWNa`ce-|U-d~x%>1R|}*(S64wSOb>RA=uCi}rl_DyUdH
z^2gSB+irPXlgjj7o8tTL<-4?v$-zIpLVgC_d9{9Xcd%J#%)O|mzbb$Jy5V*G{O8rl
z+FRCK&%SE(klpmPsIBnv{|myr>-R?KKHkz7IdOGnzv-oEt5VPK-xNLKqr)Frv*T$|
zUsTfT@2$(Ma(>30Z8Q1t@mk=ainXaLtPbvYzp4G`+N$N#N`3!l=p;Jv>e;>e9b4MF
zc6Oa+VivDnQ)bn^t-<E|mxSfJo<HKO`t^$GiskPLJpH3>OWk|6EDbK-GDWxBXk%4<
zYSqqbf>XLLo!)nDn#CrKY0|1Xf30>a=z2e0%3;4GqCR2bwF}1X$+z!p_>rv~eDmr%
z)wE@+#CKhvIdheEdRM~UnJcYKgQd^-aJriYOYaYBcQ(Ae?Tt!*d&QUDTVg)k^Z7r1
zkWTG8AM@4Me*4=SH};hVdvA=YOYrsl^k;GEYoYpg*B>bD2ygCwl*g;rW^KBI>CU=?
zqK~Gnmpig)`t`%U@m`05*R`9P?%)W!{$No=XmfXBD(^Z5?W}^<h=0Ae>b3RmEr>QX
z=~`ZxU$eOEzt8{b_W2b~yb(!j-Sj@2Mql=?+4VnLS*(7spN*)0^5J+_xq`b@4bQHg
z=bZ0T@Kt(oeRgQ-y?fp}f*vUB_|toF?dd)~*`G>}Cv9yI-I#j#|F50S$E{-i9DA|%
z&gbCO+j?(K*V2o~c94kLy7u_b{G8h86*X!Z|Aplb8D6ey`PN(i{O}%$S?6k#d+fg6
z45)IQ{e5-N&wVp3KXFX`zkT7x<_w1ETPpV_Wn8|YX8kEL`|q^o`ZtMRY@dCYZoSFm
zT!jCo2%S$ZUKVdBbNWRVPdNG1tYFgt$AYs_^-p!*XcpTE2(6#B@BXb<ecFqvw>;Xr
z`26;5tHgg7PAte@uBGSj<=3@qTH4cjFMN)^?J1a)YJUIlOq2K1E%N62RD^!8yLe^a
zoj2Pfq~}c7<o;)OZML=gA%(Vx`jWrbsyOt_x4-1S6|^%;uvvK5jqZqP|4&J~rmy$=
zaMEhSQ>&xN>(6~SxSGA<{Z;2ZpI-^ytNJRDzo)9{y4~JdPX9H3&8~CGRhM?T$IX4X
zFr2^O((3jfFRm)re0pVQRegH?g6`4~ar4)e|0DC>$LybWzb`WJt<9sV={x>xzqDAW
ze$A$`ZXeym{r+k<o=?{N_A8~$cHW%8HQ!e7WZPslypuCMBG@nWaD#hq;fcj+7B2#W
zWeURFC-11Sx@eW1VswyYzK`KOb>p7Rr_Rn_CiT15GCd^i@ylCb+ad)ge|#Z-CUS)h
zXQ0pTgL4jDu{~mz#kcdUrB;0P(U7lFGdGxAS-HEu<L-T_)oy2%$^>`sGYxaSv-F+P
zwW#1r<$~5(1%f&254vt#%O-yKnp6zqwkr>~Hr@>9zp0lrS%2xX*dr3fTl02sxLtp+
zNCK2DQ+U@gC}$P4O62h!yAyiXHOFN4stccEj~EnBjGeeN_DDc6?^)ZQdP&V+8P4wR
z_-Z#Z;qG>~`sb@oJr#X&j*0zXZn2l9^^u;I=xb$*-YMnHTJ8C6Vws@%nTrO-9kEAB
zi;qrSc~*N}<FiG65vz80WZ##1?C)ImcJcnLzdkpvo^P6(DSq+#Er(URJ62!&rOSR(
zZ_)OhZRceprNY;!@2nIrys7@Vcb+NxC%s1*jm;05w*EV{yF>9=eUE}loaytm&tq@7
z&e=TgdyRPEwUu45M<laXpD7buepa<aEv!s%`5e#g*ds>8x3xkl#S1S*nJA|2?hw9K
zti<y)_DEs&Y_X!^*>Nd;M`kYF(`}KapOiZFg!ECR^?n9dR|orie8n?)Z&ib5ob3^p
z^>T?#;r)j9R;x=qzx-1#sjYtN{byDOOV*#8t{XQuaaB0K!O_+2Gu~WPKJ)#R;kwzo
zc|83K&hB}l{pFNi(we=+p=E-}YvwNBRHmD_#a;D9`dhJ?QHLDn`xqQh5B~D~B+p_S
z%f%8e10~%mt}q<7&FV;$Gd&d0FO}Tk?rU&k@iK`gYx+LvJ!+_&x=2e{=F3Tu_WBy5
z!-=c!?CG{himP08XVyWUV)2VL!R*FoV_lppg_0tY-YH34i9J$QEUqZ?NiS*Rw7Q=U
z8&Aa^xffNw%4;h7&+5$UN~?FBsPKQgE5udT{>J3=_3dT4hTB8lPbs<n?l6b@H$De*
z?{`{3s^zh|J6G?MyKr>UZY$IB`Jb*Uns}Smm(SfFCf;-?aQ)pAk>B1<*Zue4dx!0f
zpj_XXH($+;+V*~ezU1@_;SG-jS06ii>zRw8iT|ejePQqCneBe^_nt}hwy(VR4@Kp$
zaA$1{JhT4jF@yDD(G5Gd-f%jz@~Bv1neH};%~=~&XZ&3IUM8jbtmM5dv&?7n?^}1!
ztzf^H^wGUh^*KD{*&A7R1b6Emc^j1@n0Ga)yKu8ubkp@)6?1QIa^G?9S4va)t+ms9
z*1emwJUcir@5DUK*=u!6MQ0|gb3SKeeqLSJX6tj?`|<a$U+dgCCx65H%F?FYx4h!6
zp1!?0?$%<Tw$_9v<<EXZt-q5g{dw+IiJxkfU&^l;2H#ZOzum{M{!s8;wf<k{s+3mm
zzA1Qr<$1f*wofW0*Nl2oqMVaoPuu@#?K{`sJ5Q)DTK$d3tTf|Z?)gjG(q=9e3f*K8
zQ>~`5*`$7EeP5Zg&h^Syhu@UW$@ggAmAX+`_S0O;lW9irLHygob4&fLPRzCXuYa!Q
z?{l&GEhl={o_=nzK82^`$)Zj58{{7Zo!0*S@0(7D*c8<-pHH&Xz7?H+QgzS9?@N51
zFYX9mUh)2_q+P{V2Kl{J9eVp71=?)rPZXW`aG^inhcoK!74If1@A-DpFz&|_7X8|y
zF6(zynL^U7f2SQy4OPGK{Ho@+s?xT*(@JxnT3YP6I4^p&*VNLt*<V-2c#0oBsr|Oz
z^K{p$HQDCDr?oa#t~n7qdxhl=vyAQHyXw;Yw#;&0le;_e*h{v;n~_ns%eM1~o|QAa
z@%@5aUog+v!+$GJ-`e&jE3WQiX{dkowyUO5oO81`3f62|bBRa#=<TQ+p4u$SjE3;7
zOT->!>Tcuk&)RsjBBopSpz`Tk+p<ny+qQS_)13Oa+j@VOu}M2_kIE6bo4wKS%Zj67
z3(|GB3GB_<==vhKTlZK)R1POw<hAxg({$z8f2TC9yT#k!vu<6kew15y;)(myH>l5F
zpDS+hM5lOijnP5w(^ab$R=v-dUDj(b{qxqhW$XPm9Nu(m)vKwu-Cf0+VsHKJJ)^WX
zxBRyE%=Nk7GwRJRs_d-XV4WUm#pPd`yZd^b((3HnwfARa-)?)ubUO3&Ewjs?w!RGn
zC4t4s-*~*TZwr4*4q4<Sw*8iW#OGVzcBX2HTKWHN*xUDc>s!a|I@h+fZ<(Dk=}h?4
z_P3$YvA5+4m!JFJJ$>5NwG+N>eH-Ymr<Hv>S#Rr}ZMJ#mol*tskBD2^%}kiP_mT0=
zmgRACAIuD2Uh(d#WL(8p2K~KN9pU>P1+Mvil1I9xsG--+@<`NtnZ(WheH%}ztN(a0
zIoPJ~y7%OW+xs@H@m+0okafLZ;o;TBHk(UNoSN|I(xz=|J*IAb%YFLRwx6;rHrv<a
zN?+Of*6sAIu!t*L-?FWW>k`ke55Ll_&-`#^nW;^1g;B)v*xdDAHa9=9ubEey|IcFg
z{k>Nv<$e44<hgx{ezeyli9K<D7Vw>I3im7I)SoMLP<y%C$6G56_Y`I^-m}^&@P1BI
zOSPZwvDmlk+}BOL{i0~Q$A&|?K|00VJge^{HC)XzJR-GSB5`SSPvL<u_M7Yvzh%_-
z7kEb9TQM&y|6XUf;^uylhn>HKQkT!a{c-Pg|J+;G&C6Eh>pa}(uU4_7R9$cN`+%#D
zulBP}V=K{J8T&2$<FaKx;;TPSx_V0fuGYa-@>gB^);!aXSgY|xV9m$MP19FT6RqM1
z`+vj6=I^QLS8}bl<!9YpnfkM2i}2c_{I6%ty8Y@mTw5Ld=JP9_->cuQSY@8ZeZBpv
zxJv272baE|UAnsK*6PLIxoug#bJ>cNbKCNiugZVnId5B58(-M{uf;Pt_(W%G@QKfs
z=zB81CfN7p?2YcWS_cicpYlIj*zZ+vXzjJ>1(y~J<rJ;vRbBIa1&egfTFrXFAKTWN
zKFw#kU)1$Td1`&$#0PD`Ph9uD6XRTG=^A%ob>74WUZpcucU{#z_g7x$Y-qLp&rNGR
zv-LIXHNFItXxfN5KbN01U1QSo=Y3gyX4fAm-3V&#PRi!hYs=lXXZz~)Yvz19TfDG6
zf5Ga{bD#X2zrU;a<AG_y3rll!Yi;wd^Pi2@z8hNkwSIf9%vy<4ob_+E@Un~U{q?t@
z{oUe@(;EAelE0d!-~GUyKe@lg=wNcWT)m+Db?M~U*|SQUHpb0OniHNb@%}0^&(~Lq
zY878Og!fkUc*fZtOj$oK=~Vx`S4%4%t&WR26cYbwO2Vx0<ul%0l}xMn%CL7&RR{mQ
zKkh$XTurX|^orA}x_|zn?)6oY_49sBN>?rUs(PMd*Uw4(24~dUCEiU|?)h-i(Cya~
zmc=ziT^FyGE>e8nUsU@4qLJ*@?7LAp-!43MKm9=2ZOW$~GnQVs99Ca*DC>k!W&B<J
zQ@ehg3VbiG`#9|Btf?NmbbtNHkZjoe+O;<K_}VuoPHEq%i<`S(|Jw(lds}waF(0(q
zU*DLt@BV=e2l6>KT*&9xcp}=Xi!p9);(~B~gA=RUCEi?B=K1)_Fs=G4OYxqnuE=#<
zr_8Oc=N2blJrJIKwOcXFnR7aqOwHPe2Ma8MA0$|WKHw<WbwKdZvi%!G!vC_hY1cV7
zoUU{3=&o~a;jVM;`CRARR9xrWwOP-(-EH5F$7}18maV=S!o#xtuV%oVjcfm(x-UDK
z{dn|Q>FL*!UWm^A^6L8Tw&3L+24~csl`n1E<=Vs<W@!D)W4nhz-?P~p(l-8L(Z4rg
zkC)D>9V>p$dU84N>V=TKNx!<DT%PE=F2=Oh^OIWM=Z@w*3O^eH%KogX=l(s@|Nl<e
zzNhzFSN=<6F1%R3^(VjhnT2+96SjpfpYimnWZJE-VL#>dBD^<ynUsE9&YZTRZr<OA
zO{MGRU;cFd`7SW$>$>^j{|XMy+VgeArXO;_oU89D9bC01GxCU#SY=34tK8J2M)%Yi
z@6U-G_1b=7!y#R^h<9SnI>p_B({>$|_<Sd+NfopPZg<4b!>sj}?<(E5+u_=^GH+rc
z*IBFV>P(9h@&DG^l+TOLm>_$r`LF!v?CodeE<XIsUVCa9|NZpjwTTnu|JTotUlAO0
zD|Tx6{q*lzypyZqpXSz8FE5(;EB$Z3QO?>jmF{yJTK{Et*Ng26u+%NpdUz__>hbFS
z^_%Q{;!;&hG$kh_ahNK^*9*<C=Px{E!FTl4`_f~}KgpMhCG|e+-f%5}^IB%lhfk$?
zFMGb<eSeilXyQS`C^pXX57?9sGR)t?BQ)<|Z=3!hwPw{De`>!q`XBsszU$-GJJZE{
z3VwFKIXAV*`^Cqly}~8ii@Nf{&Rw{Ain(OtCxN-SH<$eQ(#mT5W$RAnGn*d@)EDPk
z9FmcK#$6)qXK=bL_{^UmD`BS3TJsYPnqJ0xon3UuYet$=(@M1^5{XW2C)IciPPQnj
zNm(wMkzmmFk&WBnT!P{;DV;+S%||724feI0M|4f}T6X)-ANiy&GnC4|a;ePt6Z%as
ziBrgDiP};LZGHt&FT;~QQxhBRWNI5Y)Yl8Qy+}VKQM>;-pTEnZS{)OI{N}E}$G`fE
zUZ2X~G|!!}{%XC+<%AcRo|Xq3xOq1oa8f?AJ;2UAI-^V4O-|$dVVT3;m2zp(87;EY
zM3QpMH6G_Cu5K0T32fWbGi}4k6{c!gxtmpayE5JG&6sgk=N?10uE`M*Z!ST#=!~vj
zH?Dd{{o^i+Wg0b(2ZBjMZ>c@j(#N@7+P4MVTdF&yJd3;c!#nHA$10K*YW7K3a{t_U
zJ9FWlGx0B5xm{=aOQiC-&h(GEw4fs8iPDqQc?<Xj=delSFH}4hnbERQOzLst(+p0w
z7tZ|4HT$H0_Ez}5*&MJ>$>>sV#m%zlJv@hd>gTxb$vLcRawLGe*Wid#@|&$Px{mdW
za|HEoJ2W5LBeK0W=*S)s_TG}@xGrZgsRtWc+jgXSnji9QR@+m@KE=nY;IZ{yPt`?B
zdAH5bTo)~rwj+HZ<1T4gwTF`L(iRHtipuEG6PuMZVdCZ~&(~~z=v#TtPSVo5@|;~%
zM$5iwCCT+QKm2B2JaVdRiJf<sXV#R9B`()xQcTzlzw=#t9=Gv{Z0@pC7yRRXhbMGw
zPg|z6$1t(yx|)xnr@p3Wc6)f0v%r=XPE~F-iG0uIM@!>2hxmLA*1gAYUiY5Bc^#8O
z!Q8zc4><+<81|{D{rcV(e&wddVed-co(t^pj@}gu`S&r^7jLg?TREfVy~J_h%P(WO
zQ!AF2=V~px{97!)qg_nu;l|caiZ?yNeU?xDGSBHKi{(`($#>B~93PH2IiK0EL?Cfl
z>#-TB3pM9SzwBCBurtui(DbWOkig*_{@Rra8JzMxEk|EipP0mQIDwnj;E2;tnWTk+
zZIKy055;CZT0GI%a6x^a!JZ@s+02E4RT91tJacV%XLTu_S}`vq<b1NSaFUB`u8d#L
zip#nt2eKZ^EZtKzEi$9!sF+mpRJ(vcyL0cZxw~AnHh=LrXJ_#~!&3^so~10#@48q%
z$?jKB+@0QM8zt`j&p5!qeP&JDjm$$Fw|ym&XLAPcxw`rMkqLVO<tMQ|t-suFr^4-H
z5M}?|hv%gB*H>vt9`}}nD+xP)O5^6;c+^QbXX^!tY#n!R12=nKAD%19J%73~OV$QH
z`xKYIDDc^*FIML!u^qKi7EjWW&Hl(Hk+x8AS!71b*J&lmYc^aEncOSl(tR)O%B+k_
zvwMuD-+o!0G)vP=x?Nbew7Zq<Mppeo#j*&E`}d+UdSb<9C9Mdw*vNY~PsHy`i*(N+
z!@wQ;+-3^|Ka6=?kfd}w|4Uzkd|rE@h2h?}SJd+zHFrt33va%s=PktZxyPp?GQY32
zEh1x~pjc!^kE+<Lq`iR_8+BvypZJ_<t?oHA^?QBn3f9l28w1leUcH?v<Dawdo0Mj~
zyHY2=n{dz0%K3?Xtvgp2+8CDJGwM?}Q~uqnA~f-c_2UXnb9sX^D-G<o&ybuZwN)o=
zMYovL<2iQwy3=X`=5xAe+ojCmH`KaodP>dD-G8y1OWyC7oBJXJ=3P~1zR1ZpTau&T
zNQ+|MEQ3Ra!k;G1V)wdm`%F-mb=6F!jZb%`*Dqvw{&k;j2Iq6#v@Y&nnjA+~?1@-^
z!=dNYW!)+IhG1-RO!D+ap_(hReH4zp+U!<$RC9ld$hw+y>tEhxXyjZMacRMhoF`6m
z9z?qJ9hIDyyHN32L`KU_F{#I0Pct}wy*O(qu>9w$iSdS2=Pp;zTA;ed_*BfkYugS^
zVmlhDTrZv!FgO261kWmNohkgQ#7?n?a`$dLUbKb9zxT6F+pS!;x}yQRk2`TbdzGE)
z=v|R=#if$v-D3Za#vM%Cub-H`gUS4?M!Uqc=@A(nOU0xTXSN=@k!~5)wB60OL%V>#
z*Qh%?_jj+De5cX(OV{I=guj@b(ph&#)}Tmn-9gzc7X<2e>zX*3d+##ji`=8ROFC{N
zZ%p2nN1x0Jb_DiCOiAuh<?T9r?BkJlA7%#TCv{b4EmZ7_2<j-f;*>12`GUy$uP;4y
zs>5C!-u+_x@!tF0O#4kfsh+An;G|jgqPX$JDfKUr&R?D={!-PvIFWsp!4c2T&jtNv
z8XU_MUiM=Ba?PsOUiEw5PM>ZyzavdFdsgVKt$UWdTq+*2CL`?iwNFjUX6K1&Pxt=i
zDHtC3{k8eNBUdlgs-;Av9AZd6W8$o1Hdk=k41;4q!pkhyF4tVgFz3?w+Mi4ZSTB2R
z^zjeTSvPIA!2vGewut;g9NNAow*OP}DKxB~7J1;BZ+&j4{=73UF03qFUT;<See?6_
zH$RJ+&78ke^=CZu=9fHwKX3n?ThvfzXL;!6pRF>p&)nYR?|0$!+)|~kYqwVm*Zk3{
zes^x&^b60u*Ec2={yQ`Ks>4O~dfr>BjBC#PZ(9=j{OWUE$But-wuczj%Oy9M*K@|z
zKfN5x^JR&?8AG#;Xhhs8{VyR4Bo@V2)*C6fy`DZVI6`iR{*!*`NL~^BJ?se;@2)c2
ze14@kuj(sD|DLLzgY%@sPH$F>vpp*5|MRGd!IjU|8!LAI*t@&w^ywXm^MYgM1&CGn
zZH%~O`|V0Y#1r1{&kPQTtd~pL9p1m;@ap3?US1V`^XZjlS#^ow_IDp&u~gfN#>9op
z`#fh+{ijbwVJEW}%y?<(nxwkx{A|}Y^?AV>*Vf4Isp|M1XM4zcy<GBs^LkFcimxKi
zZRfhI$=p_1ryq7Q-*$08<=&bT#eAo=pDX?h{#eF*wB)>|Q1=`2d0IlJ-yXiF#A5O2
zs<X`3SAu<&UnP$3t!fgEvpwqBuXk<I@;z1uGtWzE++S87|JFR<%!fIQ8{J|boMk-h
zv|cV@V)*m!i1p{LZ;qR*pS!oJDK*aaXi>kOp6<F2AqhW~9@~8P3X0h`>q(qe*XxMd
z+M<@mpR>1_Sspv3Z(Gz9`fgL;#z}MD>&@QL>2G-buEmNSFSZ{mZuqoU>OA8qai;4(
zd0C3@H19D8IP)!SVp4rmwXVm}pONo%of>%KY>&9DmrI-+-oNqYYITVz`}?x42IqYI
z6L%-z)~l5dKlv_koyR58eYg7E(VCx*Z6AMLU+}hyQ+odgDUEHF#SVt&in)$nz2tjO
zhV90itIjzeUkPrj`YLgIPgT>~INPIr`g8V1%sDvMZe~K`@-mmN??1`JUa9{l_Wg(I
zJGFD=`~i=)Jz|T0#`NanNyTr!o^brGDe9@*w?<Xsshssu-BW+x9dX?}|FvJtmS2|d
zqV&ywEqvG9t!8_3y47Ki`|Fu|KAcoc`z_ROa9aKNjF*#zdA`e9A2ryn^KGv2ozCvu
z=Oy#ceE+-eNb;9&Cu?@Tv3r<wy5hoJ|5NqS`_{gzQ~H*D`A($x?X_|5_H^F8rdWRO
zNLtn^>%xuGXFba6dAc?v{QJS9b{T8*OWOqPEDsdSmr0u8-)C?|{kX-O)zeu{*A{in
zdso!`_k7^@^+&&@ckB*3y?g%McWlA;Zp)OIOKjXK`9g2!jhkn_rRp7!nJ<&H&cDy#
zyt?{}hpX$ii+sMjU#xl>>$@t=_ZECRUc1KV$h}!7Uf8;0w{`xbsE<zN_k!X!xyGl+
zPuDp8=<fRYuXgxFZ#`P48hul`N>*D;um4oMv}wx6rw2dEO-(BKH*L+rZP|BswbY(d
zE}ogN-hbJR$CD+?em`N@T~pLC*Us_~-+Y<m-J3RKPn&&z?!EdqS2@EfOS`7V%}sRG
ztgZ~cT6s@u<%yK#^Ix4>uc@zJd-YNAeEs^_RgoJve!BPN(xv+RkL!1C{8?IhZx?%Q
zu4q!p?}J(@5jy)1Pv3j>T=h*MX|)Kg{WEU#ct@l?W!b!DPEzYs<2748F|1x=b0jO;
zO!L|egTqnMd>hWSIj`CNkfZ*5uEnufX}^LaZNYc`J5`<aONe{Q&*N6`ocraykk+Xm
z4z;l8`+9sl)xxvhSK>i0r}G}uBA1Wn5_sP8ialsP=oDiT(ejwByYNr~r=OJ0QO(L7
zx$Akh?|xz!STlK3<qHk|?dne$Lnj_BsJ^r~Xikw@tXy9CuaqWPyO~Mb{nIS!-%Vzg
z`Fv8b@7EI!_Zp*kou}_-tS<UoP<>*xu*{cNHIKf%;`yEV&1vr{^Zvf4!qx35@1I%w
z?rD4wr}<l;;jpUI!MA^YEBNsrz1p;RD|k`SG=chKvyZelzMIbFYvX@Zt*i2A`{U>X
zNx=<)N0$jK?lj?!^7*N=qup!r?6cF)*Ejv{>YW-{kpHheB<EP$G+y4f-VyJtyHoiO
zb~*9KbBk0-go#!$t<n3^5)u5ObA#D`qu#5*`gVIK)yp4$m66l^HO_gpkl7Dj7U{h+
zGmb7_<;%*~l*-E2rOV3KwwASz;Wn3y;<n%1-Ww0+C){{x{^96``vOVZ?+YaM-xo+;
zf4_c0!u<Oak~UwzaAdmXC)MK14j(o=S^Zk=o|c@b1&5rtg~mJ)3yFDR7ECtU1uYft
z^mUGM?{9p#^uEA@t@i~U_1+hFxc0ul;{N}0)Ly(dUn23~tvzUAQ9-`Yev_ny`5~w6
zp4lIIesbTd{U^#7e3Sk0?1XuCXa2Iz_kZSVm<h&ws`q_Ab7jkE(;Xb^t~^+@Bdodm
zVHNK>2J@_f)*X?}+K1Lk#nkYJG;1GhmWruq52<O=cqgjv>b)bPx%+_wuU=QP=?)3L
zs}HyecCdwaD4Xt3l39CD^l=35I+o+v1xs(l*EC7^iK!p;vIuSNeo*p}ky%I2tkoho
zr>kJw4Uxw&8|yU>{!9w);&v?!xTm^DTXZ+az3H3mQ=b)2{jg9>`rz@X9Fh518x2d;
zOm8s7tX17A5S#6(duVl3P<P=<vFN7mtv7y1u6-C2IA^`0p<UbD)kob5wuwm}of(zG
zvpjnvt3_zH?vayGIf8xHle!CcibXeRZ@m#TXT`%9!<f~IhIVu6W7i*bGg#BM?X*VQ
zwWMT;pl;m*52A7u*{&vaUsx*^-BY*qhR~O_N2e_+=T%N^h%;3Yn{r{ZnDoH|(DB-y
zl}E)A9Cfz|e9qqJIwQJU_t>1M9L}`sN#2I5+lob#(s(;tRnM$A>SnN4O#0}Ss2rZ)
z?2W85g1U8&Jc-H?tXI35)NQy?EV_wv>y4nE^$%lQCBmJP0y&ejHwu=lJnD9Fv6%GX
zyHai+CCal3RCk0nYajK!&wBGu{=~Z)TQffH@8q|iZm_iWTYS^WxBrW~|1bVynQ`v;
zmTW!_wQawbi~Ub`ZZDhbH8*P728DHQEbWu)^FG!;4dhIJGQt0Ukw;;@AK%Y)E_}=}
z6AlZAKQ%a{YWd{yTA5Fop?twHzN;#iSSWSYye#tN<6L)I@hGol$_~wT#=Mh>9k+`l
z5?9L{i%@Lm3_F$B6&fTb+2<A5kQ^lPXorZag`%sWpI2a0aL|-TB~4=gHM?IOb#1$<
zr4TXs&vmi?kxZ&O*EtTF^#<hBU*|Z?*UNHa<wSwqCPInZ-ICs1^k~{E<+;&cm*r^G
z)kEh$)b)NiyT$Ftu}Ec}=cYmns#i@Cur(1{xZEx2%O#Jdds3bk)kC=sZt&9$ZTPCi
zvC)xL&}@~$F*Q+@8*7?GqMs<4b@JYs;GxRWytqE|qrZ{n!`u~$P4jkj^LJS#y{KQe
znBi{85p!Rwt_!a2hE}gN4yxP6YudGCRcf$h?tlFDaq~Cpdk*(rcRZTgCsFk>lXb@G
z#RA18B8l7jlFnQTY?^81W$0{e&%<mgl+fVj^yRuoOPy5b#c*ZLUl}d>xr^&_Kc1J&
z{JZ%__HorOzNKy#&L@cc<vnJgkSjO;m6t@lhAT_+rDqQ}_HEyJvB<-~jZgE~DaFGU
zmOKV=e9n8;@a-wxlY4jSVTtdnlG9{e%{BdNgq2+x+q?oh5`#n%cZeL7P;}*V^9t+=
zyt*zvT;J{K@2hcI3<sOD^mUts-et5rnChkxm)-KP*Nth<RgaExsm>2^%7%6;B$rgI
zU}|%(*W&mP!KyfKg~Fj=(IXYhnpn<<PI%NhXS14NmGAm(H;fc#guQhVJv6<}>d{(H
zcag=VM-05&8QorK9O01tcx=I93I5fOgCqleu6{aa;Hu21mff=5s@G-4iir}!CX*8O
zxCQle&$^vb%PGIQcEP*{!CRZJeEzjt+*|Qrt>uymh4y+L{-*{9lr4EaM(`=yOgt>%
z|J2~1ucgn&J-gnmS^gsC?W3<XF7=9)KQsOX@oC1LR6Lw*$@9UD&w0<(!yNCQ7#yp%
z^!eb(_w$YN(}@ear?`h5Qa^c`<J<|wqji=k77Fc*e5VpSoQotLZjd=<q14XVcPg<f
zIY^GVWa=l0DFrc~>e*P-pG<hPM8;^&q{9r$pBfyov+OAd<4c}1xkxZ++LeDwcP4F-
z*uGTbpsc1>q4~f1s6W?rvTpACyZ)=L^@oW}&maHaesq7vjO|r1E6=@1J-1eTi^wVe
z6H^K<wsGp+G&uV7$NsH9^o8<-k}og4(7+<@>rr^HrN&h1-*houqZ=p8>!ZSxESK$A
zb%b|^+S7%GvkMAi%{uLGtvVude#zuZ5&eaoQ{3X5AFp1l*Iwh@!FlP&g&Pf>o}XRf
z@84LJ`}4r$6mMytX@|>ZD7CKr-?sAV=KBGMOf(;@zL@n}q~5#O@$2+Q{^@#CoV#3$
zPHc$aW7e5?Sit+K!675d9zzE{;gX5<hecwS+60}M;9@6MI@!7DUD1i~lSL;k1oJU}
znIx1pL(`S<m{(xO%^;D)K9Qp{v|Tx$c?Nd<^emQNF=w?<)$5K0{e2q0UUe*--^cXD
z|KEGD6${Mlx4*lw@M`?+Pi9vvGvAq8ivIl=v(?^g>*^<|38p8x9&tO%2J&1Jj9>M#
zV}1Sgk|WFgWj~(Wb*pCfzl%5iEx+cw|3S`;nAEpk7Rs(H%MU*@zSg(>gmaNcK>(j7
z-wDOTb(TCE{P~>UOg+q@{?y=@w5897D8Ar5lRkMAdI?o_*J!r0=s%h8Xs3*k&E&%j
z&zUrNK8A$-<k4$4lslc+<X$x6(W)u#ZMKt6q#tK5sy`7fS>#dZ&nNlrRAPg5k;J2I
zGM`?$@Fm}wa+t;ZiNVoQ&3;Bd52g9C>rX1q=T*{NvO}YtNBoJwfl5oB!Z1GNIg<}d
zM6X`GxlFOoyRxgmrz=Tj>LM2RB^pN`e)ymL@&2Y2smJ?z-`>7vYEt$j*<zc^|Gh`|
zYk8^3bj`Yc=k2Tdqt8-YN(J>84xV^b62PYV=0~>|M_E=&(sVb8vTT(Da=jn;7Ea{&
zZ6cJs-%Tjznnzc2eIS>kp_eYpd!_a(@*m@hB9ukkO%4@=C^PnDw#>Kfb+K4DQ9|8x
z(t{~(L36^m4;n=2vb=XrzasxJZ;Owz$Yzs62GPol&oWew#Pqt<&saWD;<M?bghsa@
zoe*xpgq|pldnd(K+CTc6v(ZgsT1HFaN;jr6S3EkNN_B2<QZ@`*CCRgK^R8HNg+pRj
z4_dG063Pj7?0Wkt@l^NW=BvDsGX-Q;ypz;k((=|yX~q)eeF8itM+(B+8PC1YIFc#r
zYOz%Lo=NGH2Q7U;GW=IQeW?H2GcEYu@xILckLSKw(O02=S@5u#EUU$m#RBZ5A`ct-
zepD=6%<{WrO49l;xwhJ<%8K&ai~sh0-+tHXk@NgMiLzH32l!-LZ>&?^ckyyy&vPrU
z4?gai^Rk|DZV1=re_!dp<^IQY-y_^b`b&<O|Fz=!;NY(K?zP4tTiK&KRzEd4QZKi5
zy~~ZY6D4+=OiEbp7WAfLmbv{~>yn(#(E7}IEUtHUmF{~S`FHv=)11f^Z<b#B<NB{s
z_L^3q?X|y4cj_pKNiY4{wUkl%-=#hKv-Ia}(ptVd&~WQPHPyWK;;Zt1&n$m=is@_6
z1m7<%cP8!rFg@$YbiewO*MyX`9&VEPRJ%mxQ~gC}KFM__6B}L^oe<Y8l6crAb8Lrt
zJEz=<#4hF_In8%oO7fC?&v)Ah3du}z?rJVNQQ*MG{AT)Lf!|LI4#ipaY>ea+elzW`
z$nH<vTS1m6KeafJtI1X9-KBJA$|4Ezr5Xn-HN6To=Sx+D=wAyL4t3a|ygz(OqmW)!
z%Y!DjdX010Ef43oG09x?=rES*EQnGz^vhH^=-Io(V&eIUqD~uQw|+3LiBV=bZsJrJ
zqRg|}R48Ggo0HB3kCvZOoreC(oX@gUj>>EmsJRyC*sxZMW1}mp;I|bD$3#U{cC2B#
zr}U)9q;u=RNi{5IIN}+e9!~Mwp?TlxdB^S&myI6oJoVhAA_<LsPG_zQ#(#LJaZE*4
zb;jbwBAY*Z-<N!J^U|rqw~y<*E#K34=8E7^9$7=5>wyiKR$PXm?t;r+XdFwC{aChW
zvB>VNpFUV!Jtwg~sP}`^RgadPQk@$;y)6$K^)88!N}I0j@pamrH-EySjg@Dl8gy~8
zCC><y{rt*nhMp@+{pD4M7w=Hr?#Rb^?X==iE6bD!?RLg%ClWhcizE`K%6xL&F@?Kp
zWzZ1=*DfZXX^R9VFVQ&UqS<8_+$EGVX_1KZ>bXI8GPM$0xj3eUS7%C2F;I!WoZgbK
z!A*lLvn6qX8&k=3kB)y*ofrI-4S$6#=XKPWw$k#Mw2~-G#G)pF?)p%HhikZ!c*6T9
zCCuOo;+a}}O~q~fxvOH)JHNMfUeyaxRQ+;Q@L+*#AkSsN!vV6a5{niKG?$7bPUuVG
zxl(lMce|U!v22wC7QHPJt0!_Kn+PRuaTDsf<k2<p=TT{;ypvy7u9JSn8Tb5pyGzKS
zE3ZCz-kCI8sa<69(+P=_WY{F?726fnP9(N`ERsl`Bcmpv-L84eqjJd$-Od^pdEIuF
z#ZM+AEs-(Wv!0LP(X>0kmOLL__>^s?9hT^SVsOye(&yuXTbEx;R(ZBRwchc2()9QD
z)}2y}Uvf$@p8u3$yuc~Nc)?SO@j|B(d!&nIJlG|(Y=_!YLkEA&{+jSI|4*M}BkRp0
zm%h+y=ZSq{;E-;~^U>2!>yW!;&qv2ot{rlhcJ>-LbS0gcu!!aIQjMcq_NPq$)mh~I
zE^^l0Ns|rozea?|ay_}dzI^2@r!wz%Yk7tDY)tIBp1CdiRKaA4+1K3JRBj|Vb@i&H
z+?bND;Q4ggoz)?InsHi%%yAx-8T(Yf$C!Prw=AntIxb?qWZu1q{_R0-v+_^wJ^g)K
zsz%wY_fO+Z9|Zrq)5o#7HtqSJxcLdW7hL&nKWv`j@OuBl<T}P#9nnRxpJ%Ugxijf?
z*x#i)RIkVLak8CIJnCSXBB9b=^J(&7f$ytk3T%J!?o?urb<vCm+hmsQ(0MxJ(NvXB
z8(%Dw5sH~!@7$GLbfO@Xk2z+dP}&Q{P}cXWf<zWg7jb=|>uUJRBd}>-(3C~-ckZx%
ziZ1&8d9|^nQpE!0u6C=W9ZMH8^e=u>#5OViq;2P{-@P^7dAVEdpKX6KFKqIe{FAnq
z1&<cX8t%Ce*f8CS>!X{ypj_ze{Li{OO|NRpz4eOFb!GYdsk%NyN$cTOnNNaFe46)8
zDIT`A<oOWC=Ug+v*)Hi+Vvm2(j0deU%U%S{J%2lJ%@mh=O1qzJpX74l_Y|3+lIhO(
zUObtw-OBRGSwFtY-IJZ~eRyJUki*hvqthwn!(5vEHDxiHl|SF?@Z!@9JFR$F%93Y8
z7@zZ+$%i>!KQ%bUX6dsbu%0hiXZk0Jgq>5|?X2^r?Wrldqtq@E{dB_n7f%filv(m@
zbmmijGv%<v?j<oTFVtNHe|ZP?Fa}L|u=Gk$@E)#B(M2x%Rkxd*Sm$P@J#&LjMqF|C
zZq6EMG3|zgz&kdwQyAaNNhwAw7VO$8l^C&nBExG_p`?XwN;y|J?jOriIha=8yX3|q
zv8JSrSr21VR=aU*%WO&7?Iux{p>n{lx8=sti5$C4g_8T-gx*~B=<>``l$f?c>|T3Y
zMoYp9w;y)y$~=cn4~4&#;xr6W7Ie#O$+tF{l+fcA)Dy}rn6NVI;oO`#ZXCz5Ti(w#
zIb;y1%*d9lawMhKWyYe362Vt_+9H<KH*uT}6-aL75?T}D*cJLIQLFp#tEV%J9%>(c
z6%(PT8gotXpr~x%noEL*O=VektXnK#UUI}-$f~Q*+r7qc$zqY`TR(kpU7Pl(HYd9D
z(^`e5!&Zwd7Adzaw&E)AaaZhnt#OD`_Nc|m#VpH9raUSsy%_CQW4msu`yIyXb?VD>
zW$OdaTo*jdB+DAHVzEGXiAdtcz9gNCflW)Tyf*r1`!}48s;oF4z3y*c`Cd`0N7>x6
zhG#AZHe9vh+UVvkc<q(OF)Q8WirX@BwGV~$et5deO(^DqM_0Gw=V!}nyz`>&*l@1e
z{$$zlv)K_Zr`_rKQ+Uj1?O`9)b;sAdI%Kt9)jWD*y-9-KzZ)r*=eBtjiv~-d34T;I
zBmQsT*J*d2)s#o<3y40Xr*@p>cZ3;B=p&)FXTsI20zAQtM>#|ddO{o<^0F2w?pm$j
z<S%;U#nL90y`d8p^-n79`rFmmFI5}raKWEd<ZtK!0|!<{wp9v86hvJl)-*{thfGLV
z!4-68QPDX`zIqK+PJ__SpI;Uq5scH?-*0G<KmV!4K?PO6i`M<8r+@hIVe<cdMZJR7
zpLxO_38k%WNSMZ@k+!NKv5kw#C)}|kQ>(+kht+V|lcze&`)&j!wYhqoX}445+vw1#
zd?x&n#OEm%2V+$I43}H}+Zwwvm{a|~Zy%?hhT+jd)ijG`M;Pn-yf*$gEaN_9#`#1q
zpF)pLNj|N@hTI^Xe{2WqRG-y`cWQpqGCVA&%C{l9>*)2b<(h{1;$A)*ojWDBX%{vy
z2kHD<a7$KIZO7UpBHO3Tc(_c6eTU-FN68<qPo42#mQZj^Xi{6Sm&}7rBHjEu;)9Z!
zy1je~9Xln@X%{vW2kF?=$JT{)a-P#LJesMR7P0yWquAeQvs#Voa>t*n-L&uPeHZPR
z8UI(wd^SC}KlSd4KkH1+AFB}+I1|ixG)C0mOrT@KO(TKa@@ZT@Zbh+*7>67%h-GD5
z_SEwK%GBtI7teb6Z1C*-=@rl^X{KR#|GVeL6OXM_)gsm$5y_r1BXO%p_rLlrUY$Ri
zTstMhGz{-Q@!t5t{`8a?2`hzybpn&x_Wjp8zUR`?h%fUay?hLUJ1cv&4c8Z_KKrVB
zbkTpG(4;0!FCW9m&Y%DMI+=Z@Bwegm(=f~z^71kC>y$jERoGw{r1R^1hN_yx(jy|u
zQ)eW$2(i!j{Ql<F3H!I@zPKVa{j;}ny;4s9)&KKM-9H}pa{U~=l&NW|)`EzIOl?oK
zI5xbwzxn7QhNt!{yF!#%yiE?NoArKJ>8o5*6x@+<kK4q_AYNJIv&kWYaAig{O&60t
z{r{H<HStR=tXMDDRxZW)Axv?R_nwO!2eW$v_FUpP?A^<<W3|9V%X!%?4`#Y)%*$$d
z*jew!WE0l$LapD#=|h0B2*1gpf_P=dcbYCGGsLAHc4t0~>F_fSQRZ<r5lYzL<Z@kd
ziC|Ns)Ix~`f^Gk#I4}4sKH_(;3Hqe-Z%?fJS?7z<$~=2bgcdA!IwD{AdZA#`JE?^)
zmI=14lj6MK`fkdi#U){k2dCDktzzhU`rnzcMtN0z6T|2K?Ikll-A|2PAZRC?-I6rX
zO+qYN<$zFY#~;0`90!ehKP>c6=Fv74N?7OQa{S&3LA&EwElHc*B(`O!90+Ue=-cb7
z%pz>!WEi2mM>AXHKtO9ppRJEF%VE<)+vi9<toG|=kytBmapUofmV`BKKjthIY;%-)
z$h^YI<@mgn^@2?|r54UuEZ7z*#ks*zaZ&R6>l_E8dOwu*y8U37W&dH)M27dGN><;j
zdj<Af<&d9cdgz0PGGm;EOUaw(QV*RsyZyMZM$pbATjjvvKbG^19&*};F*@q+yxn!o
zCV;iZeSH(d->0XN7A1eb%;6Z{8}Q{KhjV@}%MT6lhR(jb!5`~8`u;|J4%l;%BYs-e
zey$CEii_0JGFuYb+%%SDw<OMTWAX{@cyS}x#K|C1Swz_MkU^X>qnoBn$s0MThmZHV
z{is+kXm>BWrT;sZpzQ9=ZX9-+TeYmd2~^4@FG~J?!K2|FNPM;%$F8iFMcr-^R@o{C
z)K%LTCD&i!h%e4;sb9a-<j{u*<(jig1uky9p8*;@`Ovpk&@LsTr97u~iuE?PisRQf
z-rtaVC>yQJc<<!gAd_8%pICHn-H8wl=m}&zoFV#wO+CCy!RnhwuRzZQj-v&=20WKJ
z<}VfrdUr!gQDTi?m!njo#EOXw%pyuwW%a!RUoLaF#`hY0x#-bwubxY=^p3YOi}@2_
z;f^S~#e!{@r8o<`6c;7Wzrt~lvo}EII>%wdUKWd00v9*-XS6)n;HI%HyXD~mHzt{Y
zju$t6n>uaqR~D%@J+#4HnXydUrQ}Vll;Vw*f?cvwi55#HGW0+3Q(E<NAs2_-iiSt~
zxFp`KY<RyoMIq})9~Vd7>W2D9)3_w^Rw*2al<YXQcO6qxw$_3jtC-rfwKxhQ*%rm=
zuWWd*h)Y9nMZ?2ATugH&H%e&RpU~zq49T0=E@~K*7s`0pN0eoT0<)oyUkKwt7102n
zFvi0oq8~bc-rrR1b7}tR)xyoURMJE_)NZkMah5gu-zrl)U@^`1zasb3)zZoJvRnr<
z+MNtfE&SlR+nLkg(5jAw<vW}eZ@doB_+}x}c9&1}0N-k*wij>tS{HOXb6&W)sw45I
z@K$XWd0DQb?Cpo9{|s~Ok$W%0bu_yD(1&9SSt?RPk2Gw$8FlH?HLdzp9>EfF7Inf8
z_Js;2pK)$?`fy@lfK8c3P4A-sCY!BVN%am@&jL8+L5@ILzh8!HefDZ4xAoWgS|9W}
zFWm7wK;oXI$h}o-x+GrNS%}=LlI1$8-hSx%*=u4!`;JYvFJGo%!yNmT$<chb!^OLL
zAO1GPa$LAPZS5!1g>ihX51Q6ac$)3fF2i*=v0dmvN2uUa`&R)ReU>8o#ELa|)MdOH
z>L-V}^0Y6P;X0Vve(3s4zSf6poF5i%4;6HNQQ7WPaA9FUOo;~1bQ!MrNjIK(2eO90
zVLBMP+oABRo<YoZZpOSDEP=mfmo+|^7Q0|auDHaz8?5_sws0neRc4EGxZSjxJR{fb
zHfx(<nc{(h*$T^MHI_9dG{i3W@n5p~wZ+LwmiP7VH&^oiF8f}$y?XnZ@9*|jpH$WK
zT;^5u+lKe5?OuQXa{tip2UxAnoSBoD1_RGJpD5jC`rZF>W8MAvDUp*{x1E+-!N$XU
z<$zPYsK8@?ds798Wo#m!uQVjClZu$Jm?`PS%(ZMRo3At^Et4`h6Tr;<IMkuX>hjfw
zq*?V+20o$8+`%CS<~yxsoPTCjV{2#F!_4Im-m}~jn_u#A{u8f6_m6SA<kfD()v&0_
z`z6LkC`3q$X<TbzZM&Ly@X)Fa3CXDu1sjxfc0|aD*?i&R&fLQ#%XxI$gvMnbCbh9N
zi6=Hbs*HFrdESPEhnW!@KC0+gRLF?M*l=;n$+flCo5-~ko!g)@rFhz*zX3dM1^PN4
z__TEl=J0Zh<+Za)Z$H%3JUj8=(cTRY9#%zoJdV<MF1D?uC~s+jsfhEQY;BzniE26q
zF<jhYdTp%I;)j}=KPMh^{T`sbZKI_~*#=FKvW<o!-!>?U_$AwF-_dv<5qtMq!^5NT
zVx0+Mum63#T`yWc>*R%1KC<uM&-P-pJE_C2ac>$kPdrznPqHtU`H|>fpBbBGKV>+&
zwy5D@mR-Wn-;z;$ALO>mERYD~Gw9J_`SW+p`v5M191V7jX;YYay#G2dfBb&=u>S#m
zfiGI@8dc_>gHEvDl9K!-GGqVpm8~j&Y<o4>HQc5#^DMUd&~>_={Z>)Zihb`c=hWrj
z{Uh?1!S#Dl!@}kJv+XZT^In+W_;a^hjK`t-UndkbBt=*rFgSXDt9-`i`KCK>K0L4e
zZ^gDvhOMGE|A-wtbx7>!szYM$&vHeJT@%@svqm?^G9vCq(MG%9bGz&xN9RuE-4kDX
zJ%Ueg4}Xh>9g7o>JhLMAe5QIu>G{lx?D0&B;`vfP89M_)gR_%M)mrbKRcuV~k63U+
zT1?_wD=YW!!%e-+i3blJ-0<L0fw6qrDW`pL=4BIHx`H=lB(B%7vbg<FU+bSs2d}11
zmR_E)j$ZC(wU}Gaba!mYO^nREeeBaEGx;R1+j?PU|JOWfFa3G^_^m9dzwZm`x1_$7
zetqkewBh^Z_UnI46#BG}@o(L)?k;(5t#uzyOx*tW%XCruiwT0Y4w_c+6PMhIntk|U
z`Lso+BV6P|y3VOz_PO<YT~LW+_X}sQi$6<dcvatz?$S#ZtS!>Cnt$QerF-9}fYi>9
z?mD-8$+Rus?=F2|BzE!nltrICmukPQb+6al)#Lgla_Nhjs23j9_v65N(=>NoKPLX*
z;@*5+*XbKO>=ujI-aV#!ac{mRnEQ8mE1UO`*GrTpnu|-XVR5~ZdDOOh>$W=y;v(PI
z98mgoC8%Uyz_jmsH;BX@Q~EU}sAS)X$<l95fn9mn>tZFllKBl+ushVJ)-U?pI_*w^
z_;j!<Bf8E__bO_R4FWsENz*F+%?aMJOt2dZm%gaksbqd56|D34v_+q%cHBvr4%R3i
z+;vV|vZy&W5UjCe<H1j=d$zp)d6Z4tQLr?m@cg5dOgj&*(|Q-#==x>i(icm5Px6+P
zf{d;I^6+TSXE9aRxZpE8SX{3N9$gVO`_8p%VvD^3Tx8>WPKDpN6n9+|#G4!2^J>e<
z+?-m&RV=Po{vBPBH~Y>$GZB!KY*f#yttWG{lfhDrM_0Tw+Zmgy<u-Leht-eeHE!xF
zDxP0l`zAiLX174b>5ykp8%$S}JTlxESW_>fy<XssnDG{;2K`Vb#U}2jD^%v+__N@V
z`nCmgB#xdnTK1EJySYm~(bJOY@K&R=pB&yGUZG`E>+95<r^;vewCY+cpK_SxP1!iN
z-#~xG4?(f1$`(6<_;&1w;H%gX!ndT*@^t$Bx&;UIzw<RJ9PH(*c^ksDtiavp#i@ri
zZuMcEo=Fa0K5yD(DKYs$okrS1DY2F$v8<%E5&R;qU8@hu7{+k2>BjJ}<;HNa$=-SU
z;cwUbX-%!G^7%6V>1}5(fBC4)VAkyZZMqU?&${21>Us7s(O}l}{%w{LXHUD|7U`LG
ztT|yziQTO>F!}3QSC{>|)uP?AI*<A`c|NkPFL*TZz2DcHJbh;Ww>)Am)jcbDE9~yN
zO&bj_UkHnho__X;QO&GC&CPB3n|Ag+`ui`+&0zDVa8Y~S&9lDw_HO;U)%RyhdT5tl
zq|ue_CaIN5Gh#KZQdRSW!dHgX<vwCry)W$D{u9A7a?AvJOr<rJ&E(}tKEuY%-OKK{
z@y-d!X_ECjTV37qk~dDYo{{-PFHK@+`%AZZ$EK`b$`&#?ciozmCzlzx=f$wP8HAtK
zc$O)7xJGyL28Yu*I#-O?FK;<>G)edKh9#eli`MHJUi>m$%hR`(>$FKrLgiC`t$%K=
zK@tm`S(r;Zk|yvO@?2Bob}l(_EWr1kW>^NtVK3f|8@!xz>epNoV9z!=ax{wfqk)(6
zr5Eefd^Pv{_wwbqSG!bao?4tYe`!a;f$LH(A05QIAMWFe+_Bo(EH2}d-^Va#iF+9x
zt=FZJ9#3tvs933}H!q{3{kYVmV~f|<g?y~-Op!A5iLAXjOHbnKRxPjPJZ<6sa*ygC
zQn;)-dG)%SH7j#go=K_S>>JthCraunm)!s7FO;7Iu5I|-{OH7~`0zhIC!;rgEiIj?
z^DDILX2FTT>yO;erAiq@+5NZp%KqBW>WI75&e>WEM8q;B4;Jb!wpf_TqrUabAx+)Q
zg;C`kB9BWt68G>$&RF1VruJ$=v!~UQq)mL4GZr~#`b>>xb$`UXKS^);p?Zf(3EqMx
z-K}bV8xNn5{C1`5>GUI?eLwYR73)4dQ~UGO5l*w!WpR&0Zuibf+^$uu+j?g1+9?Un
z!NJ9$sb9@C=UjGf`dsBv=xBFx*6QL#n~p@!UMHz>(6=%!dYNX<6zdKnwTk3JdxXsr
z+sf5)9`5D5{o}*de>*g`N%hT2oZT2ze<N>!S((I&=DBRIlD0QiS>#$QGAYaKUhKKX
zfNiG1!GxbncpivUNn1MVD=&YM`Gm)|=Z;gj^7e~oODaU1eP%qo9L|>Wa5Lxa9~p=E
z+Gc)xo3w(n`bGAk%r8HqtUk`ZU3zkB$hIxdF2v5uGBr0@a%4@7-_|?REKa3mvCfTN
z+vQQuGHZE{2bbV;ZRMg#hgy5s1m~Puap{cu%tMCEsugP%yw!I7*>Ns+rP6j+uM69~
z{55|`YaC`^-fFPHYu#3xul~pCy1aA~oBny}JoBw<<Ox%YJHX=XGb3pQ=V#%-${40}
zsnrHC-maPbW&ur}Y+fIuE`Al&JZ#Inwcx%(u8mnhJ-h!CPRGVB%{<Y0+H41GzGoNh
z*tY7=ESbbZBHu-9-8qeIOcS}!``kEIEL>LLKJTSSf!Xy#(?wpdUNZ0K{G}$PXRal*
zGnIW?<*};k_?^hFv%BWIC#L$QZk}<a`}JMTH(i?r-mIH+O<mBN%Q)GVWpk3P$mV2Q
zp5jNFd^XyjJ5*3_a4zX##GIqYK3a4)3m1xsb3fLRTXsD1(hSA<*KVE*UAy(C=G!}3
zE2sW6v3FW(;3s#Tc}~KfiNQWUq9z_(rKdadS4^sNZEW%~HsPnQr&W5JJ&rbbnVmUv
z*4voa$xquaDAtR74mms5`j)Bbm4tn4W+tKa%`1!8A2=6^eGE=*Tvh+U;@tbPqNz&H
zT~E1l>jX*t2n!8P_SL)0xH;sg^0re)jiW<tl2%996i+>Rd7V-8{MC6`_xBmKRvPj(
zu^RGq{WRpOV>)&D{-S37uEo4l5_%hh)|dsfiDqea&A9#`DV6uv7Q0qeHm?m=95km%
zY8?Kgm0HPpYr;#7d*1t%jyZ0qH#&CE+wJ{>WLEpu4Q}&KtJ(d(G(A@Mpb+!YA0MX9
zGvfK{bu;I0+x>u>hRs`7&1?5BTs3>s)!rHoDaHPJMn8j~le2GKH@~+1%7@9tQ;ez?
zt>m(b6285B&&@TH(i82@hdy)pVmRx?T&?{lIqbsrJ)8MfXWi|Oa-r=N>A$9yn67H5
z51O$smBn~#Ptvq$hG(uBaTjknb1X);*uejE%$e&({M=h~dRuoXJ$~G+C*_+sahjmc
zHKVSTQO7<!{BD)DaJQLU{Qs<(&Fi9`J?bv2m$S=`l$u|+Uel<pbJyLCBKKZQN@2+_
z^^BUaWVM3y<kAxdMP!Q%ecEk&E-y4$w)&h`qgDN<iJR0~%lDfXHhn*(yk_O|zXwf1
z^N(JaUt4;1E$>dAWvh1GoOR3Rxn7dMtVK({?tJEBHv7==`>!<}{X^};7KrTSnX+*E
zo+A&oEc6bY{_Io?pZn^N<F_xgKL63N>i^>v2KrWO4(|MO<i&)nsHj7xzP*xP0<VQS
ziyLN&YTsmDrF6R9BxTj>pEug~#cP%YEcv<K|4r~y#SFz8t7px-nyR%q)OT(%@7J>t
z%V$|_JN?11DLi`r`YjU{?3WAT3Fz&ue6ihyC**3hbMf!8t^az;c5B_Y(+fFo7W%co
z+1c)2nD3vqq(8c=zKWTfJbfh{Efu|q_s-_XP{%uy^BHG{EWLkEKB4}z@NKu8HNPB>
z#=GkF-dJ!dCOMe<esO9j^ZFZ(t1f9vbFj_k6>&bp)+V>gZrR4QJ2$aC4^fW^4i5dd
zp?&49PPKg*`6oWV3+?*1RrC3EFZ0l)KUL#mqo+HC3#<K5if7kM?mDk_=7VSV-^pJW
ztTO#Q<N9Ow+?f9x>@p1BPYSNcuRp}{-e<<6wVcL#CdzYbJ#bRqx7t+XTub<;-q}qH
zce3d}{k@#^#Pt0)#r9QLFUiVeb>Fs9t66;N|2>bUvo**6Y2W`#Ty($TjIL_yPgC^_
zFRm8rdoi<Sr|%4|FDpMy__I5C>(tPjzp`XPzxr0X7nkxK5BXaq_x#AJ=M!se^$&2$
z)k|3(WlsIpy`BC3|Hbo!a@Jf8?pn)sYQyHRi_xaZi&o9g=KESK93s1Phx>!?9FJEo
z`Tc*+r<12FOcf+nOHG+|`>8%#PvF;itS`1NeZ1<GwnRmm`%2;G%*Ofel|%Q==Kp-$
z$muNi`GlnfPFKym<~&$3F?fzqQQKv=yoWuj-sk0o*Ju1{4rz`nwl);hkUe;F{UaL|
z_9R=8=gGD_;z_n*dRqM}YE$>w{aU$>Ph{nNDLu`{=B6QOucLP@=r^j{rgdEW!B5*S
zVP@j*9$fb430FI}@V?-epm}1Ir_%Sbd0lkh*L!rOy<L15@7<fH#Ow+q7Imo##Phup
zII8qhEL83%Yel_lX;0HH{s%g1!sg^Wy|jPTDed*~a>b5YAK85S{d3u^m}Smf*F%)O
zZ#opjnx={CzrJ_DxoLKlN1^xC`X{?gK56<n*D9y2_VP~n-;lq0+PtPuGd7nP{+%Qf
zy!Mpv@;%MR)UH;}e?3XN&(e66@0^5PS|7tMwq@^1h}x=jey!#Ijr9*#zUpWS^a<R$
z^3R{Tl`$V59qaQb+9czxuu)~!61PX^?zzY+%~;?p^0>4kaSmUk#A;`=YbT#72K@88
zTeVYx+kAIWgqnE|lXAqmR1xhhJ&8-FMMf-5H48hvwsZc5J%%FhZmpl@|0<?-Zv4hY
zOyMVw9$ub#_0h2pQnE@Bk-D}D^*5C4v?m`b;#G`T=yR_A-Sd5WHl0^)Vz+Yn7|<>u
z_i{pOv{lOEPCm<uRnB_zUQTF#ZZ+jtw^pfTV9tdp3WuY3H*WB8&N*{MfPK5EWkI34
zv(25W6HMM|cFvI8cSWEnT*|4?(^<kVv!nI$Cy6)0hViQoNmdqJn6&@soc+6wsC(BN
z@--D3^4SG;6@JK^WW%ay@ng=rMOsy%*}1;8xoiI?^3Lfg6o~0742YTdeDA)+7Pr<-
zte(Q49-$q7HtXa2{7&x5pQ|IopO(7aa4OI}=TvBW?ofeq=@wV+_fLX$NIq7mka@g7
zA~v_opl8x@=F0YZ^TH*KLOlinT@Tq#8Th=bzZ-pI?%Z3yj{S<UUNiZ*o1IwsT!Rp~
zhcz=+pGoYnG5O_l$M8^stN*+B8GeZq_yl=E-kx)>QPhdg&@yP^k8=AMcv`|Pb7t%L
zsI<phr&+#OWy>ahcgq>)a$WDm?dwixu)SDxQKTwM(otV`@r$*oJk=%be!6R>*7t=Z
znDu4OY_7i>_3Tm0v`UMWshKeo=ewLSZu__EaPpq;OR6U;%9nUwa<Y$Qz4>CbGv7Xq
zV|DU7D;7J;-Fp=v^?u6K$tSnu=e5uNv^M|Xk@nKfa|^xevRW=*no)GUg;Q>p!BIKk
zw2Fl~#eK80Kg39GE!qEk<|eN{zis`WJ`bsV5`U<6k>|F8hxJc3vWc%Nu$?r&kTan^
zc1N7416v-O?S(fBr~12DnLgULYLnOZF1^$K>$Zrz|CC}pwWf2s<JFbo`Je9uPWAa{
zc0Skk$>Oy&Z+9#$u1((kOUo|o&II|_nJ@3`+q7@vF4Nh<(`T)l&C62zH2b<Ev#b2(
z#)aE&CM=wOGhy-an-3PWud3&{6`uC`$faew<%CKuJ9n*{dg<zoY3F)xXslly&GkJu
zZdH`yi$mXpex7o>sHm5dAGY=4f!4R@KTR%R^!sq~#Lp9}vyLp~zWx3Q_wB~`y*ZQg
zW7+FIZ#wHBS$0x<TeOvVa(7MQ>ZLVTe{KD<daKy~RbRDD6@Gl$T4Ea9@PIcmC$N65
z?@{gBuS1?Ye>n9mEcEZx^*Q-r>htz*+Upx?*{FT{_El@~*eUxPj`M}CzjNo@e6e>S
z>hJ7Vs2J_@jl6R7^rh&jKiB2w<(+@1mb#Bc=g7SUy=VKY_O3elbHa8Hneb~f{G*M|
z?)+8%==3D-jdD%h8|AvVH_Ekr-YCcYe9F~;`Puc4+^fSXw;auWnjZ4k`9;*vvQ$&i
z^;72uU2_r6mu>y`XT?p2f+IN#EON{YWQ?SBWI}k4raIRqyUPSjbKa&EetXK=a~-FD
z{hs<XV$YiW=N&k|NogEa{dsTxf|)^rQkMFT%FkIdp6vP4Ge>-WO|i*=zn3Q0m)#87
zVYo5A^^>{UxB8a?`UbL(KD+$%%aHrIwzPfK)@gY@YbL*+EnfHJh5NmgJ$G(tggw`M
zV-(PIm+h2s?gF0b(AWyS%L`2(?@uV`*1GQMv*BiorrAt`!*0UcHXLlpU1OfezB{D!
z>HW2W{*CtoLyOi0*Cxy6X<yd8o40eR&-RMlsq<^5TKiX?k=`|<zV7#q<x*x{+oQj&
zPIGF!ts5S?Jn%u;Y0;}^)>Z6&y6I1M4&&e3y2q~we%>Cf7uFTd`!w<2<~^@()vkYJ
z?b!NVVdjQT_il}vIh`6cA)Oj#=iEft)0MiqFAJ*n{#A6{BUo3f(fw#@w?v4vS>T;+
zm*fb^K%FyP8fMR2FBKY?FRI@$LnhE>Pv4TsZtHIqwVG_rzklUMSm^Y4|FDN?u{%q}
zA|Kw{60yy8-S5Ps-<5XkT*l@9>QwIf73r1TV#U)$=1FNBG-h5}k+-1cWzGVzxNB2q
z=T7NbT&XIX^Fq{7*=I(=4$fd6<HWY|t!z1oD>S$DDyqFn*uc44A|(9XT&^8I>aWe=
zwsI+mY1i<3A#m7Jc2j{@d(NC|igi)1CUkEueY(_(^P^jTv6e!eZc!XBN8i<{?-#N-
za`l_EEU33OT_~|Yk%!sz$RP#ZjfOks8eQJ=JXoIhu&U{Xf=J$+m_TOs@T&)onwown
zh~>SsV<C&LrcWSqQ{bsh;_LTUMy*!cQ(b>ipzFBQp${(3I$th4Ip9`bx=d5<suttn
zXwwZJ+<0^LgfX+PzjENHx9NuuPP~^Y;-ud`%KjsMk0)@emEpecQ;)Ss{Vz3lzU^9l
zf0x+DSvw!CKl6Tzw*BoD4{~=-yQalstmag3eFn!#^-JqxE2jmTTov7H=)}*t?W$}0
zsa-+;-0QbpHoO-gdQ)uuW$k0OOHY(FJl|}4YX--`%w(n3WckZ_w_>-g&;Gbv-y(3e
zBa3=z$D=8HhI6hda;KM^IF=||R1nr4bLWC0|MOCf-rJ4Sk3N}L!S0+Z+Rj^ZvFChH
z$ict&r`D~~)jxW8h1%nI11W9OC5sy8+diIRP=At7eo1j){efpvC85W(_WXRf*vPr^
zh5Q-u=7aOE?O$mu#c^*IugLo)pOy0kuE*c=-mHJlW9ORZUd%fm)J5`#yuI$;y6IoQ
zat(?52TuQ5EF^ZSYTgxJ?h~hfO*RT!xJF&uSVZHsC7biVq)j&+J{-+iP_b4kdy@X^
zytj)!KS=xUE5GyEsT{Vu^`U1q;^j1DxcA6wGX0$6&)PlrMy##M4jo-1mNP%!v%FUP
zI>*)RLh#h<Hr|O#SM`0GkkBd@q;uK1O|>c`ai!d?8;e&7%LjeAB&X_}ue<qz`{|r7
zSB=;YZ#{F=E!WOA=fWnFYp*4I6X#A7Tyxc^%QWiPhN#mzYbO2f{v#a|WU;E=nZ>=N
z<Ix5_Lzzp8-0Y<%jxov>ZHRA=c{BOi2G%F~PP?Tv9L+NqiZ9UgF-~lHspeyNxJ5E;
z)`r$dwVdQ_4|iznlGZr<`@q}v8e1=17P#)F&s=)pwnOX}!vOxjJSM#>wW2CqEL!)n
zd2R4~*YqhQ=E#k;&5!Ed=-H~=SlKROUH@f5;%>R9h;`Ot`)$vg2Q;y=d2PJlASouf
zqV?#d54RRBJMfv`IRC2jr}fWoD(U_`vQ;IJb#`iygi^l;_sK^;Dz<E^d9UqJk#<|W
zezwsjy>re64y(Ej^e+$dY|ebJJy`qegrrtEBb{t<-Bm(4_ixR7|GnX+L&1fd1r{l0
z1~Sv?jU;w#-xtEqIqikw(InfH2))!*ET50p9?5yIHP0+S##maSZ@cjOjPue*7JEKo
z`h4rwC)4!!<Oty%Ja4_t=A|i3YW5F2d35@UCy9Y3KTW1xZ7X^h|7@P@w$9bJq!&$U
z-57ZCSj&nh30@&ZhNfDTGvuaTnh`neNcg^^zRg>o3TfAS>}j0VZ?ryePKVc?HH#;;
zDhHlC_NplGxvF^kVm<!$ML|;R#*eI7R6T3%b^5O|UU=u1{C7LeOdfxgvj>$ui$4Zj
zvay-4#pIp4(c|!!UpoS?yj1nvTo8RJ=guS(c5~HU{U^$^{;v)9RNkSL$rG+}_E@TC
z@dn>ZF>CrV9!V#!u2*V3;G%eDN{?8W$E@b3lb$8bTv8dKm6@s2nek}z`fiopghZ9*
zX(t{eo%Gn~a7pLPbQ9^%DrXPIcz!l;zjSGa?8zQoqs_`mBJnME)iZgjReRSjQ#pGu
z&$D==_oYiWBrhI$p1j>#>fkJoWwH}r`c9gdw0cS84W&#zGk24Ztv7n>C(hlGq<;BS
zZJOhf8w#%5HpE?$Su@#$KU-C|*ILlGMxx9wWxt&M%g9O3lG>ImHB?*F$=~5W$wXRM
z_3Y6KPw(WI9o1s;*XPM^>|7Kjxz%t@zn9oGS5@)sMU&dE25R<(K8*c!Uno9MdX>?_
zr5hZKf^?>vw7q<&c<le2A3-P6lk3}_6mM|(R2iX@nW@v0@hI(cg6HBFikV`&yk|A%
zO?tL4+eP^AyE7j1j!xgY+*9iC^McEt?bYp~Ki&MH@l&Q|f{FNhm9vMVJ-r|Mx(LfZ
zs?p0dyXQTtJ8<G-(Yv2LJiU`$T!dpk&MAAy_PG4$URC9z0-nh)G&9B6yk_+>PI|VW
ze*co4FVr*TetAVn)heyM^M2F%(DvR%r{6^jUzIrLVaNSk_3SZM&*B2lOEEFiO!&i9
zbbB9PedzN$_S?0Nz>=HhD!mW4Es5NroN4yXYgTjnq-T#NE~(t1m6@5-o$;vqQM5`g
zzv#r~&+T2xlO`sGJ&6&zFFIc#Q%ucsRxfi<m0mrc=dAX_6VFsA8J|~jGhD{gXTtw+
z?#GLh(vsIKv6RrylvBIjQa(q_`kkC%m;dDbJt=NAQ~&fX`8c(A$<7}-nR||}=IrH5
z`gGG+OK?yB5s{tJVp{`!c-FJHtpBmlN-1)NQ16NM^DCYt#Do-Gw2zVV;fa2Hc<+P@
zK@~FR^n?$G)yr!d9hUIiY+!k(W`@MJDsMaXy((v2`8_{>a6RQMC9gbd2HT#=CQZ*L
zxqS?|^dnQ?=6Z=wZk|%_eO1pM_3->$V6ut-BFi$DQ?6IO^PN0y5c(<dhRV;JH<L`*
zzpI`-YU}yA!28mr9g=pXGxwLgl#=^A<44M;ogOKMX7eVQ@ZVipzaz7xJ0q#$w}_^L
z;hx$lCfxEWXAf3;K7L&1vbpkcg=!{?{^8iR3qd>7B{k(dqz?0XZY~JE^g}7^lFXfH
zCj8~fGe5Sk5Eoo5u}IEqR`2mi&mOH@QdyyvnQ7Di=7zkTn%gQ*9?{FHXAhZpZZ-_P
z6yr0^gnzP<l)RRK{UynthwI(_PkI=}U6MHFIjeQ!q_pIoC6*GpnR0HPQBusxQM0zM
zjhp0lA^K7UyH+Mot?F4Pd(X`m<1fkZaKsA;O_yhLS8Y<9IAf8Ed3!rsVGw`su@nih
zsX9FUUS@7Cs_e%XpRB$iHTBXBp}d~3uIKfWmwf!`yQ7gyw^ek~MEzFPUj6!CD!uD}
zshm9)<ypMJ?NZE|X(s%uRdjo&3i|Q{oL22kh<ozj&(YU1Cp|VQ*l0y0U5V1j6ch85
ziZ}6kX*okPQ!mXkN@}z6tQq&uOf_j*Ig$Bey2W)B<)by8$q@>fVq#vidR-?yOW3$%
zXM|Fw+%&H!snbd`zxnrV7F=v$z0O0b{&1@2<^s=4Ka$)o$;3?9Vsg&aq>%M}&l15o
zeJQu%&E8Gv5m~O%`*6vU$Qja^MoBj-oIdTmp_iHYrXwS1&0W<@mffnoN&QO<-%K^(
z{;hKMn3-pBfy1R3nJFgx?MkzDTqqB@#L?%<bxVHIdM~#w;fE*tRl|DSRpz%Ip7bnX
z|C0Kpg?5WNkKQive7t!55=#k@ckgryeb>A1=oaJ6b~>WQ_qJ+Bzu4JSFOy}hl7T0W
zPR?-Q?>{stL+txvq4$3e>7Jk8Fqdb2xr_5o;og%Uth7G5#)NG8Xr}d1H74W{ch8C`
z$4-23>=G-onxlWw;auD6yZQ2e4|R5C?HB0HOim9es^4I%weyC|RGT+_UYT!ry&oAJ
zJW!x^B(zB|ursM5BuPSKs*X*ETK?nEkfIB^QWuZjUUB;H>lIUub#Hj`@s53`7t7>B
zx_9S`#r5CPXlp4}RBLr!JgGJLVh10eo2vNl#gp1=12ubXAF4fwFRc*Gvq_p|Zc@V;
zdFE4_tE%+j#X|M-r@N_&pI>ycx<YX3rHaUZ$HHopyMIhSc`Ym~{nn~oCe}Yb_0IcL
z?Bc%qs4(Yzo+%F=n=frIQwq8B<0!kZTE%+r+y85RSTk?^5Ho#?#w3xxE7ArBSN^)R
zc^TK7Lzc?VYa1s9&oP9I_$-)s+al))AD^UU{yVpK4;v;f-*~=0WRJ*opNpFE^}TcY
zkGlC4-Z>%ZC$Y12vRmHcwu#m|GN0(>N$zaF?Kbb2Z16{;7*p4D%{!(=P1SCGh4)WL
z%1P{OZFkFiJaMA+j=U#&a?(57)!pVD3l9DmwIiu3Z|R3KCphOxTOQ?BPOr#(A{HmP
zv-i5&y9d1!KQFu!Vq>N^yM9Bnx7wRWi#V%yBpj+)7Zd-Bv%BYZmJ#pN*q{ipe5Ht}
z|F^s1kIwjU%cikAA&g1<=ouEhHc8GHv1RH7H)l*c@H8QdX?nLNXN;Vhdcjgd&3#Q8
z(~hVgb)VG98N(thv_s>VdV%2?zXzKP^cqhe>tfMk*B0Ipv&QSerwvw(+P(FrA4QLB
zu&~p#6I<*1Kq+GS0oRRojopdPOw(I?Ib+PsR0}L4<{dbCy__>fZktNM(v7D3nsju!
z{JZ+oZVT<;*rr|(_{QTw(v6u1QWL|OruW|EjN$vHQn2%ebo>f4v17AkbUMs;&XCXl
zWT1a<%CS4YE_v6AEK~cRu2?A6r2p2d-oHOvM{`f#SAjiUUjuA9z8aLQ?*AN7Au_wK
zw|%1=`}bs9y>~~eew;DBb~t_h+oV-ZkBVcAYMxY!y?$tXF@k@7@3ATgx#LwjJYB2x
z?C$mUezlMgeqCs2b?tFt$Qt$DiG}H`hnMegex7`%V@K>q!Mut!?MV@9+7mO@96vN6
zqQAcP;6^!i{p9|;M-SX6vEk|Xy5yq$IxU@=p3{8tXMY`i+tr_1n`|pmn`FzgH`!LK
z>S$HYm!7W{F9cr~UbJ1ukz3R8x>{yW|K=SP;^`khn4Oz+Z1$(u9EYa0E!*`g>*2|z
z247}J2p^n~Z*sW$z!azVEP8fa{}k`JUetDs;;|LpQLppG<H4qjwvDG9x3lPp&li?i
z-0sgAlUeh}Ifpfnr|-O&{6)JX?<e$Uz8Bu1aZk0tu%tIXmZ$lVuNd30**QEN=9Vwy
z^NTL(-+Qt!e~)Ib-TgnYN7tWSwr!QjJ(Z1ud;Tr^GS{kEx3!VivxbFP=%>an^-qRh
zyq|3TpmVYC#*5kY8n*0vg@49;@p|(4g7HNCUO8UQRlScwCmnv8p7C8}_tWPY+g<GJ
zPN{t|+~a%cmqeV}#>k3^N75E&Puf&$VA6T^=mZrr{^rRyE=f!|aP2^NZM{dr<EvlW
ze`IAmNYDN6Vpn%f?NeaQO8-a65;HVx_px|Bd0c$o#g5%s=;x1$Sug4>ess(_as2p|
zUo2PMF4}s2JF;U|%J!rb-zSfgvz6rISE*#y^4Lzgq4UKjY4b(x&ffA9o;iBIR5pH`
zXx6FQTUW2)+a+zZb)Wu&ulZN^_if%$k-X)H`P=))B01vzF5I#pAo9=c@A}EMEc!jW
zKjlBM@7^3yk#}cugz=IB!)wk33+rv9uT}<G$mJ_ph~+P`5Y11rkjmdwpmHzealxLG
z2NzaM?r#35#&7<}H}g*STG6i08#zD5y{g;O`M_~c=cB|uoeu-|oILcnVsfwgM>Tf;
z$G&>+j?K2Y(`ml6(0W^##JQttM}l^U<}2-x%wM!aCO>J1T>hp4-FqpIv-X@kIJIJO
zeYf{VHGb<yzL{@&){1hcCkt;1x*?aZbVDqE(GA)Bq#MHdn>Lu=OG%F3bMoN7ipkxv
z8&fkM`>Z*B@MT1QH}gh0e)VMA%sCqVH%#OVLp>5bmE;dTvj4O0QU2pv%`;wUDl=xD
z@i0`LIWe)yXmM-i$sV(1YQ|PGCY^ba<Y%<FeQo{8p3EH2kY<r?A=MM^$IqVZVVONi
zQqxS$*eJ&%ZOaC`nHP`kN^xWNo-7%=#y9QD2DO=ny;nQ4CL|^<V)^N@OKaxDgj6Ht
zqg^R(ZBI}3h)q*9z9ljF%!`B+qs849Pxi>UsTwac)CuH`ikP6`X!okmBTYqO-Wd-=
zvzZeUV~iHpw|+d?W2UBJY&B!XnHNbxi#}~Lw4ZqX;2sw{@nYehHa>n&ypzAld%YLo
z)w#d=kGzuI|KpdkEf4oR+{_pGV}Y~TuD@~3GIy>YE&AxyZnNjgLX)^Zi$5Lc_@VhM
zSXa4XQL4!IQ|sUM8nj)%RUx)6<MB<2uhp`T|8`DWYUpzK$a=&2-&vB5@9$5)_fR~w
z=D}5&mLFfAx}J6Hh);~WVDPc~RQD{Cqx#zZ{})>s|I^o5p55p4=hCM|(>^YpH|-;9
z`?Q-DYf}06GG=x^k9zj7b=uO69*2+g&;6byd9civ_t*Xpcb4{gZ1g$(V~_i3ot$e%
z($QPa91PPf-spS!$ETpvKUM|RA3nnWe_5vF{MXrBXaAQzkj!=I`Wba>xpP$7!?tNR
zcPvfidzUe@J3Z>z!;RCH7P=fh;xB$aTk;^Q?&2LwQhBCt?fKpsm6p75nx)0Umu^S+
z<^8i}wjaD@a@k^Or+S@mrQ2zab6LCA{#l=~RZ#O>rsUyD-OUA#r*qz1|FZW{__XQu
zTRz&zT;F7}E@Q@ztxrwQ9{jC;eaGrvkHXl~5_uUjTW3cdJFlW!To8TQ=FUZfkJ4wW
zque$`pVlzTkUZ?ByLp4>=^U90A?tpKJ{QZi*;jUblgYNs85Q@Bm+2~7MEqOiIA!Su
zf9Z)y?b8h3TruL_z2(d?Mc;!R^3&E`KUTca{j^Q}o2v$eHOH?Q`+i*2_17xpVFTYy
zixtj%d@m<-AGUh(u!C=DVMuL4$eC9CY)MD{()%y>zudTdnqf?Mc;EkL$K!5Qn61lt
zy!4{Gu>YCN-<dO8|3#%GH%zmXSdps7mN~Qios`<LL(W@!5@u92DMfJgn@m+%cIfcd
zPNSX+M%;_Ho~b`3p<8SacG||~!ZYLEb}6-GyZg8HJeXOvM^LA=|5k^{c9XT9KIQMT
zXSSN(GRfU@)rdXTlvR1zmiR3nFa21O_~=L9s_-+5{`tM0&|7Qu<iQfYofZq7Yt}7r
z&Xft3-x_c2fAylvQ<+POZNXMYo?BTxc`%P}XT=idOq;;x_u@tG)Q7qY3;%DoN_jYy
z?`FkXXTG>s6S~>0o;=*gx3n;{_sE)m+kVaXYd$6Cl56sgmCk1CUQcMwwtDht0bgar
zD(6g{z~}eoSDg=V7dC#+YL${WkMHJ;)y{m+UQFn|Y4s#=j#TH%k0G*2GZs0EslA@i
z>uL2QVG-ZX84H}{j=c_$+I+>M-e>vu7XtHrUkk)*PTg-R?zTtq)r3}WtCYumQk|af
z-Ac`l%=z9}HRr?fJ*P`AYFv9QaM((A(}uA2oHZ8}*;kjIII1Q4X+u!^rHGHeFNK?J
z>Uw=lbI#vm844EPeP15_=;h3HJz0juJV{1`JxONI(jyi+ce)C1?2vt2P@sP7;iJ6z
zp2LUtO1MjXKile8cyA7i??cC)<_C#A&5r_mnjaSS96mHzqP=&yAs>5sl8j#2Q45<l
z{e??5o{xFKBYwtngUGjI798J>SZI_Tw~#11Vxg1MUwGq&<l}-3y2lP4|02=e?rhj+
z!Z(}y?jhG=p)fA@BpDX>WEqj;NisaglV!yG>W^6D%;_n#un>J*P<SgQ!Ax%^FQ49Q
zUcGfQc;(j3;?0cF{%_}=D)88!EBUc6OY*7x>o3g;nla~qtAT1`xBpq89TIM81(7r6
z9Y{@fW18MPlQTvyO|_tM#@qu_kInhPwMasCzKDG9GqnQ2Gu{st&6t1S=mv$x(}xbR
z=t*}A?U1SG@qSQbXw!K5a0iRtKAW!f3T=TOJwHy&&SVllxQRut>nUdpkG9Yboi#oW
zHf_{u?Dn4rYQA|s__V>IQM-5RN70nTsG6XfDbdppxNfj(>`roK5<h&5MUQ*7(2krO
zzXwGdR2xqpz4pUttD)Y#CkZusI@eEp>?@~sZ1$xYU(WW|Ywf%5S94&4R`kt%Yj@VV
z|NpPnlkp?+D8J}}W&4zm7T9hvSo2U^)P7o`-sK4^#TGB;{JigWOXc~*Lt<`be|%YM
zV$RC0-I`?FdbW3y*M^lJ*+uI`LxUw2PvUgbzO>-RiU3J7jS%kFqN{pKxvn0RYJHWo
z*6FK(-@;{vW{c8RyL#65gsfm$ETVO^qV<%4(u!-{>$i8!x8n<4!E#tcE8m7|YGQ}e
zR)dIzw<MMX$nu1&&|^E1W!5^CYihz&r=<~V10<(ug>Y+&tm=Krb@iZ7>#L;5fTvNl
zi#6`Q<eHi=%W3J11p$)Fv_iOpMOO8m<hpuLrS(<PqBWmB-CY&HxlJ>qtNtz5RDBK6
zRjs;QQ}ty;S2f3ST|N5lSkm>-yZc;CUVn?crx=$0N_uwijo??;RXjHAUU(yvEistO
z`btAW^<jSPed2638%2I?4}ZzRe9N12>(8IJRNp%?G|lC;U;iVJ#bo>HGpU<q-V!mB
z)L7r!_CCC3yUXg;uYWF_GtHzady-pWedr~Lb0&XwJX(J`YQg`zs})&(OVtH^G}k&b
z{eqQCLBwRaqjkKA77G-`__8{BouwW<XldJFu})F0FVjQHT~y_M{Qk=VP2Z)QJ~}!}
z+%x-AdNiJ`^9RSz1K%cj7_6v$c}KHTbB<2`I@PHUCOIwLu_i!r-3iMD5<1Tt`q?*S
zJX}*RbN85KWJy7sSZvG$SN`QGhP~YjkA=@ZTOuI;Jumyr$92B#9NS(998HsbRD4T7
z$k=$F?z3#oFB$6{S?-p0ESk?}_=WeY4eOC|6YVR(qNPnU7xpb*vfRLtm*d!K#-kRd
z2@<RCm>=a?dd?tr<!96GpzVgCE3IcNx)SRX_DW7ov;M1z+O%a$9QP^)N8S!Qe15&E
zn$L!a{^-i{`%koRikbb{@`zn1@BY4BwOs4B_B=?-SirqeB=4o-!Cc!VJ65;zm~Z*H
zuwrQ{kN(y(hq!e&e~dXTV{_R^+<)tt!_vCmk9WPY$;-}raCy76l}mwtyT-fM0*9Gp
zH+=|b&#}3r$lhOCf8wa{O3tpwqI<Tj+9$~EG`UAXjQL~@^U@j1m(?f-Pj-6$t;*}d
zaR<#RNe$=o-}bNh;o0%&XX46mzeL~WPT@6XMO{<f&Ta5|boOmgP2RET&#xxkh|hY$
za=K?u($0y-YbI1WJGV`HRkAMRsj8k<X&-0s8NRPfKRK7rsQKOg&6B^L)9$t6QE}Up
z7gAE6XC1u}b@j?EjkMd@&c4<S9M@h79IcXlbo%!NmecMw2eKvPpL*!-sbF~(HtYPA
zttR5n<EoFU|2(90a9-KbnZc1hiQP`BH`WAbnrVjEou5$dwlP{vvh3ud*14N9lACp`
zZYXx^Z9BcFJ$KWLW7jg?-TwXkk7&Kt!JTDCyG<EQTeG!hK3L_oY{#NWl6u<TJPP9$
z8pQ;x5Yy8Nk)AHHs@a(9>QUd;R}b5qo<8>9{phD+$h~hCCqkY@tvZ^y-JhTHn|8u-
zAH`?7wof)U23@>rezm*Rt#)#RV1DlU37mYZQo5M6W+v8$oLlxoOLDn)@QsE$6OOW;
ztoLjAyC+3`-`_bY%MaCsZR*a`?KYk?m-Dyl$#XTomwr2{=k(%g<JJ$nybp^v1&NC(
z?zwzL@m^}yrNukeD)N+@961!ryRp#QS;pqNfcSTlBZt{}Js&S_dg9*aD|M*ak$2&Z
zMT$I|olTE>*3R8O-PLPug4@emJ|6yw`=kVP0+zYd8+xxaJ`?gvY?)T6^yR6$nlrub
z9+g@8E^%Fue{$T4i&roIQrj4N^~$6}*0M?!t6g+`Yji)mwR6O62ldORO#HvB^y4w@
zeJ)vfxhGPOaO~P!TX;?IW5J2`v$9Jq=Q3HFm2K1imMl1L?aQXvT_+ap&;G&IzGY&u
z?v6F5YqE<qKiA*(I=uhAp!>W-PLV1RTHPYvDH9V5S3G^{eK|gFPY`p{_bi8xPP{)J
zX_qj4?3=kdY1`yO4+~1Cct-Qe_ZdVAPkhj!rgYMM&XP`#!Vuw!&y@YHxTIJt>pbyE
zaCwW?_4w#+V}<)y)L$qbtgu}ovAmtf`Rj#45w=?l-I)Id=WLOy7wWm>+%>W4gn<*k
zF3)vm>BG0g^+mX6ygy;?C3TR^^KtNp3o~A4Ka%=tHPvTBm}>Bvz>_?yoq6v~|2#8#
zDI1IS)rO>2DFdD9eVvJsD>S**uGI>@J>~3{t5?c>9`4{2o@0>MHCgRUf!C=O^~x5l
zud^Hqe0eq2tztYp^+Lj<X0`f{30L!$o)fqBnwzvfDE&sb)ycnYYG$iGslS@zW~w-2
zwW7#pla|EBwul*P70sTRiyhx?Ah^Ems$x@~mCME0c8RJN6I%6`CYm+nd<l7a_q|10
zCdWY^-i0$3D)Mlf9yz4MyK!Sgsmq)XmtHS*X4zZXv8bQV@XJ+2?!P4`-2PvhT+din
z{p9(Sdh_>nE896wu<(Z%9d(|1=EI6B_f8i%o3$SIn){$LXnDn|E0Xg#_s%<CmtB-3
zJAGkpl3{3Td+DCj9j|!rZ~4F)w{9OF|Es#-kHxxqr&C`QtevLsraY~xXWip=$<WmX
z%lf9TQ~CY!&ico?%3U=@>)$;WweOnntu%?TUMnr^cUFDuM`Kx0?c_NEr=NB#=1dm-
zecJx6(K@}Tuq4&U*4;sool8?zu6gH?DthGKM4Kt6x;|`@(w{0Sm}=^%rLFk;<(+At
z{J2s}y|uK3G*<5oiez08AEv%^lhU3wYFgi>>#z1K6&0<YBk%evoqIM5^QQX1kLv%@
zxRkwav(zhl-xgWyb(?3g_ieFbQ?}*y=;m5Wgx@MN+_&XzqEn`IZ|6~+03MxFCas=J
zbMM`qwoOy6TqDnTk6vzcMd+<<A2)4z`@l8x_R-Imwza1(eQPqWOe3W+F`zJ9r&ZmR
zTe%|m*0LATpA5ce=0?AWy|wM)>Md^<q-WlC?Z33G{oT^H^(ObkHYX$&1aA8w5pqlI
zN6?uj*N;25oc*wJOYXzu%-hFyU)t9EeQB<^eAn(xhD##8@%<7tkLA(LtC9%2<C9$R
z?Y3yWmf6wGx|e5pF*d#Qy}$CuW+#Q#sf_{$yBs=_Diw}A4{e;#y0mdZuWI9j=A(@h
zx?LM5w6`_}NO7N%eB4|gEBR5()LuYohB!wc4|hw&QZ}bOQOt^Q8yf}I-*V^(&r>+E
z+FZbEakOPJkMZe_?VGpvJ{54h&ahZQn!~V%vqh(euO+94t3{@VrzNI`qs4}Yzva@4
z=yNk#BU6t=-@o9{k>H`=VrU`oXt}RKN@9XSO0t8(k=v09PZrfzJ~yjBHkFz0*%W5I
zXVaMF!lpB4uF3ipder|gzgL*4N$79m^K*Xa9G{gh$y?K#U>2~(NczXFC(-+#HFuo5
zpinfiyHLR7xP^w*5etb;`&a&OJ)$VLB_}uYteMy}Ufn{mrfd7x{#bf*(xLt0uN8ls
zPqr2L@4BY_;r^bl5kJ-^*_!=(9Z}!k{9otV<45+rUn_q2C)sBHIbIm*c+pT#^4{9N
z?i=Nr{u^9#{wRN>O5)$&i2l}X5&gZ(BKn!dUKcth+sb*YQSS|j2{kC`@@^}C^1o_L
z`-AxIuK|BnZ<K4R@Aw+=BOGMQ(}@1&{rz8e{D@t1{P6ycuS-65?^w0rf~B6MT}l04
zoomh?E=TYm^-r?pvG4mD@gsH3@nh?gZ249t+v?THhPRmQIua@KCwR`$qx)skKYn<B
zB=XXa^7`nH+G|x`&kl<Cy|zO9;I90?u}9ZGj?v8VPg1s+am1}qqqFy6fza$$WzQV5
zKDCXO788y<OR5)|-CpaNlljIsAYA0Nu*$La`t7!!IV`&;-_R&i-Dvp6Cu#Er<<7Im
zx>U^AA5Xp!)8n1=*#I=qWXSodE77^>$d4c9R-L^GAwtqePpFu+33}#;wW)8sDKYKH
zvxE$x+1-ksIdW|38<$?xcZ`j^F+;)7&f%MHlJbkmN8B!2clIs}7n<Gr&oiecapsX{
z32{QR>$@8{y{;cy#-hhQTX;uI4ya*o+Nj-|d;gc?(eFxnAGfZ#zamAvUi;+LM{c+5
z!%wp8|C@O9yYi<0Usuo9E^X4%l>Dz9-m7)9sp6Q8PPeny!?eXh0(XSID~l+!rb{^$
zxI1gi%iuVi%)9Y}hjWh26#;gBlOsoKc|R8TJ73zdx?WGF>-8&*8kM~Z6<MlHTaxy-
z8OU4^;AS^Ha!ir8upq)YM&_abzq_eQZ}O`{i96Qz9co|qSjwru$ywuDCdXk--i-xO
z&N*`~3b3b}9yw~s`>`O-`O=OBdNSPpR|9`U6b3qT=w)&oP32A8u}D$OFRP>1R_f7%
zRc$+VEVB!cUSEIpz(H-(f{#JGHhTh^P3D<*{q|D~s7npwvfZD0fW_A0U#Hme5Bp~u
z9Q>blNaX)yPUAoNYB>+<%@U>m-`D58;TZmS;?5uWJ~s~6XCKP^Q{EP|^hMq+^Y;q>
ztA*8m$otHARBs|<@m_y-?d#{i_89(I&zAM@ziEK<|Ncg+ANzT))F1tyx<KxqKeO-S
z{}a}APhYv?g{||x0yz@_pa0W#Y+Y%-#K8KPqk;M{M?>>t2MrXDB_;;+96t6(qP>~b
zkWZXDSw>FmsKup-9KDjR%x5Y;DmSPcbKGEZ%yFa6v4b1#%n58;nbzE@nbzEUGOfAU
zGp)J1Gp)IOW}36q=6zqin;Yx>7uBacVX^-+b)mvh-s}0Zd0FZc%>w?cym{cm^R(tx
zdt>RGn)^8gAFii0cjwRKmHVf<S+Tc1O((NUUPAbR`Z4*Lye#!OW&wXXZyxwye$2)w
zL}F*_d&!->`;Cg&4T{9;GoQ%q%YKqs<G!m?@=4N_AKQ~;SpF|7@wI&9@o=?8J@>)u
zg<@T&EV!FR(>H!7FBFqDEfiy)Y0=$%%c8q|mxZ^~+oV(TAKmZpY?-h5wNR|d{z#<8
zzRt}CTl!DxI$Iq}JlI`$qaay^Z;nJexAn1y59O0(GXMOm7QMeRe^u7%mFw58%@^M9
zRx`QRgGIk7X!83i$*COo()P!Hyu0a&id_AVTD44;^P7`uLoeK_ICiW1&(>6_i@NG*
zx4AA&%g$Wu^!-k`_P>~fk33Oa{+k;W4o+TEQMXRuiRKfn)lx^I!-}|OE{ONj*}UVA
zuiE7~C&j)`c8WJucrjU+-=^@w6sPx>%pBJzt^34!*;wMq>vebH!$O`k*EQOlV>`_9
zebb5`-1UNa>(+ea?LWkH@bH`X@IOoSuS_YvX{z4I67O~H+NRH&b%bg@$um7xy8rO_
zC9{L=NfW!&CLj8pFyTS0;d|Ym$&~_J!gtPJcc^`!DfBV3zwoCN$7z=3sgX5v9`$&s
zS<KcyURAg;ux3?aOtyiKft`Su{KHuST*5v)El10zUTZy6&!WwgIQ{d}g$4&VB}K$s
zeNt1T;bWjFAa;LWv=o=HPTtqmTkY!SPL>vHWo=S*bX3`>r6aILf0^dG+M>hL9U@#_
zTr`wWdm+owz38ntm+%+<7T1||d`r|%ZHaE^Hu@{_V3E&nmK{IZ!tV#nnEzsJoqS;Y
z55tgEYo&fi{rRV$kXpZC?a}xAOi{JT?uBP8E_~YDCEw<e?0x)H+qIsIIqY92JeVLC
zBy-KVO}Q%LVMcewx-iDi%k%vFIpw4^jtVoUR%9&@oA>m{<Wr1M!8d~<?{_5Y{#zM;
z?X~pkLYpXNUegMxwT*4Fp8a~p^M0cJ7MDrq(q0|uziYRxW!1C~tNx1k)!+Dk^X>D3
zLrY?|#veNB;}m*(YbbZ+>MPD&@2XB*aOT(jlEW)nDETY&w^0nEqF2Fn2hDTR8i!q(
zw-#8&Y+Vwub#JtDsP~^$Z?8(NWQ^PTez9r5s#~`ou2Ma^YVYMo{D)j^fBinac<n+K
zX8XN1m$c@EOz%v7oRpmrzf?X(F6^aEJ%8|L;l>GaKO~<X46E;Xc4PjzCAU_+iZ0^)
zdbT1Y>m#c|UDL-1v7bNHI(hFu2<xtRW2+PPFmmOBQ(3;X?l$?IPn6c1KXzTo!o6Ub
zcXH!XBi@S0S1yYpE`;kI4dMxGjGOMaiz(|+YmCbAN9MCDE(b|CH)o07n|AI()1AhC
z#!2;V7yU0uRC&&7m7A3IxL9wM<qwTaJv)zC?bm;L`bM5kvw9R;qP|l{ug!bjlvnjB
zJHlM$T@1p+G>>^G>2JUI@YnaFT0LCX_pk5zB`<K+b>qL|t*__1ysCHE@sBy;!o!EZ
z^n)7PT3^TiQF)xT<Dcigf9-9r?bnATdhF2XT=C!fVSSatqJQT-r4HA6ZvNnKDaU4t
z3Hy1~vqybBKNsl6{9ok$s-y9u&*xwASAu3pc3LYZsg*3S2tCEk@;mzfsz<k75B|UL
zX<vua2J<ByoR`*hIBhUq(&2fjufu7B?UIhpOWQh}HkdByIC*JWhtmej3V|fM?V36Q
zIXuTc+RrvOUnFp*o?BCC=3T+o2W1|D?sm$H1kUhiK3b92DpJT}uFQ3?cY>1It?SBM
z2e(d8+E-I-x=0{~Q|rushXfbJ9U?(TCi?Yiumn4GSzJmMY<=M5Ay`^tw@6?Px8@>~
zb8aI07I11Vs_}CbX_~C)I^}$4hf{&gl8&P%+B%#zs4wYwFD=`pQO}a@)TQx!o$O&Q
z$L|Z0I*Z;(2L50&U(!(|ClScO)*=#kuDrQ=yNl(0Zp}q=-n)x5u`9Yx`Fyy;>4V0S
zj-oo5K#qAJMgGcM2RBbpI`z}fMX^HAhSjw!u8p-R+|e=RS!skqg|LWA*u6H^eH-|=
zl$75eW{RJ|&Kh_(`4CgR{L`kU6>s_z8xu-DytuXLs;1BBR?()Hf~`qzE{QWDW4gre
z#T#zgp`){BSCWf{kD-l7nnc)~Wxwp#it2NEB(7K@$TQKT%Wl#!gNREyJd;eMYooar
zFS4I7MbH0?R$KwcDVELM#_u=1=~phEVs$X4RqxzXO|Q8L`<%p|A7NVRSHB@(VK>`O
zkCnnYVJ{X{>IJ@H@fO{cvF7v?tAj<YdQ#5<mY&NFUf7*x<+D<lXZmVak>?y!eGG#9
zj>^lsYnC=$<cj?gm@Kku#ng>nbH5)hDsy>m9;YhoGa+^250|Mv2A<PgS^dIJvb3j0
zeo@&SYBXQ<#HJN{_G-;cn6J~l>h}8jNt}F7xMce+?%poGzhHxd!HyfvKk{dICOT;N
z7;LZ*%=r|2PXF9CG4<z+8@ZypCVy_wQhPqTd-CTEajm{bSqmkuge4{yE{jw#Wsz3t
zP1?J}aLr^B?rzny$D}-qH$+{ESu@FmU%Pv{PuHyb^RJm+*&ed}@YIZnrlIK@7VS8p
z*;Sw9wAW^q$@~EQ?kwFs&J#?!R3{zV5Ozt2r*B%Fcj)wKKDEknZr=CV_dI<N_k|(q
z2cr##yhGj8GW$CMT&uTKKWqz#T6&i^ed&tu#C!{F*8|dr=6w(pyeLrSEp=4SGx>&Q
zrdXNBtlqca)gB*9CoQSmA(+RZaz#L|^>pBxzx75t<aFIH3aoRT{EYWuR>))Chh0ko
zW2Tw32~SFUShM9zH}AucEnmEOABKKmaB;SXj1hev|KsMhOGo&3t&KBjw>-*SE+lky
z|E5qIm!%8$ypD|6qoz^Fw_J36M(cw8N95M}T%XTSn&-XTV1KC1+C@)oKC+&w;ch?i
zy(IV7&zkc=_0M)+nBSMBJ4bP$`4kzQIBkx-3!VfNrf~0@-}3$7ZjoIQQ~RF0?`Qqm
zwnieTe^rCT?0(Vq&JWgJeXCRMb#+~Re|oEL<i}0R8;_ozn>um5Wy-`w`*n=IXm{=W
zb!^w8Eu}H;o=+a{*9q#G>e}{Emb?9RcjL-83Z@Sh&yxz^31n`oUznAUI78}&#J!CL
zQ9l(TT9i#%&d)VzNt)7T(DN=L!`n`C-W6dhF}7ce40hiT;BKn-7Z<kv7kSh^JLCBE
z*JtbFKDzV1<v2PeExxE=!Qt;(`z|ZwX^Gvbaa7GSWmnzzLTLNc+Lg7z$+h0W+tvz}
ztFDzSKe9G$-PO&9rl#0sT&urkQu1*5wiopxE&7cnElFWhCM0ETHf>4hYYR9ddU%FH
zuIbf14P5NiL35S}PJIy4SME}2T6MlA`Q2^N`d5p8iG)pKW?Aj!dG+$6)i;77be~04
zUftilFKo>@uf!(PN0VwaWA^p$bNj+R$!~*MxW$2>%+6?$Mau7^Y`zp(ioJ`gKhr(o
zPcE<E^I#Rzpf2P19=*y({oFP75en;y+f3uOM1*MFTy*D%``6Y<w+>zXI5DL%%s_dP
z&PjD^(@6{;C(l({sdV$?Bd*lAvp@Co=81@LsI{@oI@Gek!C-Ui`aRrC`qJ}Glx`H`
z*I+R}#O41i%tdiWtk&fhoB3Xwv?SE7RILBFF4@`AVZ$O3b$h$%Y8Q5dXmu(HKD`|7
zV|yrz{maBPi!M}c2MxjoPSw|2r7gX|lZQL;8l$cE+Z7h!8qc0OrLh0p^-As}w_xQ<
zwMf^vxF?4yKVEf;Gjsps<Px{9!__bD%=I5TgKB><9lSZ=!0J~%5egQut3EDs>fNt@
zH7%}Qu|hno!YE&v>)`B5Gv#a2Qb1#vQDMyfy*a@vSZ;gsE>vxmeadjq@Ba(e#2=>*
zh-~XV9&w84VBeY(sgVypPwY7KTuHF?QGrXMg;1c5Os|GnpQ{M_apkUVVZoz^Pj*Z?
zw(^5xz#E?Eiih+2G+5G=xQ^cJI8>lF$Kdd~4GD?$<q;b;s_R(XkQR%1!_6)Ct-aNR
z?}u`xr@(ox{aJk;Ec&xur%Ox;s!+&e`L5dgXx<XTJ5x=#?^?N-O5asIdoWUSUHAD(
z&mK-*vh<^2RfdTEBD1(=d6nJ=dzS?6nQYRw{bH<vO~04eJXcle@<o%H)dNo+eZ1ny
z!@v-)#|!PtU)7(u&Jt-j_tlB+<g{zkFImi=zA9*j(!JeBw^yk8iuu=k^bP!<Jo{**
z&NfSl%Wtax_@6cXEw{g5x#&|9zS0N6f**N#_Z45f`D}k$VCd1^J)8GmRcI=<aw&{!
zm(cq&O@CpC#_Wg20w3p`I8$$6&5?H0;)jXOv4aM`<w0XrYZsrauV3}P+)%8^y-=*H
zy-=);egALQqy2yLXNXj4$UpvfuYvQ}EQ9rR!q1BT?SH;Fm!o@6XMbYspS80DVlD}E
z2}>O+c)GpjrM95Gyk_2kUH3CB1#9-s3qEN6!cw^A*ZYd{*cj&!vk#s>7y2c(KB#b6
zXdxB&!$UMsN2X7sW=WUEp8Dv1jXm-FTA^!q>fN~7*?-P;>8{w+<m9&bn|6z89jt3T
z#ctGks=#4kl*NtC@)}js{}qoP=>_&COk5JEGsUEhby8a5z9lzjh+di{W&JFwV2APi
zS?yc1J|=xTSK}5o>F;q>&13A3uZLW_k`g@QzU3-Goe3uM@;#FyR5HcHyrs_9Z(m{=
zamzBG-BjuHX{*l%cP{zRdgs%G1LDQnhnNnY3Q+o56Co!Y_(M}JP~(`ZNZUujqla2L
zl9DSbo{OwL#B})8hJ-}lhz*8@Iu;UwVlh2D+;VQMttM{mEc+xRFKDU=@NmtOi}6S-
zaZ!{I4%9g2Cel_Yc=XVZj-+I#isvHD^@o`bPuP%<m=UqTP)El?LQX8Ehl5+rt*zCh
zs-;M6-jdl8n@Ub4)NgoT<a_moR7uLLq8pbyzZ_s)7#qCp-~3xvVcW`{J$`juFYD0r
zn%E-+YZWx#Tv4?9eqFIkKh`CEjZ-e~%v)kHmt9jU7CDP;dp)5ycUJP_;&9%?8*3HC
z>`LneotHH|DX#YxzIZIw*Tq`?<2JQL&DK(nlBTy+{8*!yX%jH{naHkxlV=Iogo@`G
z=QGbP?MRr+7g+LNJykkGZ(HN*T`PFbmz+4{Cc9~)WAPP{e$$r3*0zWr3)Y=_<|Msi
zVQ0qUiE2p;dGB0tDftlK9FucVfd8(^*O|vY>oo?(*V{aGl(nm>d!w_OXTHkSr}s_=
zap`@J3tC~O=e26b#~QDNL32V@@U)ApYQ4#I^_YaGsQJ3z+96$aTvHdWciMWvec`Pa
zVxfQ4ucv8*biL%7nmEg8t3l|(TQiha{#)-RqIHy|^^`&2!l)Tb0&;z(g?wCa*r)Z>
zav83viG5C64Z;`J-<qMm@{wbwD%aGcl}@P<%K~(D!dIBFd9AA0v~0DL>Wg&&npGMh
zZS%OUI_bA&E#AJQtKF7OBcw_H;^qmfMVdw8+75DEJtWYYmAqm}mwH2+W=PXRuBk~a
zPN@<r19W?WR+zbYud3*Iy1_}+Vo|_PFQ0`*GQlgv`m{r&)m1{j)CVpX)jBBI>QxxF
z&}dHZ3bAwAA=2V1p<iOcMYIlvwt5u?EHugqT_F~x6(YTT@!K=?P9>#RUfZkga$6X*
zCUgbQYmrs0U%9Rxlk*hadnc~dYlHv7pf}+wYSyj}(CrCbu}5!7kwEQz-Bz!H;DteV
z0#@)`7hTnw&2{xysHdpyBhmU+uY$;hK`}uqc*I3lwTg3HJ@$OTul8xDto~;m>GQK+
z^CK=m)c($yD+$#FwTfT9`I>&+`gKmu>o1?X<V8InB&ALL-}1DruPQ5XZsV<p^-KRT
ze`WL9aMM9^o5YINT(+#_-P5|twj77-3$tV1T5!N2SLRC4#Z7%u8V75bm)6h7Sx{q^
zyufT(=1Pgl>CzepWtf-F$X>wnnP<xRPM#~rm~=&xe<U%hMkFn$$xB{P)0e!UW?k|E
zv#^Yn5});@HR2hiG>&F7r&i=G5Su5lqW5@|)-#UtJX0RDHU{l6320+y%X&Dq@m9s+
zrAdAtFFJ7ElhQa^$ee1CxIoNLYDI5-aFo_Fh3C9e9yB!u#h3)_OEL-IKF)LHn5gX6
z`ONm|3s};5r#$LuG@4@;z%9>n<yf_@=;Eu&%&HX`3q)4)PDz~F7<D6afmvAAN{Q2H
zk{Snnn3v8-T)=ag_sSs==B<S;-bX#Q-*(_ElhimW#hiL0ae>%2sTIAwvh`sfokd0O
z)*p+q-LfH`KUe0GV_};7HD_+_uNRIfeY8ms{PZ&EU!M2;2Y;6|d0mKK7*rFs<iH2d
zg;6i=z0}v%>dFe{{#NoU?r3?}!yL8gnFlvN@Le6VY~9*qWiyW`<7wr;0*`+0p7p~c
zI@PyyYemoI`gBzVi8X8@&Q}^9W)?KXFg*J9ReWi^--pPF>i;J$TGwU!qhD-p@bL$)
z156Vv7PE=@t#0gno%P_sM5!G&mYrMIUYj++t^eFAp@lz|++ltC+9B0zN`n9M1CNv$
zf+7|vvILv9Bz3hJ_*@gPOT8i>z1j50!5H2`Lw{$RITt3F_^sk@Pg*WzuqKq5JNn9j
zV}+&#1wOnnGWDU%{O(s2dYey$9sCej`R{{c_{z@@!&X{XEWZ+K6ZlH*p2k-bHVwW7
zo;K4AJulYGKEf=yxXJqogU7<zZ31g92y{)AI>cVXyKzI5bIzKJ0_@qQM~+(Ye%uh}
zd?{j?o=jI`)`1J5ygD_4{YOt9aI-JvVXwV<z%}3W!-ZhpOD{z0S+7n#tGo6l&o5Sa
zKY<0yv|4-Ts!U7>E=bYosPS7Gz?r5U(q+jtwLFOH>LHuftmJ)j47<*5I$;nl_S3~j
zEZ1kMEBob?i$_Z$zZf`+U7n%&)GqojFPrP`E}z9aKDESMv=Ydl`BL(&W%1Rik7A`Y
z?{sXt#-#XSU8i%Qr*OOe(R!03hg$s_tEaeL+P6~eaCoGZOF?M6#yZ$$yw*>jSKPbU
zbo%3-uM=IH_H8<GJ~1+7hs@gZGUuJmZ{BR!K9{Ng_m8CAzix@yOcPq+|8T30(4I-I
z`)*8kZ4=*=@o=Y#+0qRTVw_^9l#T{PrbH-pi;1}(oi?lLOsANqx36%$m@E7IYtLq#
zn#Qw?b-tBQdD%~v;$2Gw&v2;Cx*imv-p%qlbz)Mpj#17OSMJ#<7muZBx{DaA_C9P_
z@-a*+)6CC%R<rS>XOGq_skBhf%$(DobyhQHs!7w^i_OCR_IEhLlHyc$=yr>2Pnq~|
znNHLWjc&7btl~!dJT^L?Qa!BaS-*KhG-%Y}Qt=0eOEGt*oA7^E(e2$mmx<r3<V;HR
zt)jQHe#AFcZmtopd8Xb~`En1Zow(prvAEeA+Qr$<WPje9_<T{;y;+N*D>4ts#g%gU
zFxA9ZJllP6XQHIQ9d14i#siBx;_qv69F*l<Sh32p_u<U8h#hM^&mLR*pk|ei#=H8}
z{cR8TS+%vYzmnMVb|qtc*s8|v>Q}WD6>It?9uro5(ED(w)CZxkY11rDuGjYVp7Zj$
zNz0?XYNl=cQb`Y2shLW@pK|>C?uO~F7C*G@wrXn)e<fiSx4N;}JnO-uwkmnKd#jR{
zd|X%jK+EsA8xL>mY{NC5w`>2~V(7fusI+FEPQBg5Is6|gi!2X3U-p#Y@H8id%Mp{E
z`uUbJ98CS_++Nf0sKoL>!7547`icBoe!hRaV_N_7AJ+G#`UkRbluc(AsXoQnwzsum
z|Kqu>EPrI*Yq4vjO=srW{0DRjTk#3dDQq%&>>7P0wc8(^|J?Y0{>JY-n-@n37ym!K
z@A~_mx03a@g>8(#{kZkr?t5j~(^d0*%b9C4OTWL&J9v<j|9OKxJ70lBwaxQ6$FFO6
zYdn_;IOn9H$eB8&HQ=0(ke7!B`-B#icdKs6ep~l-?bhGy{WsqKzPf7bzNoEEZ!fH0
z8J$x<`}Dajd)Icn@p)_&zoX}G<gHb2TsMZg8{ZD_d46%tgg5oAhc})R*IRBCzv9Z4
zy(i9H-M+TrO-y{c<e9h?OY@&|_fL+r+AO|4)xNE0RYi>9-1IJ0vCEY^KewK~kfeCD
zr0&W$CF_r?wlQrIUjL?M;WWOv`Zmwfb<f4^nf5pAOz#;p@z2&e+J@B+4K{sl-Icp3
zDn<Xwy-jCR)ZbkWb0~Y%IJaIuhOKnphZpnrRxF)yGk?>iP2zmwR`EMx-qbuST>RFx
zY+hw9Ys&MTbFL~>KP+1Dx%GIX&gPrl*Eh}AyA^7)ZpO{SM}@j)+Ftp2=xM@p?of~0
z-EWRm-{v~uw`c3;*3(_*#N|$JK9i>3rEd3ev;E6&?!H$`x2_Mh|L+u<Tbx^3Tw4FN
zd+SyGt7aeG@R}Apy~Dkg_moZTWBpx!Jv&d<P2IE8JvjUOG;yh_5`BXove^xX1*#if
zxqM^je}8`)+mAanzwej)K0T@7*|B3Li*{|?8Tof{RB-h7qFi48dq2wW{@bd$##5K;
zl~kNZz0>#J|BG+OznpkJd~4X%>C=Av=>5O>c6|NqM^@c6D(z+_W*JNEY99Z8_bp$$
zrA+LP^UJN(>vpbt#=4a=M3A@U|FQkAzCC}tY}dx>@2lEoJ+InQvOXv}=jrA5O`jk1
z*57>_|18Ps)9r7qg?jrwF2DQFLjKB)utR&z|E;-gfBNLRt#@y~xodvK%ryP>s`BMu
zu9$As4>vd4VrE`{ZFlI|((~qK`-85|sXP3r?4_wTZ&vP`xbWEDO}9R7OWALAPB%NH
z`0e?sD`L0Lo;Cls>vlZH#H+qv*OuPBEN>FX`}~-+>Rhj$s-3I$9r(Jg#j@u5RD-P^
zuf1j*zj|r+CY3eomIb=5Qmf163z!+e81A(`%G04Xd)C6!ZCP8cnVZ&|Ubl<?{yFKC
z{@#z%@BRzn_nywxyFO)QoL;=h!)dcWwnaUDJ@IJXl{+Vnv;F^k?b@m@KVB~V9=?3~
zyBV4Je?qt0Uv}Od{&&yHS66=>(=t8bw^rnl!P20P(<#Xw#krxqy98L(Lb(cOL}(sK
zZMyU0o8aOtA0I!Rck#lFOBZh3Si*Fy{v@NXewgVBYfsi5U0E4x=Bg*_U(7JSqPJOP
zo!`^aJJyxY4Bp6YjnzwM?fc`_%;#SEqR6LR?s(~oVyAXS_4>){CweUp^X~7I`aE;V
z#)gwp+%p$#>{uz)J@a4QJk>9MZ+|+tYU$QhTiv~H^M(Z9j*7m!YFW?4ozmMRuHO4;
zeTc38Y2NXqh?7Sy3Es56&fPW9){6Pp6hCWI-K@C4;E%;y&$90O*PA1APO|58W%I`$
z#}aDvBzz9IHgn$0HV};evn-`=b*AU$_}JU`mgJ_bG?PeMX(p4l(o7-k<>uGs_4nS+
zFF*CX+9YKAHB+-K;hEcgt>0|SoW6Gd&*r@U8-mSO?5+3dTfuua|KEn&|HHhlynE9u
zQ}kl0yPoZ558aEOPdr~<^O5oVCyghWa;`Qf7tfIYtnsjLoo$x?H0~m9n^Vf|?UheH
zIm=bvIio!PbfrjvYQNLIvx_@cSBezt_6zMhz12NetJrZ~RL_%%hkI>`c204Z+y3dq
z^D;TsJ0}-U*j*`7Sg+ro^zM1!q2`$C8onn@f0$l>I+5rix3lMra(`gu6Jx7>rejY6
zPu#HSl9=vp`1rF%V#PXJM)qPy$HvaeCkC4RS2ij3+bnxB@%$AVt{KzZ6)%6*NOF)n
zS~J6))3*36r{xrGF77X<l-uuBKDngcZ}aP!SHHz%Wy4x~4Ry|1JB|982d?IXhTgs8
zu>by)H7UUnxBo1P62EG8-F%g7S$%iukC%TZ1sdgZ>-_gUIV<=w>$|1(Z?C?%y6FC^
zSh<M3w|+$JJ<lH||KY+bXSvAQBgw1753jqbp7Zm-q_}p`ZC_S>>EAB8zHffQS3Tji
z_mU@F{q3{uSA%AlywTNH%F7~a&sfy2_WyBXTHN%h+rF$incudy!c_R&ma6HIVebuZ
zzp8x}QF|a}HNRxqSHZuzFF!_v?s7VnJ^!ki?1!1Y?Q1r^?AU51`+23WdQG0><ruao
zzOeAxy}s5t8#1T&n!Vk4a#^j}?UDmxv-u(uE(;pxSfwrVRX?-o$(6^-rw?Y`G`+n{
z_F4UnEvI8<f18nh+3s_$)q~{Oe32QK1-o;s(l+|4>uh{-C4Iuvgsoqqvo7<^zp>@C
z(d;nc)xN)DHuuP6-K@B}?5fcHWy<Srl$=SO?YHAgqvdkOX5F_zr_Xm!+jKSPOOx;J
zn&iuJ&vS1*pX1A3lY3b)JvT~MF4yYW7GHIlO;1cxI_g!IXL0U19<(ir@BP-y>H4|1
zlIQ#Wu1USj_&e9?NrSJu%$Aok?wZXlxH0K+$HHlI+*tb}PW8$3-P&^6aP~Kgyvug#
zIaUvr__oj4^s-~Qne68?%Y^GTJuyj}p}M@Vk^ld-MY2`5ws`B${`MmGvR!SC)q~EZ
zHw!)NZ(q!snSR-jxjx4#@xlFB#j^|!PP)A0fcvyf!tIV`Z;S3<dUNFQF5lmGHoR<@
zZ6;fEdYN+G?UFOHv;B7bc09TH@tnMsxw#ASUs~5^I{Z7k=hiGXwr6I)ulAnht@+}3
z^7CyGv#a5@*Ke#jCG+K=eEILgMVDhfXEFc1r%`!(_lev0JhKx{u&bVr@2+3x?)>)r
z?5x#OWquvBf9uxib6+?=q2k?yH+wI&t@<Lnmd)+Sr%QU(CMi`Z>$=|R%6@e`S-qsI
zeEq8WeH(XHuX1}HTIybRtR~>zhBmj*qsOLa&kkLD{Mn@|S0b)ox-mh{)Hb|**|BNY
zrmR2PtGo8Aua@-D(_sm7k6P8t%PyO#yP^K<GTCjnx18pi{q08PWxL%uRtYnG+s|x&
z*}-ZiyZOR0VX?@fSDWq?ZMbz*cUxw=s@dC3SC-k@ZOoisX(sz&rEj~=_Lm)^X0n?v
zEfWrlEPA!^AV}%jZJF)ob8bBidl#5A^V^M&^IU(w+48a>)=YNO?Pbc}Zj_vfo9*}G
zlWnnIeXUv4rbT6izjabq$KENMU3N47vK)KPt>+7T*=07poN(Aow(!WLsSUo<>LfPZ
zOj5oUk#i=j+xN$#=1JxgroLU6Hh1y+sb`L5#jRZDYu|SHM!;M@mEQCA=h<`@e0#oj
zE3fHRQ{DBeI#)M_zW*Dz-Cl$H#l0(w-idRr{_S!|FWXDF{@O>*#jB=GyH)Zn?sweD
zme5Z>l}*1&^vo*bapRrG_ITr^gb;T#iBdyew^)zn&KpXzk1_rAzHhE?$j8icEOo)b
zikcJyyS+SW2^$WsSiA1v3f}0K%k!;#zHjrd6qQK(%6E9}z0{UB(XVdVxtVSH@|a`k
zzvsD(i@od@g^7KrDc@GVCvek?mlJsJRIE=ay?StS>f>jZQj^=g>{%}!D1SdyC;s(o
zskp5FcY?ixzi<1myJ2NXuQt17j?UjZewhLN*N#13C6yJoa&=~{p=~v@w3q$EK%rUO
z(eb|~u9>vqO<um2{l3qYFPAfUD_gm<UX#>{w=&ppEnu;DyyxoqS2h32+^v^7{PWWP
z-2V*^)0f`V>&#qN*LSt;@$BsA*{fek1sz&dBiAh-uIZgDxX~#7wbJ?awI|XSye&4j
zz0|L_ZJWu}Yu7|(L|UGBc=^)0+ma!{@$sQ|qrMmEp8mwE!?xuA^sO7#J!G}h{Cg%e
z-N*SYckkNL&@9&ZSN9&cq<3^BYtr;bG4*2Qn&*-OU!J)5xAoo4`+ci&Gc+zNFHzAk
z<g4X9wsii%iZAu+Q-WvAD(R9~;N0H$ernGBTM3O5nMy1Etn-U~$93iLJcrtM+$Iki
z9h~=xXB^>Y>aEnc(Bl3+i?{5jNB*o^8h0*o2mEf9XMOd_Wr3VO>#NT`3mEM=O`bR}
zOcS)NXO%McSirgYtM;3V-u$7fe^@e=&R8w}z&N6v(YZvzD5PERaEXL*OgpEt$&5PH
zue`igIu*+CzrP&6sK0Mza630s=})%>a?e>`75gn<jOR9avc^IA9EZuXEe^tddsmv?
zNZofY;cB-3E7lcp)lLiaj<dch^jTo{n?-6v>;gq=mU^j;!3zv`v&`BMoxkC@&EA8n
zuD`p;9k91-eO$x&n7#K|&Rk49kt6GN=2GIBT3NN2s?YOFe;-f%F!hl?OYPTv8ZzHb
zA9~uLW5ajq(DMm8Onj%6o^<Fa?VI9y#xPPvrhb14_vEfGt2ub*RP0aL8F6I2$#s)u
z@sodkI(tWOy~%R-IrUZhQ$nMTyiDIFE&b}M(B~}*@rA2aN6m`Qd~oLXQrSgMTfgYP
z61@?2&A02y!_}K6Zx1y6{4bo*`pdKp-uj%?U#4t0-!2sPcz=NX)?V=yxAt;}<lbUk
z_3af?Xmm;As<}HIlKZ$!7QV07eaon;UsA*R{N`-c`8)LmkN#a1l@<PROMTFZVw;sB
zL1+7?I%QS-Njs^vS!KzxZA;Ahwb*TsA3o)H^-qB1ini1F(`;llIai&Xclq;!vy)8o
zcb>D$Jone!``VtTjBn?=J-;_wwffMc8Oz><>hgx_7k)oGNu4Wo-?pt2t=qIxwt2lR
z%#-F1SG-fT`N`x@s@s-s+q!h$M$_MQ-u1Py-*<)ONd;Y8ta#h&u7+>=ul&-`;FJHh
z{7~Js{{DK2Ck8u<CY+vf%26&@oBgLx_5Kw9Y5#8T`xAcq@9X?oa}JlgJuiBDc2e(-
zTkP9SR>!P<_&MvQVeXz>^>4W^OSN{H{LD4onY58l`@W9k#@V~l{TJWjn-gs{W4cy*
z<x=M2mGxfjUw)=$zWkRITARIV>3aPwCL0#)Im7qd#Nh2*qpLB0H%UFuuAjCl^6Au&
zRc3p|R?do?H=XZk74Mmf%_)<oSccAfb%VDiCNJvBG~3r-d3!3CpV{&)p#1jd^X99P
z<@U#JV#;{+&nxuT)(fBCPvPl*DPDbRe@#J@SXQSR_g1gTt@TkWP9A!`LWimEgwt8}
zO&t~?zhY-U`%-Pn%9nNK;gVx9dKqUPFFIDEm#On$)iI}anL3YlU&=qQ?Ebf$|K~!#
z-+B~0_htUHoWtHsQE|Tey>EU?UGQagZvMa9dH+vIT>qykFKY4i?Elrb_ZzZJt5Nhg
z>Q}k>$?Fv_A6)-uuwvh~sK52M_ip=jbl0y}*M2RN`>MOuM=!Q#%a;njsWX;UAGT&H
zi}>w#vf)A_caHeZ!+aBW&#8=lB)9uW#r(TU{5O<CFQ+bTu?_RMk-oEqd77t1N^gtu
zHV=!m)h)vNG-TttB6vkjGoO8*HRbU1_fvTEQ&%0BwB7ey;>L?Rb&Ra~Tiu>-K2ZOH
z>Dm6=jHc6e!LM!h8EMY{t0wxP*R$2fG*mgM%k!v@*~%8r{OLRqYtM%A>b_KVH1+e>
zntRpDrSQM$#Uxp^h&87xy1z=KZ?sF+HB0C?+V-V(bBdqt#<lCsu3lPSvi#<)I~S%r
zTmG<>P43^SCuX%fcWwRk^wqmiU)EpK*C|`=s6WgwaW{|1&g1S%-z8N(H5^ppe;K?h
zFn|9?wrPGV-)_Itu-*HcqOAGtmP^mmWVhdRY24)_wBmS5<1Zh<j00CL9dCbY9#p?~
zo80cw7n|MN8LLYrJ}u=_{`S(~ne<*S&c*lM{<s(L*Sq!lta$AYckh>;ndiD>(szes
zzWdo{p0@ATuGc(wvTOGbd#^)5OZe{oy=@b0edGS6xAG#9<y*IfNdG>UxTD%TJNB#h
z`m`So&!6186%)Va)5ZPgjoS^Sg0;(gSN@AU)>Zm1D4FlK$+MzQ?{CkJ{v7iw-R8=y
z@>{nppBQWx*&1@)Jlyc%TA8Z8kCh>3ci5~-Opv>3q}D$#y+m$r{ecxWRTC#xh8*v(
z`Bg75&t2c}&DwACrYw>>zx3>rd+WU(#f9CT%jE3M?>q7EgzdLB-{|97b8W_9t=^TQ
zO~<Z$a!Ag~U9hpi-&AaqPqLt~so3U_WKQo-zQvMr_`U6&ml(FYN)<=0FzjcQ`aE;}
z#)e8M?wPAMc34Vv&s@8)CGuzevPzy)?Uk&08D|pjuK#o<b?q^mYZ+&r8~3uVxfXFk
ztJihSm54K3y{bCrzX#6m|8({Pcg-yOE9DW(45#~>JTr7ow(~X-+Yphg*li-VF(BEH
z+hm%7{rxQs8-FfiWqtOPpZCg-C5F>2r9RJCYdAkrihIV&jT4qibz7|2IKx@W+oFC(
ze$<IwHJ1-Dv43iB<NIy;?9<d^Hs7B53vM*4mp-!Q<l>g|MK3H(E1#UII+vLLZpzE^
z;`vu+92V={nR9K%akbu`IaeYMu=TdCxgK#OqW37zg$SqrHJ4+)Jvn@3#dZH=zFN~~
zm)w)(_L@Gs?48VLYby3>;W4GUQ(vb%zp~=HPjWq{|7Y=_wP!vZT^aa3>|cQCtG_D@
zryrO4T(QJ(e!CR+565K1``J2=8jmHt%hq`qw=dIf{`1+(-1hGN>3nrXxqGtScGG7c
zU6SqOv!8R!ShsOPrd0QgRU2n$N_o$i^L_%O_2<N_E3=mvZvAw9#%Y$`%AV^p&Rg`d
z@?42HA<^sFbFDt&j7G0&&lz*w6n8tH-YR92X9h9JdWTJ(8Jg60b-b+<*$nDQo>=&b
z$@$ZX#DaHIu4Y}a{!}&3Ql{3ne8uSnHJMp+=egVU|GO^}R2;W&%>?F3kwSz1D@P`~
zbGFCl-=BEpiuiW9{qH(5^R(>LZ_Ib+i+?)t^gf$UH)guqS%0e6NT`-;t(oX982wq}
z+2#21D|>f(=5D$X!*$93OO6&hpLG4*f6)T20STdf7HiH3P8YR&w9&@BX4?~w19Lx3
z-kqbtF8BI$qtvETjt6!X32fRX<FZDR-EjWY=9a6e3pO6ucrJS$Q~Trf)34e7U2@{5
zOyLhT_ZYn=9mkK#eB3!jnX!KQY5#Xci)1S1=&;)@KjnDfWs$(AP|FUBXuhO<dhDFf
z<Nmwf-L<v(7Tdh3X)AX=3tcybZBNkY%kkUqU)^fD_Wa&kAy+RQnjF8~z94ALucNEl
z+HU=t_T~JKKN{So>8G50=WGhtyj<ssh*tN6>P;OlzOK_qnzr}$zdFB8kN+<0_xIK7
zNl#zR_PFqDLXDZU&jGGx&d5vy!PPu!X{E=n`t?dlPTO<)-v<-cUf%Q9_g+}MQ>)r-
z*N?m3zQ;}tx>>Ps-?oa<(9Tj`omW3}O_$!T%dby7{&>Te?;Bpl>-Ej~Yh`CL|KG(c
zhqAw}7oBnGW5SBLmOg*w-};MLMKtcTDw(msd3ya%tB~IrvcGh$Bpy(bZPU4yctl9{
zn9kM2L+Vl5vCA^gB=0(Qh080M(bz=HC^%Vgv&piu-%{Q)CMUmqygpT`_=m~$9d9;1
z@ULI)*v|jeWBNR+FE5rl&%bBI^J4Mg3Hz*iUMxR6<DZp}#DcAtQg<G+3ClQ>zVsMV
zSf<Vs<z82tm-Q8Sx6UQa%hGum8Cf*<OOdEV#I;F0s|3Hkzx95nUqr%{{})ys&S>1#
zBe>#tMB}QX>m8Vnahs&fb5QQ%GI=(`LAdWVZ`f&P*(#oE3(pJ4vhiF^JYgW~#&bRK
z42SHhr&V&x%r9}uTFp7L-FWv@J&r36I~-#5IIle3<WReg!{kA9ePdgUXvPuK#$z?=
z7g}CdHOKnByzqDnU+uXU1`pQoIq$occ*Iin*qrN$hs>W|sMOuNJ@3VZhiR48#t{#S
z8?DxeuZX(EbtQSRL#-L7Ny26a=WQGzZ&_KSKDRmuZ+ppGwsWa-|81);I~O}|O}?0T
zBHb!vb+PQRHCGc4?XvNCzxHaqRmqKs`RQf(Z^c&}W^CLgBeLSSV&g9vv5W&>KV7s~
ztZ2+4Wf;7`a5Kv+gWWZD8vB^H2A^*_t|$BJ&9%e>a<Xl2t|lItZq;*h`QeuJrxyN>
zs>(mBxxR)=_Sv-U#?7pDVk-_CHSVg>3fRgly5c-nW7`^`j3Zf%tKKfY$1%Oq>P!8M
z_0IG4|4(OQ7JD^OvAaZKV?;Zr_h*B>rq^CwNQ~X*d2_9y!va2L)>oe<I@q#tUP<5J
zz}&`RlCr`<xsB5#ZHI%f+Y8>ZpE2!nuS;KS)~`>z6rH?8PV$m_yI^gp#AW|>&cCM@
zevhik{&H>M;T50C(l#7OX|(bYUvXNU>8piyeZc(ntWrgB3k2_Tm^^E`$1(k))fb7y
z&hurThAXr(KK^oI!bhu~84C`#cvjB1CpEp~MS<o0C2y|n&<$v}W-8sO9nfFR^mV8H
zg$eFVy%jnErf**L7VKQ)+@D|iVzcr5H(PecUsiTL_rl;&8=r9AROkO&x1{G^TX=Zd
zC$-cK2izL#t!h*QraLozwa^KeuguhIp?6`1a8+sSwpSM(bIbmEqvfB?<y`i9qG0tW
zgSRu6m%J#jioduf{oS9nezIkpSDtn_gl=M4rKZu?R-<#F!=FXU`1AHC<(R717Z&&P
zU6oRue`rhkx68`Tzg`<8HSh`BX|+3EGfsbfA<?gLvQfkX<N8LcHG(TnM>STh5ngfr
zIa9C1&+k^fl3%|^mBxy_&ixRv$a((er<uNqCyH#1l2YZC*%sIQdy{#7&W!aL*Nm&V
zt~`wUX=S-s?>p<OkBc2@=W&=kTIY~l_3~=z%q7nKC#}9%PWKPHb@$n;iGrU`CC>P|
z=AKo_i{N?Fc*Jg~UT8>Ws_(T>zR<Gy*U6e+F9YuCTlGjzX@7Zj-va0Mtv@fPhyONi
zTovuSK#!YsRUU8SuQlQs2iO|jazrxD@HMLCT%Y}HlSjLpcj=4IoqWz=uMCo=@+F5&
zKdkXuFS>NavJ*Bw>rb0ol~{z|JIAx?r{e-XcGg!#4h!Udv%dN~)j|0imq}WEe_ZVJ
z^`$QgH~eYyUU*(nwr$Qe{(g&4zQ;OicYiiG`+)zc^kosNns~dmlnaSvm9vdrecI??
zyX^JzqRIvHPe1mkTpaYGc#Di$<lp1drq4|I8XsV1vHU_}+)tB9j#~?aR-Be=tdhCV
zT{?5MvuWJ3)w8bEpZc#=TQb*fgXV_Ck3ZM%eS0Rcy0Y`A;)lda>);i7oqVBAr7sHO
z+wH_lB?{cz6}OkHn6}-j=civg=XEPP!8?4h+cI^(-&kWf-&cxTV&TRK>9;JE=4I(T
z>pUhT_dMcO`?{<%4{ygj-ej2K^R6iMZq^pQShtrKl9%w+R=qSx*um%A_R=6}1z&R8
z3xmXhdcDb+{rlEzjmf=W^2|8Y+AN{#n3GzzPST`fNopB7i7}B&?|zYOVQT(lus4qV
zbQ!B;iABIRZ4IY(S*FsPdI9~pRU7qwm%cDu@n+un1?M094-LzhR@$EVbor?jr$ZX6
zd^GLDKML`JNGaZ{3(s#Tim2IU9Mf*6d@8Xp+QzZI-A?#)V&UvfaqV`}rxFYA?%10n
z<1_!9=#LF+>Qns%f3D0)I}y>y`}C#9!ov}=yL_%JJZ>qwsxY9PF}zfwD7amazf@wg
zXFI3$>3e&87M^BW`&3T&^gSNmnden{S$(cWoM3t@Bj{}+wmB%7GrLG<@A|ULGl}`@
z3eUcr8W~j=UN2Vtt3-C!noA3hXUVSmx7gYA*Yw^)l01^j4|gP5^~_v+xaH^0)f4We
z#AoS#w^(I3KUs>~V&%pO`%)iApHgDW(n(u?Oek%t>Y>xWgrEOQf7uY&!m2iHZETlG
z!Yl`6y{T(2cbPo&ifl7_wb`j%G5KrO(Vp{%x16q-yFU9``nzZ6>*vQlkY|zl=&^v)
z{@LoOaT8x#g?wMfmz+2K@R!Pr#?AkOoB7gDNl!S)6hCFT>0-uq7OA3u1%kg>W^LGL
z(|$C4zx*qM1<U!I(_R>)b@K^tdzM&re*QUig}qJ7KXIN|_n@oh>t^j8aSIgfr^X-L
zroR0!>nsDS`ov4Gt>V|`9B`7YZ_~Myc*aOpttN`E`+Tzg^zB>U3cftNNj6|2n~hM$
ziR8v(Hli7aHgD?GTb%Vw*Wcme$(JFrtD>XZ1&vE2jDy>=GEN@e!k%+peSxL#frX#E
zr(SG0%*1P{bYaG0CSQw%;RhG5=c{FVVUVzZPnqp?z}m*r83tQq#O7D$Y5P0;UR;0T
z)2noogvk!hX51#vxEs}SF0X!27}svsT`IA`rCsoJiNxoXe8SV7C*ESN`d`1ZE6q0H
zLH*^-1MW<`k}4Nw9Axs9_;i1ZR)x%;Xx?96E-EwsdS$R^K40>$*9M6WmO6IxYohEp
z#OC|kX}%ZBJ+JOQb^FS<Kc8eYw)F^R9EoVGUv;-XPJgTUmBa%EvTcxRTdn8J;VtZc
zX81c;aoe0zSD4M3_iwEqa~`M3lWh*l?>I~z=2h}**u~1M4>x;IZEN(zTJ~7X^~6KH
zMG-Ymeyp%zJnG)Ze_D9LK_>pwtLF!rnsS8r@-p@Q2wcGF|E22Zoy&;_YGvDOE+n2Y
zm91C%Gok%v@qrqqOL8A-?HD8ZC8F*%8cTgx>EJw#+a#&gK{#w${F_I{=a*NdGS7P%
zplewo@p)lfn$!8Bh#IS33-+wl^ht00G%L*{VWxw#8HY*QVh3Tfm!TgbmO9VBYQ^)z
zr(H0+L}GJTJLl_925%X^f9A~a6W*X4|MyMOd9jT86E2O%e1tL%rR?RBn_c>1vuitJ
zbg9J0R=(tIFAWl_Ep_bT_l3`E5Lv}?MrVDU8*>_`NlKH$RlSe}oW)<YDts<3jN*J1
zu$HA%!Z5boQ2w<+qMO_@+XrqSuS85*Uw4gp8kflv;l^WY#4`?^`Sg4150lz?ar#@u
zFC-pdl69-sxsrHBNmfngU;a|-_m9#F&fR}D^YEQdQOnjG(2=#$xxDc7a;q;9>zuc~
zyq0*tOSWyzrNkpyvd3zc9d0p=(vE$Wc_w+^u^O=qpWIU>%f6*Zbw{k(I73y+J7QLH
z=J}Y_hVA}Ey{DfoOSCGHSm!)_q16|O_0ID-t$1dvI6T4As;7R&n!__Rt$b#z+IlIq
z<(SQ}tTX97$F8gjNmg8JB4!wrob`5{;npJk<fCstoAg@x=x4_q<C3|)@Gz(Bt~pm0
z9@mupHRoF50ZG}mIad>pD9Rq2b2;%)<=Uxw^4VvS+m6*7%hY+0-P<a2E#in^?@^hn
z5r;O)oW3W0ea7L|n8%x{)9Ux~$(p^mkh+-9*6ihl^v!(C+g=!?tmeDwv(DLc^6P-J
zPolJA^|H=9o^-56FI(q9*D<GkS0j#u_8xt6A>z>U)HOd2B+fl1Q#Q?1FPW9^#f8Le
ze6e!>%6Y4Dt}HySCd-y{E%AhytXt02#53YnJ~zJI|Gc*3=F+WqlD8kL`Ie!RP{00|
z)4nSaN9=l!)-2uF^4D^jE%)iGmV497o=8o<Yy7+PMS(-R9((DFLXUR4_R<yGLalga
zu0GsRY1K1x{oxkYDDBv?tTV~ekJXrE=p^(XbNZI0^T@mR=$p$Chr(0W{OCwqcuYoY
zs_DA7jAy-Mt=3#xcsfhAs%Dw<d{Zl)h}DPdCzM+C{D^7K3S4uz<!+RAtXTG$<j!L?
z)3S6P2=}(?T#h&*(|c6sdc>hFnbT#wQpG!FX2+DB|Fgik{k2s|<r3%q<5pjO2DUT$
zmq>gHX%{?SBJp`LpRk=y^>qDKz3p43Ro}Tb<GgGy>z%6+Cv1CN?_7^K!`!P{6Mw9W
ze{0pWtMxm7_^b}U`Fm$>|CV!8wpujvrI)_=w3W~H+{+8kJNTIQT}(W|D(e<=CGm`^
ztXj;qsCPwi$#U+d&x(DL8IPNa6*(mfs+)=xdnI!&FV@+czAgh&`Bpy*x_QsEnQwaO
ziz3%{x%;m!JYU7fyzZsJ6Kh$wIhPa9@XD&)(Yc*mzx=|}Ro9C8v!y=&^h;)(Y$8?^
zXYGE(T&jELf{iWRscU{ne3*7jCT}_@e#>58NbKi}{r2j@V{h4CZ!RYu2)E+7x&Ckm
zvsI7fio-3=QQEQZGR{0+cdX`Jrp|+X$DHIcbRJdr9+kNkacG^4_xqW1rHWt71qI-o
ziwmXBmaK5AH<qo6xxVoHhfTAMn;Df$B#eUE1s9h{7)P~pCZGCM!4=z=p&Pz<=CLao
z%M49tW%~4{Nd?2XQ#Wqoe>Ux^W%a(3J2o9lU2~vB)~aTW^K?n8FEds;&yTd?nX&fp
zgnL#!6%l1yjU_&Nv~%`vowmE@del2Z$7DNUQ?YsjpJc_!recP!$%etE(+qTvZ~FeV
zsMPQMO!b||QosA$kICCqy=*>T?621sk{kGH*<KkuP?l}uxs-S$LG~EW#l%AaYoF@*
zZ=F_cb9u&je<|)C0owXYmLF59%G7zrzIIQG-T%FR#ZSGKin{ziYxey0tMuGf`2Kr;
z_S(ZU?{C-Vdem=V<-5J*u;T2n>R{*;SguvVbl>(j8(wz2HIv<ZeVOpSEl;jI-SRYH
z`vJSzWj{_Wi~V+c%W=8c-z>8)GxFzHJ=y2$erNN`8N1Eq795`x+#fuxc`wuU+*=Q)
z`R=~6C9_}J>}~PgWz73FznqY5CR=!QS@OQEFI%*y&G|KFi%k6+@f|sr`KIUGdb-HB
zI%Y%W{QMlN2YY<m=WKr2v0gWl(;{)B;&ENGM>CEdli7NsMR|Yz|I3NHYS%8?w(r$d
zreLjO7CwJ#f6E^<IwmqB+VVtV+*5_=Kc_a^h=uTdij1(-NC<qoV8YR<%}Uck__}5W
zTWTaG9bNs%Xl;^XR@#gJ%lZ?EHBS|$7fx-qQ48h!6d7fykr4fK!32FRcGsM>G9sIo
z76lx7))js<x$0=sl{=eO7EL&O?3AOPv=)2in)NbIK36_n&`>(H+38pqUspu5rAC_X
z(*-T(wc<qQ_iKwSNn3t(YO_pOC|^-zq~(cZ*QW~epH6LNvRf-7vT03Gz!CL&(PBx1
z#YsLV&U6_HZq_<><jgvW$p$~azpeih)Ui^3!_RWF_aC0Vzx98~oEO|RiTnjOviJ*b
zCh>pxaYpXJ=4)~S8;;2dY`P^Uu<?|fz~`N|3W<A7FY8sWWnFPItJ&6}xAPmrbl-0b
z{iWX+=GT5}Xz>2lFroWfLkIV_h8g*97&+^oubmlIZI;cL#b%YxU-09W+=HTPat{iR
z$vr5(B_~jDN=~5YlAJ){AvuBKJ8}~W&dkc3fA<>Gl{H0I<Q^0pk$X^dL+(N03AqQw
z7vuyA4#){?x-Td2ajL05<L)(fDa)_AzuE9>#@n#@8{U@J-+im!v(I9>h{UB<jrN86
zf1BU^x2ZlTq_HrTGkEp;buBx6UU<zoeq>$CPoGy_5eJ^EYiad)=@oJ0%DR@LJ#W1t
z4!Otf2-7>fD`3W~O13i9Gl>r2hhlz}tDZ>?2tQP_tW;GeAvOGvQ&@?rPEuv~p(Hzy
zo=ti&+Wik>HGIy-&M#3tlb9KPC}vuT>Y3!i@Iy6XrS+;h35nr{oPL$6>LfXaA4+;w
zuBww5C%$g#`3^miWoi3&#&Q<VxIEQh`psC*&oi!0HJCp$mNWSG+;uIjHFu_N=y(}>
zBJaQnJ(t#=S56^EUg)_T?Rn`Ga_GVR$ZyGC*PDLJ&@K44eQJ`-xxd#oN$MUGu>egM
zRy<XhK5zeOi6<ZHHxzl=^=q-S+N_fi`IP*0L5KR(=A?OHd|nk{A6M<DiQTAR8vHNB
z^2Ec#U7X>YpYTuh{`4Yrr^@_$Q=6H7h468`jJDKx<o2}dc+3i!DFquJg?_Y%-l$-z
z9=A&7Ndai;X6h+Nx%**!pLRxBYCMQ~x?sY4Eq2$K^)gG!u1#$gs?Q7Cqh*(_CAK7O
zfArL5nY?hmqMgB(Cm!cLRhVBrwVCN%I3HJKfThNx-(5R-EMg7So@5-I(UB~goa7hM
z<7x5r_Wn2DmM@!etfX)EXY1;gU90y0UY%E;)~!*nHmKw9_Nh)WZh!VUbsTT-QnX{%
zZe^(n(NswZSUREO;8driwvc)*Pl;dE)&FAiLQl+@b+RqgqJP%$ws5Y%>b+iya?0AR
zKYCV)2pQ}O@;EWWOHt5Y`>E=)xTO<XevAIvfBY<0>k7-)j!OmF-)pz72z6O1uyxaF
zkww=^r#f9(wJxY5>T)Pop!O~=MZ<FK))iM{TL1jMvm&5l*4(;PB8#pSids#XRX?*T
zj4LpEhnHd&Yq+M%+WT6qE3QT_ozPJy>ekorTC_;IXs46Qk*QpQhT+;SDmCAC+rRtP
z9_doM_jgRFuWxN_aBi{j{r`{pcl~wb%-4OyzCYvt>2|K`*G>0axfFHv*5aiHY9lS~
zOm00L8(DE@V(a<0K^L#wxp6DvZp8d+ufkT=XRKShZf*6>ZI+_ur(GxCiZe4`_NYxS
z%D8ZE$d)T+S3<U$T>qz2%e?+^zvH&fTPCx;-ux~79q+Fr{4)JkUF@X8S4>LRud3_3
zyI;?<(z}0}TB?*(d}4TH#YFRcN6MF)X4UT7wQk|MtC=hRN?vDqxa`pt{ag0gGrN8*
zd-iJj&1)a)!_9-gecm<eSJb}0uD|Cut<A4kx$EE3TWst8Jo4Z5H&D=YUqJZWZxg3o
zpQrurS;qY9KIay`&#u4qwm&sIVMU}BkFodkhiNYj59!pp%}PC>v6)9QcbZ`G8Kbl{
zk!n50Y3G;DzgYRj+-g3fo4CP+7fyCYyE+`*#EoyfxRUa5e(Lo4x;s^S9`5*(d|jBw
z^R!)E?N58@rH4f~m;Ah@W4mtF((|U9d48PJab7oj>8*3KQ_uKr_OVDmv*xr*a+<B0
z<lOVR$uk+B_v$^7UfjJSp~O(USMTAZ8x`tr!&viXFFic>|DAsaU1G&5ayIhWN3A(6
z-2Js8YoncWobm03QZeaIJCD}8t=WEK2Cvxc4S{>k8?AnpR6Nc0-OQ!uYd7;$BurDh
zKRfjZf12dy3p&DbGgGVta*b3KWjoDgf11wgx?A^JV*k-yZ?;DC>+4=ipMR9CCUv9Y
zZ(XxT4M&g3Y`M|m{LE!nj-hw|+O(ISTO-+Wj89K^n<lyOuugK>tkgqMk<0eajIV#N
zA<{}_;^*lnnT&O>B~ClK>&&)@eoL{}#@CaWpGD@JsOj#FNZ4pNS=TIaqUA(A_p_Ih
z7e@Z_F+AO{GELIxtd8=s*{Nrar_D4tta5o{=Eg`X9mA*k(zC;&*Bnk4d%fvck}cmU
z<Jh#Tzb+?T<+_q|Rq9I8Rjn&YSFNrj3Addvu6Ou&o=wLz{pMrg&0iwZrZLW+m3pFR
zb5BI#G(+vPMu}5(F2^f`SL<1F9*y^vS9`wx?e)vYqt`^Ok6shiAGIcGV$_=RDc!s?
zk~S&^>zXCaI(p1!`;C_Vvz;NogEwQtJuma8Wz}a*dU?a-u#Rk6{j5*ZdwsY6pL}zb
z=$@miQdb^bRl4%%s@9c9S4~}cbk)+8M^|lKdGuJ!)`+cEfBlcS>^ftVe)I9dpB`J&
zO})|Z>6YkCKJ_O9a?hLzdVBiGpVUnb@;le3UR${S=&moDBl<UrtrlA*wmNK?*y?G^
z#8zKhCbn9ve!1A}4c_kzR)$7L6i>U#cTJ~u-ptek{%Mk*7VdNsv^{IIY<i^H7qgQk
zIk$4BWyPnRiZ?Tp-4?O6X?w(0ovji5$zrdI?<6t%MdY0D?C!Nl-DtR6*X&`B<-{!R
z>}gr-+0(L^v!`YK&zhF?J8N3j_pE7#(Px9+#-`5vmz2`DIj#QXhplt=`>bN!a}-wq
zxSiP+vDE?;0H*4fQd=We$*zf9#k(eQ)!)^TtKP1TTy=MK<S`u+@2%ygCrfgo;I7Qw
z7SXON_Ii`|z00ZB9v`bWjGjMROuFE7l5<{k&Jo$}-ksSSIqSdw>ox?r_1vc8QJcR+
zrcYy>KRfkA)#jcX3DXRv&l)98*0~)2Os62;&*|IlMK%*aDfPV0wTJ56r9VI9pSiYp
z`O#lrHr;5rCnkOAc#`t3s2m^rZr>OG&5yb4s>wgM={SGd%g+~dnB``so=D!@vm<Ys
zq5m19hbujoC0}L;7OKCr@nz3S_mt$zhTWwWc^mcAb*?>La`e}nO*a}Yi%D-fpQL;)
zD(B2~vDpRZ=A=$vf96v1{>Wc42B#aE(<F;d=qU5ePCX;I*~dcb^cV2}?m6b(?fIaX
zKTs~V`dhQuYa{=C=Z#+*-cPC(i^w_P)Xf{2vQe;G*DS5`sG82y{L}SUcEuQ^-+a6%
z@>h(}>4wv3l0`>#l=qpQp0PS@X2DsN%Nt(`2Mb-=m^o9k{^pG>$C6~PMXfn))&2Fy
zout}p(K!d$x_NJAY!sZWW0to0s9KJp`lYAAo4-WlO|#QJW0bHovh9rN>5kAe$<4QP
zgzYA${_@_;dTjR6!|p}@j3N`J9IdzN*%mR~Q0%qgwWQi(kvRt(x_M_NY!npMHA|ax
zRL#ds{Zi_L$SNK)@A-;pk_K0FoZDum9x2$|BbhjjQ`y%@cJ{IvOAm(x|J$@NbF$6!
z)N2o`E3Z$BoG!0(?eW5+zic+$XgDq={b})0H=B(&W-J$*{lTa1zt&d0%V#3L&B&W(
zS1&(1^#IRio|&1`1UH{CO4}Bxc4lJgpC~J{zbfjAQ~Bgi{Z*aJ$`-Xo>bg$Y-8EvX
z--UIDZeM+L)$glGS9!BHzUtc=QF=OR&93yPUtO|dt7a{A*Wdi*W$rXa{xjnGOV<B9
z(NUKsdHKGMFx!k2E3;U$Pz~AHeW%Nl)vhw#OS;Nce=q6krYlKTcU?)kdgw|Lb6@0|
zs98~KqGm^}iJB9&CTi|1;g#Dqq^&I5khaonL)yx3>(eBQj_F)|cU9-=v#UB+?}bi#
zsjt4ecV?tj%ydxNtbI~#x|wf&)SA;p-CrXTH`;0Ink7s<+O}rfjgIp=W@)`g)z(aX
zfAh+&JD_M^Rv-E6j?w9c+i8+T$90t7%}PCEyV++){-l>Tww#;NQj+uQ&XoN*Ik(a_
z^4-?GmfC)_>dlsj`LSZs8*V2#e~ZXD64%}P^HP#<+6>{Bo9^k@n$1{x-fc5aMA|gP
z*=LQCx+9O}n4WIY|JVIx=EpCSOIY2c=N^{WdEtuajI3#Vm(N^Et=|<{Rg*K#Zt)qT
zgk_O!XN*pF+)R@+KCUDDOltFUqiZj0j!DivU$K~bMnZ|AaIap{q>D#=%yzYCvQ6i6
z{@imeai3!5O$C#u_7Y2PeV@7X*6A5|@E`WzZ!uyL#;-SCcu^xJ8G9gUaXoirQi-5<
zuii7^#oiX0b-RxG?vDycST|#)kJXvq{Fi3LPGOxExh9GqFU~n6P^=XDZ1&RQOq;*V
z%$dg6ea0wdW2Bpo;prJm(`J6?^jyY#adUnC6h8aE)z1GmEzD@p+<h~`<U&$?tlg%F
zen+v_#y66f=S97eF}hNebHt##S2AxSr}CM|vY!`pY^!E1_1E9b^CEYeV(l5DMe8Gv
zeK9`W@^6#R@;yw-XD=mAnX}(VEFxngpSsSqr%R4j&Dj(&|FW2L!LcOgb5S`*u8T<*
zpGy)xH(U6n(JdX@V>6bXkJ!v3kv>iF@!5K#q^8JYJ|?GIJk@6Q`QD$g^e`(ZGrin(
z)auTLi0QM%UKgHDs?CeeIUw83yEA*E;CCIfXZwz-*%+u_db%jGD#plr{^>Nyf;&3S
z`%F)FtWJ|GKC2_VZ*uCdt4&P1&s<8J3v%3#LrJpRBG;TQ>;8Hpaig8Ju35s`qit`t
z-Kg)d6_eh4JxO?<iTb6d#hbrG<WIAcK4X-yII=Cr=yb=~G|A0Jb%eLgO0hC~YwX>=
z-sk7(W6NfL;!@qrXRLcIb=uLYGutBOTZ%~=Tu*X-7MXLTrn@&XVIwE^Q`U^E*l)8p
zzbQPRQ_D9i^?>4L9?P6*g6?OGo~?*flYzLfzWa$vO8ka|jUZP>q;BL}t$XdMarf7V
z<c)UOx@HM;kG9q1Z4`X1YnIl0R4vCu{ZeXYWR;Go_k8~|MhR_^Z8cfb1W%teN^6Z&
zTVryvBu6TB8ec8gk&0rk4Xz}`wneTvUeNtjGI1lLvaZ>Z?MK~uw%wRvC^p;R+8mGR
z_50FZUOcZ;`)hXU0fx;yk{Qzk<!7dzQP}Jw@#p>0^uU)o5eaiHTJ}um_Kj`qKYJ;0
zX5_9p#@_v((_Vh=jbw{4I6a{|O|tN$PO{$Y)I*}l-(u7x<{o!h{CQ?d3FG8my_Bwt
zu0E!_W@NfeH@N%YQsRr~#l<_aJfh4v?wWeHSEtp#EV{2_D>q~5`R{3xADScEY)npf
zxTi^ezM&&rXOedQ>8y*DHR&aG*L(FIv|Vhi$t@Aw-lO+y+eOtog0WV+MJtj@_<kQ<
zlIr*N<C#l|+aq_qG4$@=oA&ba(nz*9#-}H&KVy_MIr5l{!ReOfV{dlmm|6Afx;@`~
z^##*DgIyDH-Ri|R-hGi&CJ}qcui5+f9A~%Z1ve&a$vI=0JdH2??4_q&J2sqO67{v?
z{gad+-KsM|Yb(zfrA&!jCE6dkinTv-)z@?NMycnYb{KA!bMHO(e8xpq8S`Begx$mo
zFTF_elZ-u-d2#9e1tG6xHom=i-9u7eO}98}Zt^rf<1?31mqk{csW<YTe=|+e;JA+S
zvstM}Y&Q4I%%8@|-E%Yewaj94+%1t-k~KFOgbQBI*nHuIjF{x4X}y;G{}%^Z*%*0G
zKc4pT<KoC)HioAgmZwR6I-{dpXXL$=$KZ6!ykl>6)?}2(mH%6O^5-4XT@BT4;zjpg
zDAmhJ#Gd)?HvL2Mi%a=#VlPy;>Hn_M*n4!|<ef~-XD=moMDF4-_3mGp_Hy%e9p-%|
zrzg~=Nq(FfdF+k(=@#Z=Z+3n$wCdmI_Wbhs7fipTV^1(F?v}_XF_f2#J){5%&}O&i
z1t-7(nmdgz{miAOYa**^a;MonKV$S@Ph?w7eeyIx@iRux7DcMX7^R(my5VA_jG@*1
z#ctvSXI?n<Nyi@HT-<##xrB4M%k;X(8xN!|F5aP}rd!M!He=~wugxWqsng_EpS|?l
zcr#B#@-)TlvqnjCBai8roo@LG@^N;F+<fV|=Y<w?M`V>K&X<TiVzjvX=am;i)1+gp
zp5+)=)wlPyElEv2=eN1!M(Q-Z-DfX7-m_!Pi6w{<3Q<5kvQn6;H@j!%*Bdz{cF{e0
z39B!*)+Ck)-tN&$+kH{>jX>-#-(yUZk8Vqkf1c7cW6K%Q8OhW5iqBq3oflbk#@u^8
zYntSS3p&nXGgFTwZSILon#So}-+QxoW>$&Z<(_ltyDqZU<di5H_vj@pyLhz6a97Js
zw&}I3_g~0N14py}(d9-nODfW)>DkX*dc6Hv@vko?ryJtZBro08QT{a})hB+l&yTo2
zb4s*g?M&0IEuMez=br=};|B{bw%Qo&>NxHu{(135RU5(BU%W?|{vI_;iNBmu-vDx_
zMB+3)<+GPkr$koun0e2SOp`1)q2tUqJN1a*<{rzeX`IJ<ZWc>sl*q|T&ONWNm|G&J
zMA5lNFKNZaqddmDS{|}Z@3TDKd+uS2V&=^mpL-*%Vhp^ecc;B9JgHNwH#_x!=w_au
z*L0NE%}PCEy4mN)xf!<8C%Zi_JoTcc-cLIAfaGFs%j^=tc<I<PmW#bDG<JQN-OjpB
zdhTIf!_1l58;<G7UYoV_wAJR2-F%zBMCMOpls;pWvN+N$$LREovuQIo9Gzi1eYV^4
z!qYEmwn@bv;9ATbnOP#Zx<@Z<>qXU?G*Di-^Fn5yfr_ql@jesp_S&?UpQc7uy)pNm
zU(cK-c_I4Gw_G*ns+p-S{+oM#UeFP)6Z*f-Un_Q-r19&GcVE<$NyHxTTg-hkwM1}t
zuU=aJMb$Td`~Po|p7}cN`re<<&xV?so@Leh@3fq6y4AmwI8NPys6%|=s&9-wO|QC`
zHBHFxMWN#YyZam_5B4}XpW_VSy};CKsePfv*fOd<_-a(>gFC;iN-h4^RZcdJdN8X+
zcHiU;r%O4j?@ZWm-j{PVqsJjdc~6U^2`$NN-WG`+7s{sRw<K&o5Ws28GkL@50?z85
z2^-EkaI*JIDmanB>E1K3;7kOkdXMm}b-z{$yb+bqPi*HDDtoD!*zf+H^TzXyEzEUO
z3Qh=fy4y@Gs6S)Oxq9IT+r4k?#9Om|v)WDBaM*ca#{H$!Mc!VFK4e#`B6A`9km6qz
znTzp<44GBt7zFKj$2h&^!GZ%yoYp$iHk=mXtk#*j;k>#KUxeDj3I3`w8)FU`a;whS
z5R_4UB302sXM@)vzSXL4KFw^gz2<QveQgW#HBXC_Wi85ToNGJlEwnGp$Y-5Z@b&Mv
z{aR5=w(|C9%)b(+d2Z2R)>Uo$;-_6*?ZN$J@`l6wPd8@>?*1a!RVJww(67k!RZ{0d
zgCkSu<u(UpHm;Dn4oto;<}c1v<NhMH!g+m+no-n)Ks{l}Fy*e6*nLwr9B1QP&D-6=
zY&X5&gcYaznyCe6q&QcrR@eXBrF1w;NZ{7Gw2N1rB(ua~RTHOA75WyTm^lBb5Z{lG
zL$B6NDmbDp)EBAsutnABwym8ANbOOuT9w4<twP@-6cXqE6ylqq{&2!hp}rZ)4`<vI
z@|&T$<?eHJ#fY<uPOH2r2s)&vuJWcZ=8)ZS6`6vNLyGPyGKCR`4BP8f<`e{ER39(~
zsbp7qvmyRa)@jYe{#v1LH#HtM>=oj>shqg=>7;@)dYtNSL~hA_3gHOb{DP0uI%oQZ
zTkT#q9&>a4&Y4<pK#a3JXIjA#wS}gF{wz|T7dr^cmH)|orxdz5<Nl?W3$`ZfYXxi-
z*Ok?|wenWC*Nul$T4MdUTvylcUEy$5Dt<v0SXy+&t!}Q6x2~+KzAa)3t-dJZYrHay
zFaPzGh3{`a^*xu-sJ>rl!{L6RvPy--{>?!*?S8Au6gV7GWLK3b^f+YLt~#f{C1ZD#
zEa$;2F<Y&~_GF<lOTEN?VWDr9nhzU-h4?IWA9fsf+I3au*Hn?YAGTg7tKS+gaw=f+
z3(JK(rrq<VZ@6{FJK`?0P*}7B=jyu?Tdp2+JR}&dvS!)?p|I?Ng>UWlwj`K#$4%aF
zxLl}gr(R;euh6$hwZyGelL}50ak{UWP;kbJQ+<ulEx8N9pm56Kw60N0oNg-gEkZkS
zeyLE{>NL*wHPZ`@uyG#GnNn~_z251zvz<uORh?athxnpZ-fRjzlqEKG!+A4K_MGVj
zC-^ws-%QyM<;c<7^W$Z>Rd1!*g_h;}wPwadZ`OS^@6*o3x!qMU3$k2v=Ih<wzT&sa
zn-5D{V!v^@?v@c<aa<6xj!m&~)lHWLg6u3(o8uR7#y`!Nb&^S)<<*7Hy`R+5>$e;@
z9HVBm=GzCMvY&B><ib_n6vrQ8{H`KX6n;psTt%ih`Vi;#mTkLhG`7V0t}|~ny7HvT
z`B2t`sT+=$3w_(E_OM~K5Z}+RLxQhWWj4DU;_PnSR{ckXW%bF@i7m2XUN=%3TWp_s
z-$<X=!u-t3BBifIInCQ5ZCZ<P8dvW3O0{~B%C;6+H_scXyIO3QdEQ80)xx~Y!y;u<
zi?W)hMcSekVKt6i{t7LS!aXgr$2@POu4%D7=5Zr^OAE8zw1N|PobGQX6`b+oRDUCI
zOYV~kN7&@oWt`SIlQ*0e7y5QXD{;QA5Z?`*hZB5-`fg}EoWbj)tXwCOaVEJ@&E{8q
z>dhy!-o;G+_lbYk-@`nnS52>mUEhD?Qu+Tqx9#66oVC{v*&lH=;CfJK{C6kkTwd;b
zf1ls|=jmsDee0f(gnb7s&Qx#T>zJ#y)~|7=P}z*n@8rvWJM)VFco;5J{v+;*-gnn`
zhVOGbfBT5<e6>S1d#=zsqv%z8kLz&$epBOqfbnwuk{1*Dmjt`<Oe#2&z^VSF&br(-
z>vi_(*IBQ!XMX&)|HU_dZ{N$^>$hzQ+xjgdG40=iWyh*YMWf@NevbdQIq(0DD<b_G
z2Cs7iEzV49Jw2zUH9l<b>bBeOr+l|rzUTSfs^xpKR_cA*Gn;L(+Q*n%bCb^R)=O-E
z{C?{91|_`@AAbwgm(S2Eny#(%eTQz*d}}5C9k)Na1#p)CT=IDB386O|<GQ|8Dm-kk
z6ylqy`>-QYC@gqli*VYW`Mc$0ZQtMf{nYmS%QuzZKeLy9*;Bf6-t#Tr_q@L^-Ws+2
z)S;(|`wktQ_x;7+PxGEn`@ZM+&Q(iy-S}K*BGInC(|G66dEY}0@trTStzXc=U87gj
zuJ5vLTa(iF8%jm<&nofXPzeow+o<wpWB4JvY89Cc(T5awtE?%TCDeCQ@nOr`pRX@o
z{A~8DYToUepUs}|zj{%bU2J<k_kHE<BH1;*7IUVwp59l}+HL#(_V-iO^TO|aPTLnA
zw>3n(`W{bn;SURQn~J0J%wrGnT`o$lj}sJ^&@O5>R4SL$E$Tn1^gUAXQ^V6B)7WKR
zH<G(rYTZ075+=1ct9e-@HMJxk^R`Hg`Bzo)Wsm=T?e~>&>F1-T*{@jqeC^4vHtY93
z*RAyz;qy*;XrvwgFzI~sl-HGYmFJ^ptd3gMZJXbC(BjPbxhM6%?Wq(hdolMlqif8A
z8TBV*_lfO19XYXjj^NJonG@OPOj`AFQv@U<Mjm3Et|C(uct}uOMdovFi}1PU>)qYY
zThDx5S(kp^dLqc?+G(#V>#EONPk)_|d+6aRotSk`wPW5?UN5%Q*LYuPUL5LOy7Bp4
zBZ>CgJB?Y7&MS96#8+NyyP$(RM|9`m2mJLa?~ELt$fegS7tTMd#BcFedf_a+?@Mk~
z-<iH4>ba-IgKEz9KMD^!)~m>D_C3TI{{-xSud_eR6EFF;XXDPJRTnOP4trh|cj4Y=
zxBbdo?SY#LBxgoHU_Uy~Txt7Zj?>lmKEzxNihWc0nAh#>ww=bUN9UP4AL28<ulb(Q
zH722c;t5%??mMZSCv2y6-C4f>1oOOSWl56Z!EGVc{Wpca%~XEauv3U{ruxH<pF(|+
z3J+Ua!LdK@$+vBZ>F0kNZr{#pU3G6&%z5tH)%O<P5!>c#VKb%mH2=>YvFdxh+fP@=
zh1~lr_hi+yS4ro0=Ns^SFG}y~vVFg<MfTm3d3hrBg^l}m&I^3PSL^cbQuGtKy)N%A
z2R~tyf2NmnEH=-3>-Qb1iSr$U6dC0`Em9V=D6@H3Jeyf^s-<q{Jn_;md*&C}>c4tZ
zc^RAorh>%IY}>hTt?!D|2|8D-H178$owp7ydv|RTdzp5frgZz${W<^dx$e$<b1x)5
z{A$43Y4w|B{nc;BY`m>IdBb7#{W^R9yxnnN!*$hn1|d)MoL$}-Mm$-S-VsyUd%ZPl
zm(7H%_l58BS>71CX{jV^X>oS*v`AXglI-STk@(~F&g`of-`4$^eP?S{R?X6FJC}W&
z+Q!5jXKva4Z>Ny8{PM5H67BnTews6>?xK45$8GMpdsW|DsMjv9y(Pa@S9s?ucct=3
zt)hNarSFkCpBh|)Ok=COZajA8{QYOjYrWk5Tk||Eo~&$9p66kawxHxxi~r~As}{%W
zoQYpOscz$e7gyBu!jqmKURTNX*uXq|Ui2mXXHT^5eN)Jp(;}Ppbe;e2<_(@o<uf#j
zrgJKNm(VYoe^ZJ7#<bn_Qn~zx(!92QpP`sIzh70xAoh^rWfd92&_jm7Dsv1h>(^TS
zIy&=C#lvY|x-YvuFL;%I%KJ@?Na>{n8NVl|K70K-`Y|T$wp_66<PC=}?$_LJ_qKoK
zK9QY=<0kHYBe?VUzdK*^>wUIH_we6P4ZZxdqs5lb`^NJLEzEpg7Ec;ll)u$`TRhX|
zRDW|iesy2nM$`JWUcZityFK4v8=myMd66vJ;|<2)^Wrb*=RMJyXZuv+L&PDz{-W#4
zJGk$N?L4eIarYgOoyV^$ec!3~sp0*StX(!!HXP>{`t~#K5F@{eOi}zH!S5<E#o>oI
z%RhlaE9NvPv<k1jklA;3>W!Zg&%5dmTEv{2Dn8{)xA)Gj^z#Z_F;g}iZoTtW^AXeD
zI>o~Fc}nF!1D?p)KRwp1^u1E8XzRsuyq6`*f4Z&Od%TkK_nfH(2WIs7@0$K#LY+|G
z3+;z9?1cPY{O!K8_0^q>=g<BuSQ7syqlB-&*f{vP^R)LoZg000KW$b0`=}ihY6oic
z>l54AcfP*-kKx&_6IXvI6-}4iS$FXt!?WaxzkS3j4{V9KbzAPho#|Qc3;hq-m8;4W
zxF1s7t}0XLeaO&UbxwgYIDW3gKUo**xqn7d3E$*m+qItsPpC8puHGM08R)rx#)Q*3
zw;xaZC{!jfeYf4)e)qPnJBb}9V%fUyBu_X|TW=>+dB9=iEWKpaHy7g%*)3L;G4ML1
zsH`eu=yb^Nu<9HG9k3M*f3|A96i+YuUcS8e#`M<>rdzk(ogMe&^qt<DdsqEzwF<ow
zH_`fy;Lg+UuK)MkULSI3_UfqYn^U>-*46FYxoq3ix$C#ruUXZ5eVfLv$6vqAf0(hV
zJp0wG?A7(r+1alyA2-^{>b5_73SaV>pxErqUnJ6}**(6W`+v^#Nncx^-I~Ag@7MY}
zZ|mPXl=A9-%}BcaTGoHktJqMd+`Ff@p51!(Y|X`+XM9Yw{%J*9PhRxmytUq&X+`(0
z>|OixwClSKD?c|~+pTLGH7iRxODaAo`FZP#^_A~h-}RcV>glh)e|5oO57||+H+RqF
z4f*i&)+;7yFR9oRzSpPyCmWgS?Op2FzB2!5f7#C%?Tg8CkJVhu(n;t&=45v{;)u)J
zJ%*D_mTjxZFSQ9;m;atID<!95;kIQP7f#E}-}+y4x!>HlAFJfn_VVh~{*EvEE#9On
z7Lc&!sx?n-v|eoN*;`U=rS*1i^)|1+a&^nTh=d)!);$wW<>h9+ych7>t8t>(YlBls
zv2M|8j!SfZl}y^m_;^Xp)|=B`XG`dtT7G@CY{mNYL$~X%zCC|ytJJrssM*=8cZs*}
zns!X?Y?j^Zh@yYH+IC&DDve3^T0TAI?Vi#fH<GSKEjw~8+;oMZ!`=6~p<j<if2bGk
zF17d;_S|=#*iWb2=IGd~M?P-<SGv7E^3d#6v!btVT$%Us;*~2m*4#SZx!sC=!J`==
zfB$g5yr}N&oqIaSYRMd-org0g?w%vK^LXUM-!;0Q8jABv`8s^{_eISQ+uHa!ztrZ#
z`g}dU`KJ12+sw9a`E)kA<Tv}<RZF)v=Fa7R{<_}#*onpYoHrgOaptXD8~*(CvZdat
zKeZlhTU{S1#ux6j>c*{@s~0ZqUAJ{@*ZgT$R&3quc7NKOUCh=oQ#YL6y8Yjx+w-?P
zl=D3rcl%1r?>)2jbYK3ms@%%$+B^O0SN6tCFI#!ls^rHSk!xllw&C%&52(K{d9v~M
z>0aBYpX-X3y!%&F?`_4tRV*UBDnrn!W}Wl&ukW{%Kl{f0t){>2)vxK@;xDU?r{=8;
zv)#LvPx^kQe0A`wvfpfGdoTan_;Ol!^rmF<{h!zG`djH1_4@8Av8f9moe8@e6CHd#
z>Tgo+SMNKO&)4t%+iL6P+hTq%E1qYn`_jul&h|aiUcT(r^VPGjWT~>($NTJ;-x}A>
zCv7ElgOmG>z>33ujjK2V7Rc>peYM$r0b@0*)TgBm%HKFmo@qC#y}2&^W>X-4DYuNk
zio=eLyJUn`98YZgB_o(|U~QlNuF^{rikW&XlrGE&X7aW8t-bc3-0Uw}6<IYGxIf6e
z<GAv4n}h8>u@&bf8`<WFWt{MAbgQ2uo^gh?Q7z_*^qb9*`5WRNOp0rG|Do3*HjnGd
z<8=<TeOx9FW;i(aahp79aY)YNFnPG^-h&3+s^;cH|L@<ZboDG;T7GBF<KjK@V%PuA
zet(nww*I-@+se1+J*|>StGXa_wuIl7cg?(Ko=(+$H`VvQRdMlS5)_>%AY|F>GO2~5
zo{NQ3z*2+3$z`I71LueE(7Um*@wMeq`c`-Dzh6Cj)vs%Rvvzs!n6&ml;0~Pt;pr?{
z4;MM)#t5uvzROg)@x?}+uNxv3*iGXwIdJK?5p&r_W9x+L2R7xft~*rJI4eRifLnWW
zZ{>}tv92|HCbu%)`<twKxi_&oIof;S4Zqv7Li_7O^LB02{HN%%AnF#E@`hg<XEj@`
z^0r?4D?5wd%V)=n`x2o$Ij24PedTN5|EnL~8)}r4T%5OZVw9$c*O&XtoHk0BMef`E
z(D8h|`JZ-~TTYzS(YZPG@83(+1?!vL*|jx@Yr^r2+KPP>&R_ZGvOep_e0jlnCI$PS
z+e`mj`mJM`Q+0iH^1jLs8_s<@=4WNF&pA2g{d(ztp&i+0+o$rs)-c^(=GOSQN_)4c
zScJxPlYQUkOaJra$T+`sy0Y4bZL^9G&*u6Ww9(=Ft0e7MMrEn)hkS`i@AIYW)^h8J
z-in@G<lMFM+_{6fthXe6R!RGcAKDOOli~C3ukYdgnTuv;)L*+buYU1fp<b!rs+&`l
z-1zcyj19gXZ`1Pmy>a1|9pC<(2;jb6t)=N~wq@&1hl?g(q?y?->I7amD_16!-IO8i
zBe=avOZu<MqOCU%9KS2HoMrLuO$Yr7nctswO6=G1c{8=OwRY2nj|+8V-iTLcKbmtQ
zvr~L$Z?Mwxx!osXWrTLNm%F^He;hlJy<+|DNg*K>>2`aPJ#HNTEX4Prso~{6gZM*S
z`rbE=IB`Z-ES(+ev1Q(cO<x1nZL|!}(dJorJ76xyF4fZTplaWi#`M>%8Z`mSWp|yt
z+POeFZZ3zk^eY#O83*j6bPgRgE`9Z3!wSEae(~2XEEVf^&r%6hJ~Y+j`kdqM-kqx7
zJmbjI%*>AY$<H==&v+LU&8}-u$h^h+|DL#xcK=tcKYpx<^Jp+Gb;|f$w=J&Y(9bGG
zwS6nZlO8(l6_B3(y47Mwkp7XQSF04|@~&`yEZiLD(a>D#q!IjMMO??BYgLL<#IAF1
z{1CQRK>GHpR*Q<=aUI=nzdG5xd9luhO|$(<PW@D#J;&^$LuVg9m$&VIrySSu@7F#=
zy8fKcm0oq@-3GIQh?NF2wuN4FUbW$q&ACstPQDi0w%3DeyC!kwa$RrWyS3(F@xID6
zy^CUF73GgUs_CzD*l8b7eRk)wbMBvfEi4`Q<)sAqG+!m}c)iQO;r2s&?cW!>ZF3f`
z>eM#lJ+_pw{*~r4ucODV)p!)_Ts|xGNa0)OTi$0`pFZk3R<UE1q20afGZ=QKCQIE|
zY{*q@dhCc@@5T>}$1a3<B+KksZm7qX(bL{6_4u)JFK2;=*%m+fXX(a&$}8$l^#}Cx
zyzrHIn<#Jn%cNB7Wzw?MKO>&q*u>p0d#&^FpKUU~KdfKz^!cuO^;a$$Z{Dx`)4510
zFh}a%4bSRVX09*e<}WFJcuC;Z^<PG|YqBn%N_LiyE>iL@H8-=JbNtu7Q}c~an^i^J
zy%Cb7`()#~{?ff}$Ml<{qSc)eedlrruYT<k5fQ3>=-44ELB6mnodp~B^sBBk6<q3W
zRTOdU_`1mteU~nK==-);maTqT*4#rvYmGNXyw=gVWF@^mYi@J+mUBl>*-g82Vd^Cr
zwFK@U>5s4OH^x7zs93l1;sNVgrm!1V!)ND*Y-rR~3csOKBs|+CFLCjS+%w`ko1eS9
zdz3k`dWL$De6g!sLZ1n*TAA0wEfMF%b{<MuDaCEAl9Rl<C9_#6QT(>bn}?;G^}j2e
z4>4}zl9l&)UYcgL=Z4^Z=eK9SxC$rBNAFtg|2awKU8Pz2!b8j6y(&JeWl|8mfGeK+
z%8_uUtp(l-%+_&UIXL}h-^?2`TE*hdJ4pv`x^VD`PD!B!x2?<xCwccx1_$Qy=t#`C
zI=yj9)Ax^sVj2JZIIkS>Y>cu{43Iv~`szV_#5Qg<v6O|a(>Gl>)*bmGAzo~k#o5G-
zKLus{VmI!5cIkn;m6Wyh#(lfLrFqRME7e`=+$Jjh*gEc2Bj?LR*|QJj8?KjKzo&Nl
z))~Jjv(x1nYbK`r{MU1JrEI;|rMB$Q@~;nzldNyOyw<aHWr{+`$L5zci7eATh<WS!
zKD^Eyl3t&u!M7~$Wv7XArGlyc!kpI&-ZI3!U3WKjYy10fz5PCw_49K??B1__ThnX!
zVWr>2eIdc~JBy`~AFny~!(y=^qn+3;f!gW)RnupEm0DU5vfM}JvL^TO(o@Gc=N1(N
zEsu%0q{)B(<&^&SrCJC0rCe`6ueMrR5Wn2V=7J{oUt6iv`s6KsmK9FRV|p%X@+X&`
zI&LwS%OGxX4)gu2W2xFcS7cL@Ciz9qSQA{*e?gNyxb)OflewQhEb;p}V_h&~TE>**
z2byz(W~>ZWOM5w`^`=$oW9hSJtohz8GZWd!wV&tG?4KW|$Gh)dYaSf_RCV)fQ}&q^
z-pMk1G-qtcX4{t4b4aXyA@@YX4~zUX&s^6O)_*aj^?7O6wgXmAA4bmQ`p}aWp=OrN
zw<z_i=iHzf%Y)UPy_(W$X_cD1&Chbi(qO%`S5w-Tmz+AzGndOC*4SUnER&Dxzk0mY
z(hYvgeb!vn<jyWRbu4Rc(FU)}<<ioo>=&ap1{F_ezHZ8XF=(Sx@r3T{CiTY-v-N)5
z=#$K}=gRCzwL{^#dhgfP>Pgo%?l}>^&eC+Nx3|-y`;I=|Ykg9s%{;H~@zeCVt|@F>
za_Ug9Rci7wzl)~pgZ0v0PiePYdA1<(>K+3(E2FyY2lrfA{4QeS_Xt~EpUh9fM=v_v
zeJU?=@9$<e&6>r*YWuEga^HVBrT2Yl{i%ceR!<+z^Q+wP|M{CK*Y^B<*;tZdv-I!E
zzjZ4n-4D}wan-VQX;gZW=c{V*nesXkJ3c>WWEPqCLeAj8kr|E5Iz6%$2afFsc@_Bk
zaQo4fs;XZXx4Q1#TIu8d_4lv!%f#Am<xlSOUs`t3+)KUWy4`F2m;2<qI#=?0Kilmz
zIf!4d-s@=1#+#fUyz<+2v59d_xm4UZtHODK*gLK($7CC=cIXC($8%pf9M1H$(0c)6
zUfRm^2W<|b`vg{WW;1R5u+$+pMs!89HdAR~<N`T8&MU`V8`*YDSh}zAHB;z}DOG<2
zgN21zvl5#ea(l#AG#4_J8ip;9JH~P4xG)p%2j4Q0#`?y8IXMeHICPj6#xD?i$9d%#
zKU3+4y$-Q8>H+-sxvm^PzIUs?rP8fyVs>jdzk9WRxpc_AD)aGzI4g?<)Ahc+UfRz8
z_0n<nD#?WTVL_XA#p;WGd$F|hZdK;P+Pzyo&Wp3E2-lC@vv|5(*~_K<bE_m1+QWjF
zc8BYWo_oEt^ZeIK^@l9?ZYd1Ymz{IbTYUb@rS1M-FCAB}l6<fs?9$m6TjI3lT=EuH
z|9a_A=e=8R<6X<dW_O+Km$0yF2(>t7|8eOD>H62zn?e$s9enqw1#qwDp0Y`{(dvdu
zfcR|ISBc#YwK<|04d$Ds1U)xrUG-s_gRhRriq@S>TMhje@XZrj(Vbq;^6Ft^<F5+8
z1&s5~2YHpp3#>R)(>SXlaDiBy(28DGrqU0a9AeK1u4oTu@rw3nWQ&-xbPI#N*os4w
z=H1%w;d15pE*+i?+uCbeyr%XG#A<nOowRO7YSqdOG5Wr1E_icmf4y`}Yj4ShDE-(q
z7rps!f4Ox0c9mqp?yyUx+nx1A%j&y~;u<z+e+`}NQ8vvZ!eUm`r+*i2nd#gQIq{tP
zu-BEV%6qRG$DG-2Vj38hl#u%=xt%K{V)`GwMO?f&qALzb-{kUHbKZ$tdeentt0P}L
z_^MN~(U99V=aiHD?9B!Trt#EtT-&O%BvqH&H|MkycYgZ9-nWr265Pdh-I&?B<zmH}
z`cJD5aXhnrp>p=5LF>(z&CY2FC1OE+b1ZKiS;Mp0!1!>E&D2D`w3Hd$FD0KnJjwI<
z<AcC+OkX}*SQ#c8`8+hRpXPUZA<tsolD3QcEDvYwo|Cx6`<%fo8T+WpY^NiKgg-I5
zf9dp<mz>*IE4i$oMLsJ@-(BomK}xc@JKw$MCyv%v%T!iOSC)TYAd(>NTq4w0<Pt64
z=OgpH!z^6prp3vL&A~D|EzVAC@4sApV`jGT2iLFDw~H<-P(17t^XLAoSBvD+A5V7A
z7Tfsf!LdiqYgL)&bA>!KZk%PI9KfB<n)P^&gY2D^u^y+d9Nlz$^OeJ&j<4STk*j<m
zTiBAuL#K{w+t*w6H8x(CUoTna!Sm+1$3q5pHNGMjd9}VAnMWrMCicb1JUnqYu&+ku
zvBrTyGr87YosPC0vz1#X%gnSmI<fV#%*`J&l-cJOJb4t{XH#=*V!yErtHtSK$w|W8
zi{4(c>=Utje&R@FpH9ueiM`x1mOD;N?9O*HdXjkZM2WwyPHJua_KmHA?9q~iAK8@U
z?xh?#9^J!oW7@tAzH7YNFX-$&+i|GO&F4+RkwboNId77V9JKD)Q88VR|GnX($F*)u
zHk0LATCcvG@Zo%RkH(qgBS&J~bj~CmIhNxlb0+D?Q6D!OpOhoVdEA(M{P_<Zn^nT0
zD&}vr$e>qHI9PI{L93wj<a)_MgHAzle?uovdF3e|44qEKRSEE2Tjnft>W{?2HT{C8
zen>3L=@&fpMPi{&zo0m`<ia<7f~Vd{EL@{!%OU>ylA_+yik^U~;~kx6dm?t6>FCw&
zvDk5{qg!9{V?nQ={B=pr0%cnc{@0fk=QYmm(YTXz<Vbi=#E)5m(%U5$R_oR)>%B92
z^ibNZCMHScK>16@A2%lOedMh<-*Je)XU2}>9j(86Zd4rTX#Quvth4)KPenzOpnS3f
zr@^*g-)45OROsFfNl1wKwZI|KMp;eGASqEv+01XlEZH}SM~=q1*}O?Ua-6+~<;RDQ
zujEfT1)ZD8t0Svf*qW-mI$m@AQ?_fT>zxvtb$s@yadWRfedt(Hq{R&tZt>Zv4->m}
zYI3H!HkcogG~5_tqfm23o!hH3)iHCUj)v(p)}_ZcEf9$mo1%8QDJV5Eph~%$;eD5J
zQetZb!`(H{PdX*8)A2bov9<N)rVWPPVti?*n%;G6`e5KJW_Rqg(t*j3dTvB$$~lz(
z%dFoNFhh%5I5;ISag$Dt&!pDo&P@e|j$(4lP9HjcdD8;jITp%%VV&$3%pOHXYS^fA
z3!guI=n(g&4TkYzd~s7+yQil-Ol;Jt*`w3Vz<pG*aATBB!#9=nC!8LJM$U*(;pUb;
zy3KZt;LiT7O8gu0Qohd2oEiS_TCr!ch+hAi%2{FcJ@Ypvot>X``AbajM$K@YM_yW`
zr$nO)OiK-pZt2N5HeK_^r7MAFrhfRk;+Buj{lE%|?e14?&M1h~H9z*#S~DZtyg+yA
zVZops^ImzVmp*Y@<tr6koGzSKB*FJKOjn0j?%E}bI|sreS9~ZHo3&$ZtJu4gg}u8Y
zJuI`h%(n7KPO8^`cu<4w@XQ}&DQC@=UCCMS-(Pcq!7qQ)%>sW*^NPM+U7nis;OZ?-
z-ie2l`h0Yroj4@am!tFa#6hFJ7@g-Q4s-R@ta+kwU{&DDj~YISj~6PgOFJHTMXJwd
z&C?Tygk^62SoQ5>WQR=6*@@k^Wh!?Zp4h)zhV{p?U$gc|1a3NU%(;H|#Qd9uTljzW
zDGT2(PI<`QmviUwiG#I$F*XlQINQ&z<i31kYwBZ9HyNMwBS(4MY;2Bl=pN`{v6!-N
zgYO-$^7gcs1-}$#Em7{7VR5RXHM!@8#kr1V;hr59Cp+4Mdwy7)^=Rn7+`Pd+P1fo5
z8h?qvA5#Q{=Nl$H<WV-WOFD9}-qJ0m=3Gbn=bj%wnkF)cUuxc9XeR4qy|}waBPQv{
zkyJOGn8YK;LfvF)PIh!>_f+gS*U>NC!*XMyTuXD|OMzQw)}*&=QgqYcO=|fh@0OF3
z(qbg%rg1f~rAWq2V`pN^rdeMUVtV}BFZ_G#>!#6|*kUB^rjeT1QY7jovnIKvev`19
zhHhfZCqXw2K~Mfehg(WI(jVBmiQG#+awN}9=S|{~V{vXWZ<3E3W$&r@F+ouNw<KqQ
zf-Q%<@MXn)jm<q8b9~n)?CXr|30QTcqt~*>V#eu??#iBuidlm4=M97&+<c;1rO0WZ
zdH3mo1H~_!nOdJq8h&I_7JFu#^w`x+rhd<nj&9bTiWw(6`dfQgDpEtvuG{|0mWg?>
z>$-x~zy5Ri6fy_9bvA9Xj+`+=jhoy5)S+V~krp!)xlfg+K75!O`NKk`o1y)vq@kgk
zO~bqO?kNikLd4YiP98c`7<t1&k(*sT_2Hu_IyQ5rwf6U?3O*1nbkpe(<zF!S>+($j
zKYZ%foIGYR(ON>k$m^NwyTnB&YJG$%8&2NrJ7#&OeCy3Ll~a-zW(Jy`jQtsH%C=8_
z=29+ujw?rO8>4p2UzB)BV&Cyki?2Ml@R2&d^w#nv8+S&$IM5uD7UHLB@1J{Xamfc8
zcdqy)vp6mv<X+jcY7SrA6N5QD-Y=8AU1QcwN}RhhDY*Xj;T=J_n|yp?*3RoSTJ&aW
zkWG!{s!8d~EzTa^8lrk}w$Ja?{FT}JkGOEpIw3l*Vo#A<@Ep5+SA*vYakZx=KANDT
zQ=`Hy&7S)3fo<fD8~WV4-kv&ioP86=hV{P=D1R;0?-5LI5!<pi$#=_ww-Z_48l@yY
ztc|>3p}>7gGsSUbYrT%f;R&p&QkxdkIL{G`x^P62b2I<OWd@uRS)VFxS}?^$%<SB$
zCLxi?fO$&XUg0T@k=;5Pl^`?IK3;a=-h3i6QEX@X<D|cKeVsN3F20x;9W5=oD?{-`
zmgcjzGG4hmsR^?crEeX~?&&l)J$6Xi?Z@qPYdXc|eQ3|luD4HUs6Vu;k=bXBq{V?l
zZTH*t%Pz5q95Q`-BA>l)!CJSu9K!9dT>_5%zx_e`ZEkMp+*gOM+<&?D&=kfsohO+i
zxF^?%$4xPvwkx&dsdZs+YAe@KUdI^=cj$3wi(C)+(YJBSi!N)2TL;3D71nP^b;wWp
z+;H!Z+T-`qb#XbmJnypfpG14tw<d+l#eXTh*7&*h|Ai0UNo&Kxvy0cQ{V2G2_12|3
zd$&n!{>^^UE3waSW`)(_kfn#xOS~RN&NccN^IzdsL(8hwJ6CR9eZ_S*@2!X$>3=`E
z0$bl7GM{l|%hsi;O|ff#28I7Hd$w=B>7URgQM@reTwK#vg>IeYeCVZ)s1;AlJ7?#5
zXP?X{UY(cnA>1Nj-}x6UUBb2NRKAx<foe^nPn&kkTA$L=bBAmd=Nj~^=d+)A?!wk*
zA8o={Rb9EUW|hoWr~eD$-ImV^iB9y$7T((WGqJsXVw=g)ik0;j)@um|JZqhHu)?t0
zyJh*uRV$rmoL(JPcy)7ytk$ZkG_m$j`>XaZ#OrzOdkfWdOWD#478%(39xB%`SY)8D
zVy`{9VC#avMe(yP#98{TSXAAkafd&Cs_?}2;+=gl8*6kg`abmT4crm7cMBKWI(61o
z?yH~UHO{NY=A2!7IC_J|%>FK}<(XL(FaPIC@gJR%Q(#d!`8WID<iqPN-eqZ<m~MNR
zCcl1#iOA&oNw3wHt-7@^yi)pO<?IvIOAhh*de|)#l`4DT_`}cE@ATiDM}I9o^smR;
zFGbPr?|Oqp8w`A3tl4{}<51H-$NF%)iLIYr${!ZYJukUvm0R(W3-f!{F1ED%8y`Bo
zr$wt~?uA6pH@S^kcUHzpmaN`ATSR0ZC-+>-;)1-6#@f~_$He;lAcKvjnh`f*^`3k>
z%jQ=S!p*gOQd4KLXJb+Ojt6dU-*jnnaC2EtZt9fvJb36^kz!W*@e5gwiPb>{8;vw0
zA_PTmg<LszJCHT7vFy9&!6V_G2M?_+Qp|e3vxqg&`n)=;u)Yc__vgh<hU<Q%g*b*7
z6e?>*?1=kOc{u)ftKP19{&?-(D?ZJ9s<r4;x(X|Icl)A;%L^B3=U=pY7m#B8cyo#A
zDitk_7*8%WJ#|*@>8DyVLedw%?C0W2pVZWO+4JC`A1fZNxG>dx#ly*khd*ZSmbfl4
ze;c>w!6P4+Bs{FyDPa1iY-iJohq;~ykE~jfkeGa@AfiKhpU6$Yj~(Y-Ox@~PThC8w
z>hz!7)ark#b;U#Li#rxI9lE>3A^PZ&gv6pN1tA^M|1|O}<UYRKajfD<^rWWF$({!f
zbrvaR{dii$8hAK+a#Lri=fOksc05cuvb_DD?_vKobJNn^4BclN?B6`;_*A;baE-m5
zMvfQP7DMj!>vuSWb1j?F-(~eF=SECSKz)Up=7nvVnh_P+Z#-gNoYH=E;^2vC`fqOs
zW<T}*?H9D6z&vYLRp$SIvcSvSr$b$Q{e8I9mZ`FG2QOas`1PLPi?2!*Ha*>3XTNG=
z&9(Qctir{M6Q`a3H3#HA$DjpIx5PwW`OteL;)aZAOz}1IqYKNfeAr@PqNCyC%cZ8K
z%IeO2|9I@C#clRF;R2?6bTnr~%oEYk>5%5*Hpw)3^w+um(ue7D>;LR`|0w>SKfs%r
zMT7wam|AKg4?YllC#b`~0K%e^H#Cb(|0}`5S^u@iBVA*w{@(@V@{A0+35-<>Cq{+}
zWVju*Vu-gnAkP2cDD%YCl27C`WTf1@A2uZ{T>fO)lV?@aTPHr7ls7?MYf1Ib+FNTX
z)PG%1-lBTf^Xl;g{x_nVbDr*4)xlS>sy?*-XQYhtIX$-9DpObuSX)?)jlW4Hs=N)|
zr<uC?z>gR83%>p>KYKN&{(juycjy0x9aoe8eVqH-ebIT<yvHvjW!ZlhJ6C%<d)xJo
zI`(g;ZTwjI$o%DoX!U|O*R=EcDigl9Kim55-JL_P_pgpVytlOS!Him|vg=ZPH<#ay
z{<Tcenq7GEc6U|7T=rSkcb_l+Uwgbi@!|FT7v`2WR{i{VX4l62dTZ|W4sU-XakTwE
z^eBO2^P_|xU)LU=+x}$6ANe;geO=9bk8E=?%>VUPNoZrm;=FE``CZG8nl~-Xz7hL;
zR$KC?`%_C_E|lg<UUbvRZ<l}O$A~@tty7vdCD!Qs`FOb{TTN4SakHve^NvOOWOy&n
zvPtWv?{Yrrn$rA2_~L`ikG%)#x2P>%xbSCXq?DA@gtq?zk}of8+$DUrr+0Z3v+~tT
zM=H;r32Hr=lF2)L-O}WjcKloy)jvhZ-k9qn=hhw0CbpjEesxrhvJCGJzu#_R_cON}
zJh0A{=KkTgn@#L~=H(raf^Qm&e3-kfhoxqDtkZ$=TZU_X_|0D0^?pnEiXVQ_sa^H{
zsgwCW%q{B@nSaXdBhQDq-}DYF-<0U`aqc(vqsuqN+k9O4Mp)f$>fO1!zDMnv<lexa
zdOINd(e91aQ+#U7&G^IDehu>6v2Ep)J&ZNx+xo8x#rM?)X?}cq!#>Ef#?nkc1SHU0
zab$Y({uZ|yOEVt*N2fO?_cU0qi}GH!?Qd$mW~EDwW!a6xlQ-|wYQH;wy02!XbB)=y
zPW2<pH+g%-3Eb!G&_5>k_rt_(E2cd8{P1x2ExAekTcUsb+|@WyeW|ki=?mL86>2zz
z1quBMYicOE-y?gZLs~*|m4Vk+^X7TgKf=s-B~N_{4Vtun>W}Q34!$pLEO_-ZC|xag
zi=(INkL>!JD`&30rltANZtC~r$M=5y@MYT8wKgl541^kF8D6}Z&>ZZYxmjt&n?EUU
zCToQx@sv3~NezB%<h{xC)dXff=N6WQGZWW_XikwR`Y?H;uU5jQ9cR84)vC_)KPJY+
z8sVw=Ec&Ke@PehQm#}<Zo1^I2Fm+1YzNxvNT0Ez%n{<iyK3Dw&d3AZSFAoxnZhkbq
zupqfj#v*UajTMfMCvPm4U<!A>S~KxQ_^gj7Z#d3sI2#m{-ZJxP_Vkn~Ni`<l1k{pJ
zbhdfx$oI;oG*AAnrEBqYMVlH=+aZm$HO6J-pX^+pD!={o<gfcLkJ)RM)@bbxT~wo0
zt@7|zSkSHcr_90)?54d9s;`wlz0Py*kBDu&ubw2|)W7&7`KHUEN&Tt!KU_S%DN+6X
zelg_-ez)&Wp7bL5=E_NvzOT%=a`N{iu?1zJhyU%{<hAm5)HXh*3cd$T+<F$`55xi+
zq6_pEF>KFBWL#!?leecP@Ya2<=cRgKiVaokRo9-3S|=^>bLzL_PLCV!X^P*jsIQ9)
zJN`}S!p945FD!hTaW3Q5*7LF%OYPS#eBQlmUUK9L`}Tz|H~g*>Qu*(cxyvR*`R5Gw
zve2?+kxEaib?x=7wQA+LdfCg=*SU&2+U{ESx~j#zL~zMs?Z128WK;*{2#Z>#tuJcp
zGFkh(c>POLHsSBrjy5iAZ}}yer<}$6z4BcBM(fStm9tDW_-FM+Ka3Qc_59r7$(vX1
z{28{o$mVqX<qg`(k1t)>BD49+<=PImva8!J@4Vi4)@^dyySFm-%QNrxcTVi=oN3Q_
zo9pP7&r-KT)-}BnH23&h85vrZx`XTcj*|S;sM$Q>FVCIZIb&L5wSQ0V@oitHPR#sx
zW80yWV^UY@zkZq1?J2qIo!!TtQ&&pXS3jEVbNr~eq>9Pk(#i-G{o=X$S6lYRXjv})
z8(>>*IWKkVyD#l6e{~OLOKzNE^PpO>=uoxJTj~2R?CuBcip#comiqeAzCf*?B^@pW
ztXvU^JpGQX2|pM+Z;PHdYFX&nDBrdI(Rr@<pWRy%G??A5m)7fNl*E=h1x?hIo+ayd
zgO728w9lrJix;#WO-(Z>+F}k(o`uHG-iTf9J@a(Z+|5z1l)TSMM9ovnbv@2^&gt-F
zhX|gfy_z{&Is=_~pJ!fTm=@RWc`jw$rSk&L`5uxzdo1HONQq}IcIT6{F1Rycv5sl>
zhaHK>E=WYpve2)eY?5xeC8D=`%Era5Qx3D8?K!$)A)Cixj{Am}4VRuhBO__Gc%tE!
zW3HDB&K4BTO{>~>MuKbd*-OE0%ZzTWIp%szqt)D?DD6_#{b!2K%jfh4c|F%=<Chkh
zx^#<(l#WeKSW1{vp6&W9-7jB$mxXQJxqjucr6&0vl5dtwxs<ke@1^>wE(`&QA06I5
zS!fp+F0@znrSMy!^C!-qwu?Q#am}^8>FGzK7kzGf(N|Ga{yN7>c9-9ms6C?RCpR4b
zRe4jeZ=Ffq^@&L(ODFpt*WcDNC&cerrS;z}zc*-~*5>{m9S~#xb6L^ufX8Y_>#YOo
z<NLC2{LRa*<}H`eOFMk-^RF3};`RKs$9H}>Ri}6Dr^Kz=;Oyyp-WIQa_^;&rUhRPM
zTkpTD^R{oxOD=!+>Hmh0r|afUet3QMG2bR56XS1Qmp}U6IQ?|e-pu1>M|<LDJ<s+z
zdvo?$|IDp7&ihQRxp`$zn$?P)np>yUS}PkL-#WVU{r3ZUHsuR;Jb!6^{ql3^U)kNS
z<*Vu+{>a|;`$o~1{S1{i-j?XySs`z~#k#&edDgofGQR8W%VT0LTYgHe+tH(bZSCEe
zB|oPx+|Iny(E7{1>~*D$cfPgpK4xY=zL}fl`~1gt<qQ1y&sxe$-xKY7x%2e@qSe>$
z)y35B{`UR0x%lSz_1kx`-`#vGP5j-Cg+HcNdw*WAJ9>Bh`qS%d?AQNIEiSlSc4vRw
z`sFXbs{U_1_H~w=(b<pN?L*4vO`Vq<dG4aY+~c+L<DTp7{;;Nm|IN9)b?tVC=brm3
z^ZCP?FMZczEBD>r*ILcHd++(zmrZA9KaTuoWcJTvUxJ2}U5-lp%WSuOCy(t5Wac%L
z-G1r)r4pU}oX6)m9$#BmKkvtFzugXRe-*snmVf=W%y+-<md}1JyuR&_;ofrsCiid1
z{Iz*^Sa!w#{)JU>PxI5ihwb;gvr=Yf#kRFyXD|N!=k?{++vnEF#LBI@f1Nk$@s8*5
zFK#{UO#c~wttxl^^Dk<O((Tea<*ay*zuCQS_35&ib(6C;M<sl3f3P*}_nU3{X7w-X
zR{sy%?Z|&T?`)aHyT;gCbFbI0yuST>e$?BUQpM}y9*ULKS3G)etDToy-#35RWAkS}
z<(;?w-nH%O(u2bPFUWk4{_Fg~>iGXlkvqQc$oFsG&t7)>&gnz%W(P!@K3+QS@vrAU
zvdiZF)_w8i@7A^Ne&7AJ?{)7#!FefLKKyd@nZ;8-_v(fDy&I%{dZjD>vU>i*c;@R&
zlg~c!>%N#Ir=9&dYv;M}ms95cUo?4N!uo47<}K#6G){g$X|K-TOFr=%#oq+q{F%8{
zbn&yAsiqQ@`~JD6t9fU?oN=j6?f3LcH3u);cpaZ%Qrz|~;UD+gDSI!4SDx|+o?zS3
znzrv!@tm{AWM=u*pPn<*?5X8HZr#?aZr2YdJifhT{T%a)If~j(E%$N1{krAiQ{RZ}
zmc4FsKAtV=*=s31pG`X6*jP3>|B}z_FZCZTUuIdm-m5-W`}Mv}d;U#$dpqvBUf#P;
z*9+FS{(4+w5&mLnPp``D=Z_Zlzs|4jTXy$aZTNzC`Lg|Q*K&)T|5Sdfp4VujnJ?Gg
zI^FWH6Mtqzzh(Qn^ZvfKrRScVGkv?wfB$Oh?^Q=@_g|I2uHpRQm+78!wzhfJyye$w
z>;GD{%`dBeXHi}oc`G-)Y=Z0_(?=&(%n9}`oO>npTtSFIsQ=Y9TvwI&1a1pAnXOLS
zXqNqYTVAhgN{HXZUzNUPpQKFto=>kAI-X#jC~>W$#o(N`+fx~7zIH29Bdymv5+Y>W
zH*;TIaicY>Fl?P}?K<7NN|CdU{XBii%05TG<C@lemwl(Uhe&+7W^rmo&thh;zm@SK
zp;40F@>3u4ZnRY{5^%g18JZCm8&mDw`}l%e)8YL|OV0`@I_{hDjm>S6VenE>!P{aS
z_39_tn$os1UR}-0!E>?VyTRp4O8TKu6N}l(qN9$t|7CvdT^U)$rV~1E)r(ipQ(wv~
ztW7apejtc7_^Zps+GmNp8Qd!aVpuD8Hf>yc>zldQQU1eos+i}NtX%kYYUcJoms(B+
zB<=88n-nA3_L!%ArAwXidr6<AA#*s+?>o7mtm#F)NY>HZIx{^to1+41F0C6jJZpW=
za&hH^&lj$4zAJs`0>=(vm-fVrebRO>8@@<K?{?f`J~P1V<?)m5ULl@EV!@TG<|($n
z_WK@r_GHehKvVZ^{OWUgO~co^d+}diG3C-?&g&V=uNb^EY+l*5DosS+TCN~hx+pnw
z{i9VgR%u8a3aP(&`io<a%1`lixr+b!oiE+BSD(95$6H)vdQpf|VdA0^#fP_!HE~r+
zZ1dQ$ZDo$4wbQ=xmc;CkTh?p>ZEG+3txVjcyzX_(Yu{yigZH)dwM{)y8fKO7LSW~)
z6)eujg_N#eTzD$*?1!@xjJ0ZwH6|K6YpG9t?AkXc@TF^?N>R~*`hoxpzk&xnUg{PT
z4jfdxc0^juJZtf!lfBAW=1!qEf-Wu6$~1JkdMNnLu@i1tDT_O%boNTF2{~~&P)KFz
zTIb#nja3IP2YP;4ctxRU`Qo`jhnKiz9=exOwK4I+48`XEdvfkJCztClTqlv~>!X^i
zH(~cSUT&_Y#Ga0>z(#w0?d$cnuj0Z3rk|Rgq;hg`=2_*+sXzOlWM9io^m(l28Q-P-
zpSP!E=H@MG-(L5|zMi%0`p2JY!8-yq<4+0BzOnp}L}(ZP-v5`*Y5$fjd-(OlM7iIJ
z(J}RRU%ubA{$<RYFJJC7-Z^*agVl2XV=?(gcen9-ZJ)eE&gIh%%V#egvIWjGC-Bst
zkbjmKzN|fCM*eNlXVrVZ%``n*R>kDZ(lo2HVan!>Hpi!^Rp(Cs+`205Y=v{5M%U>T
zOF@mh$!5Nt-q)47Od@-ZG}fwKI<8c9f9ry@MM5ucn_J~~ypOUHyW+DYFl$DQ$tT}s
zQ)M3??c}^$sQu?X*Y_P?WH!I~WncHs^!zXVdh@ck{JYifaDA`5S9)?f)9z2(O=V7(
z%zr+kcGux|+|T9MwuUa&Uz)bt-{y4u?!vyc&R>?+@C3~N`+xuII}h)DetmhJ=M|%*
zkNZSsNwruh++$|U3Rf^Mi+ld=UP8%(7hch3vrfjSotyDlurcsdQr4@gn-{`jtmGF-
zUi0dB-kCVB-mcPMqB>)Ls)u;&nT9R9FWq^4>uX@}wv*c~uG*up@1ohqo<9sMGp?mL
zPM9)hmp{`JmqSgT96R{k^D4q5CQe+K7{2V|g>%QOm0Yiv&b{7Z-lBe`G<N#uJURY*
zd~&x+W6h6Pm)g682Zeq+`EG}(-c4t-(ic<j{yO_Gz)UZd-SAR<rJB9V=WpsNpTCK_
zFrS*4l@-jpJiR!=C1lZ8gU@C>J9Xkswp0iPc0S9M*w{aNR`HyjDVe@*+Ch`D4%p=t
z7>BP_t*)<$xy1YCrcuR#bJ2Z9&Ahpfx*jPmUB$A&=n&U|GkshP4s&&r*$vts7fg}d
zY9N}qB63v+SM14%=RQ8F^{Wm9q}^F!63JsGbkXzTjGs*hv(^bs^6fpXbMo2>XFdxa
z&2*<zGdsNmrbwE|q#irN)4ernWyneqF4eBY6P7dPnMzuozG;*a6SH}y<!Qsf&c=fa
zmY$xoP@gf5d6PsC3#(4T0j3Q*npl@Mh#UA#U{FwJYM8T9F(83;!e%ao=K}Te%F`TJ
zClq%vKUfeniCL59!6Oz<E&<jF!tC<KDU3&j=RTj?CUde;*hYQdMYBXl-pu_1mk(zz
zyg1dx!ldDW@<bIsPTu9AkCprjY91&b?N~g^u=QlYm08nYPnoEt7wdieQLVL$691m}
z+`Py0bdMLWJO0#g={K({jDqZD3Pl%})-z7*UdMA{#hgb<BF=^Ea@!{a3Ny#J6n<th
z(&`pta23^fcR+MPpybk{Jy~ihnhJ}JEE&bRMc*A*#PTGJC2ZAI4o1nTvHSt8%a~I_
z%1jkRR~?d#Fx@;M(DEpQh*plSgWFRvu|*Q^4m=h$(wfc1ad?AIL(eHSrVw@h@&}i=
zB<rWfhBhcl>{>A)aHi=|p8Ji*nOl~Mtcf_G<jNMX)rB?Sp!U5kC%30lIk-gqS5}-A
z-o!ICQAs`M&Y8lGvOn4wjJi&_%yMXby2I(Ff#Tv%y%`KKPpl0ls-}d5DLt_^(BZ6X
zJ!K#$RP&^Uy-ike@rpC9ZUq}<9QQHb7g1ciB1gGiDTyuRY=d>dSpk`;!A=*_bPCwR
zI-4dO6quTv8qsL|fhYdpi3MIO&l#?W((<!hbwJj_B&pi7!_h6!Qmjqn`BRQ}2ef=T
z-ik7^P5Hw*<%HAGJqoMB9^Gse-NC$CT|7lh>-e?}TnA+%S~DHpQVqBb+ARfjPOLby
z%c(2Wv7k1;evQInqt#pn;Roj|Y3e!UvTQ<<^PE$UDsq+x6uUBV`3r>3FJpDGY}|fK
z?Z+1F2~p)$GBX1%Th1^0b8qvt{GQD$swxkaS6c81*#&8_Z-1=Zvfg~A-?#J@B>_fL
z7DJuTbH`^1IEh6pb7VLz!V#03IY+)gX7#o${D*>K8<kgYE2+Q5EV6oA2_L`LExwWo
z%z-vXCHW2s>}9l%UwX>lZu7xzweFP)5ufCuwy>F8I=X6|&-V$J7M$SOHQ}IpkaN_t
zrCLpoS04E`qoXlmm8RXiERXMZV(g=u4`#in=M%ae&BXTf^fOkyjDs>;te!8B<hB!<
zAL&@0I*-e{luagf=Dd{(^*W)V7V~y0On2+NaZgZFVAju?MGNL0+%(s+s;OUOe@04A
za#Q~iZ&Q}zS0kJHMW$(S%iNaa4APEUCF8qL`N2}IrpG=k@}1KJBNJD))rf@e5o5Y_
z^khro%4G)(eHtGqUo`Y<TYV^q)$$i(6r0P{32#*79RBWEb4&RACO>vNA+LJv)Huzk
zeJ7`E3bok4$orrvMKrE5OY(=uMwu@UuO2-rCLxd+b7iMPN824H8?jGwxUQ6*DBZH+
zqEtIm=_<F6g>xEq2<>D!WSryLu(+bDU*LyF?hUT9YuRg-{8}5iQ1Xc--w%&97j!l`
z8T&B@DcWA&<Y4WWcEG*p;*H$GRh8Gd>wkDy$cUM3ZHxUHRz6qTE~x!^-D+P}6$Zu_
z(N&gxqMPRwP3sO_7`gVjsrljK8#~@A1|D_yN>A?X(&S^9ub!jKnx&>HWY}<m%Q=+M
zB~0R)i(u1Jj_VGBO;1nc&TBX?ysePw>C+XLx~4qRYI)?s@nK@lJe}jRvl5aRGzykR
zJ*rn;8MZdCGh|lF4yUsdI1UG-Z0-Bup?I3($CFisI**h$+UcBI)$zmQiGWkh5(PV<
znss3gCswRT`pdAqWKze9nKJS@cFQ|dw*36V^_-)o=-Py{?mnSY+Rf%m%2YjXiE?zX
z<m+16<rMxn;(S2}>!mdlrmrlV6D~i+kAJm)#408E`f#Q0=VcRgwuMOchFqSdBifXx
z%@?Tg{KKomr>`zIebn*i&h4%D_w^ROnC)Y@t>^o3_V!f;tK`hy&ifp_d*RBhp*KUP
zUKU=a)%D11YOMX#PYVu(*t+h!mhHVdXZNZ%v)DG;Dpg5OmfxM5S2Ni&b@P@rx=)^K
zEjBvQ+;hs_c$M*-`u@cX5l%ZpMOTIHWbHj;_>1udBZGHNuhkl>oL=iIt7r8m`|aiG
zp0{EN$NZzpwkt)9jg1YArC1maOE54TDU9g<Y_il`gn`*0Z-S3cOpH%PMTkVJv!juR
z2pcPtgMowqhjXKk#Ii=YIquAd81JOp|9-5V_OPL(CPm`;MPK%wdMB<Me&&;Tc~)zD
zv|FvTzv24zbk_FsvwlXbEPCcLd&ZOh(-NZiUhLf4#oHy2vhV$Suaf><m8;Kh?7C2V
zV^z#QQOT!$?_!Tu*D-KiSipJlxxmZ*1K~PP89uKr4-3dHpZNWTRZ4Km(W^_n4T^%R
z@>-U6D^8S;m9@L9y6yf<p%<R@CjX`UO`Wc;S?$;4*N_*pjej?LOqS>3%G~v0bCi6{
z`4!8ZU!HjDWYoI*+tKZx*(SXH={0e^{kQ6eYeoJq3vm^5;y<!2jQMCqRn`B`bAKOV
zI3g&wed`?2yLV?Fe|mDoz4bqXKb?=8m%sAf=?0ypeCKl+Z*<ygJk~w@)#kfd+4sfu
zsV}p)Je6N|L+72|-_)&oUvKg8Z(Vz5<&rsDYTTw*Ym~8SH^?byZaug(nelP;mi<4u
zgw%FjfB2JO$ywg4`;#?zn0^0D&9dHX*=OK#Jyb$<I`7-VLYj|KHYD!yvQx5YX70N%
zd)<^E&kqJSf_8nmci~Kc=1H@j+ggt8dFD?(R>jm;l-gFVc;sX!{^W;l$o0gHiC<J=
zi(;Lwc0Lde6x?I&zxk#@jqE&O)!;+Q>Ys%rZoSeji<-oy&y#;#k=0|e=hfgvTiDs!
zo8wk*a_E?Qu`H-2P9W{t9=TJ|Gv<A_@H{i|E7zh06R+-`#%@=awEP<TCf%xsUXqit
zRCIX0*>rBrt-mta=%HF_&+9JrrX7=`Ed!61tuR^qGGDBAO_l4+hf|;Yn!C8rhd<(3
z;RnYLxtkg8q&|8OJ&m*fYJzmrQlUcz(%+UXOjX@;@x;Ch;fJM7rSD|^WRt)1Na=g<
zm&s~O(lZ3kE{=6h7UY>DpYZa8dS-Ox@wcT1BiOEd`cT55Y;mHvzW46IV}Hd3Yf~G-
z6Tj}^5t0_!+j=eRpGD-%X(|m*0=A?w{rv5wsG}qOV&|?WMGTD2H<}7cZ)WahdJyA&
zT(#`8u|{^|mWqqb1wTZRpTCz%pILuXT3RT>KGUUlsUjPv)-nCNm6e;rn>Nk1y&S10
zsUD!}$ttVg?Dbk%spVO>PrbaY+&ztO6}CI#h4r^=cRvl(|21Q$n8${)8IrH<cC`OB
z>Iyu>b?#k|_rU{glY0XvKg@d3>HLK8#K)GciFV3%*Nz0OV&tv8o+Q4vtIqI0+v%N#
zb$btHHrmhmW5cH-;8i`LudwuSN8<mZUxRv^UrzSfTvqS6`r$u@v%%ljmK3+uf81H{
zWM_NZ$63#v!{1KSu{?HF_@`gt!;spY+4H}jQe$@1i3yHu6*-ixH(Al}&Aav9&zTIJ
za#qiYX?n8!ec0+hN!i<2${Cv6gg&Uu%HOZ`SH$FkLPUw$Ka2hqitRGuHvdESPTRDQ
zJxl$*ONxr-)vzbs;kV)%p18mI?yL6xm}UJ`k$^k;=CMD+4(;B`;#+xPO0lgz+rlX}
zUUA!dQhpZKy_qUj-zLUg;;?b^!~Qgpr{dFldBUx3#&pcSwliSr+144y3#UXR$bJ2w
zHDw{2gGQz41ok--o=UK`NG<JfIkxdR@5h_ridWZ7dV25Uhv-k+>zDB6EthW5iaxpf
z8oR8%Lw!rc^NeaXE^Q_E%l^B5vdQI}x-{Nw<xn~l{WNz<hsUXwFAYZdrQTn;r)*j`
z@&ElI_waA}Ju}|!5!c~SF`RyQOACAbLepz=bZysuP%u8crTkhQduZYO0+*W`{e3%y
zHivwlq}Ov&VS5|f{kn|9n|dq+Zm9T3??~F$-Kc3%;GI{mwZZBCj0s5_LXUe*^LLb4
z#bnJHVsCOSqhz+ExV6BO9MhfJU9#<mg!V*PU%t^2w12~F@jT`J$Au0?Jzl;K-EZ?M
zF5S4NA^zlk&1<b4JYST1r<`Ed<8{hR4(!oo`Dn4n`*6gYq@8z?0_JFRm#>lIF_Zq}
zl)_xYxa>1SmUVA?{k-Ien&lB+t*tkH+<ZIT;7Vo@r$qMRB&W2Zqb^0fFLyj*ESz~;
zb*^esvQFH=Z%1uUnH^bp=V@?{L!Mb=oYqItrw)Z%*M6Ei$Ms|`ljE__+D(^PziG4g
zd|x!7zQxt;@Vq*wq>Yk??oTf{{d#^x(4hsh=ZcscBq>e3JkM$7qPX_@nOPTBu4+1U
zKQnV@(6-)cgA~tY66dS`tvsgst&~l!PVU0nwa%$5i=!?DvgaN<k~DP<Gh6H9XE!{I
zi+h?~XWtWd%-&XPB(4~L=tA1#ISLNTK5kdxIRERRN>V)E-}P)8<CRt{n{Q>Ad%4~r
z%4kZD%%=#)nxL}pslL_E9ohR*U(|1COPZ>5ea*GXpcOX~#7>1Ao)9T%SY7nX%6z%}
zS;mH0)@xTTOKG}MdePx+{!yz#VJQn%Y@254u;!%88KH!btasLD5_;thY+~R3cU9Kw
zxW-E?jA>SLF6$>(NOidU+UddO)Mxxv;G#iSyL-nY0n>V?lgEGV<y-W<Q1MyyrDCbO
z^>Obl`Xt`3bgo`9PeyOqwCZ=e9iyHta<9*@X5YS~hs$8*9uYCCJ*R$LJ@Gv$P;zG1
z@2(Z$@qb>|aq;eAziK(9oALi;lex^U|L6Hh?^`aClJeTZRkQ!w6rS%Z6f_qy`X*W4
z6u7D#X!Xr;|AzNB%Gf*3@%&Gk@O*RchW-0a@RjP;bH!M^nKR4ipX}*FHwrk?BIUi3
z?)e&Q3!OBhN=)_5f&2&8Hj6)VQn!D(qinuf^6uP4HZB?=%NhI6i@am0C{Q(6(zV#Q
zG3PDw&Bln#c0;S5iX4?0%@h2sqvM$*{%*Idv*Gz{v2{jGUk~E}jr$8V116v9dg9<@
zx3Sng%vfAudVNCr{elv~!|lTSZ5oV|<z8$3TgLy(inI6L^qkAPjE%Nw|8bb;wkyk)
zOMY3yF%jpAdy8(r@BCq{YV!7xP4w-^h-)cRb(@)V(mH=#UMMoF#q8CMa-myIh5;%2
znDiW{r_AS^yMtwer*x5|tl>mwhB?17gqKad(D<;|jBV3_JuZdyHHZGVE;3Cyc*56-
zFL@pVxBr#}FVAx`T-Wu=ikoavcUh$Bz}%c0`U`C`y_R{EhvwCZAJcA^`<&6Q<@3Pg
z>=Zrr4W55^rfpxdfXzCTZL((GUH7G}%GKQSg1GW#UXDL_Ffsp|MVRPf_FY<LLQ<)=
zldV3h-fjB)w^RT3+HZ34k@bm{@~_tY*SK^>Okl6p1h-ud>(z2sh_L-Rp}PB+y_ekj
z#;i(i=^0OUC%&5|I<?D^TfXkkuSp&IW^A43;p4PYkNK3&oD|`EK8s&W&$0}^lBY4{
z)uc}|EG8+QEL7tuwv_8$b*$PYHbc{oDRE-g=?$4X@5M4$$}KyY@oLj!UK88L_5Mv0
zHx$MBOX+IOf2X7xdtg%277@whtg5sdN7VoADBSNYlYHay1%4K*sWaHO&9BI?yz(R@
z;rHd*6Bg<(_CA&TdpY-od;Kf-RO^t12H7>&^g3hg4)4w9_7?ia79nrozun&RtI`h{
zgY@kQa~MAVPCr(esWGcbY5mr;y8dSM=Q;KJ5~qsltk$@@y-LGL<k>WHAH$FP90Ck0
zYXf*J-%Bn|$@B{q++Uhv?LT4ektxOvpO{3Y{{CgSd&c?fWupZD;KTyy8^1db$)Djd
zK3l6f%}|Tk;j6)gcoUY5PnQ`n>3+B^p!vFAK6GZ>M-{C`!RX-7OBHKpyqQ0H>y<@L
z6K``bs6V8t7f|4Hgzs61o6Sbyyo7?EsS<K&T-#5^%yPPFKjRU5_3R%!d!D_tvZ@fy
zY}>UVTEx!(q12w^%g(5ll&1v+8-HUFWuJ55!w<b2HU4@Vx3beGlnV;iWGk8W#N1k_
zsl`;=R$_d>@Ofq7XVJH(dDp0j7Cmic`6RdA+Uj7s@tgYBF%!=zuGR}r&t1tsJ35a`
z$2D&6$;4(~M+?z5QFiSejO%6kZDmd<E|?W^Ye)T|BTmoH1#@Oj6pV<z<Km<d>h1kw
znwa9rV0+Wo%GKPQE-al@rc4X$)f!7)KJe>&y0b)m!6A_=CoQ)zIXGXN`|^Ee-1You
zDXqM8{kV=hR;iEcT~1}35DZzZQO~kiYx`ouMO+4#5?#M|78(9h=H1F%ZhmY_$G3w#
zFRrw5D!u265p2BlnQ4LcoQ|t&cOL9Jm6b5z)luEk34JkI@sCeT?|j##b@#>XoIQ#U
zrn&g;&{%OkR-t=kirIh8dvC<J7bO*1y!x5B(ql!VU$p4Uf{h{q-x=#!_!+ux8tsr<
zQ)^<&#Hl5#`M~_5(qDlsHy<9Dw9BeT(L0V|M~@rdbj!~Z^+M*!rls`-74AKueZfxr
z-B0Z|AH93EizRNf20b-mk4xn`_3!gRb`>4HfY4<DX8c!IIckSpdf%O>bIvMf&xNon
zua6iA%u;=E(^KYJcU7!}TT^9A{aw!YE|Ib`k`rgyBvoCS-u(My`irSs*j~R?KC<@n
zw;R`Gt*VXcJC`qMa}T|;r~3AfZI1)C@l|z7mHtz_CguLj{_43ipVRL6e&6<>arw-d
zMz{YnF`RbZs#oE>if!tp1J>#eQZtv&J^91*OWj6=R@bWNy=NnStv;Obm-~(LpWn(S
z>&4&AP-==^`I}wDaP{$AZ*|wN#qr6U&+eSExqackREylbGfD^KE9ZE<HrtrwlXXw<
zW<sdLq4`24dJBH)o1Wxcka0p|=Z2yTo}bHER6EkLQ|CW8mT=|A(nDIuj6!POe2tyP
zeDpw$s_$y!K4uF;j>A?D*B`wwuif$Mw5OL`Q|ElG7cGvARLHg3+VlMNy9K5vWTq;v
zWbY}87kd__zmR=RXsL{K_<>ssPR!ST@}@0H%yWgpj1~J2JU?xk{Kj8bgvXc3Shc9A
z=d@t6ZRc<4o_g~GM;arKJT@wE`@+#}X7#ju;pQhlkJr!oxMKH3j%#w}ZB2_Sy1Baf
zmYulWKWjsI(c=29;O?daJ2*aCeR;b2@wL7T#})bvE{DvM)b@3h95KsvNxH=xZhBoc
z(z)Hn?`KH#dfU~8v9iHC%;ba5JXXHT>pr`^`|gc~^t1C7eQrp<KQPa??Z(RZ<wqwh
zDa#ShTOMP)c@x9zt$Rve-k&t>TuI3kX3nUkZI5f!IXdilU(K`Ss^8IWe>&QOb=QlZ
zth;^7g-j!yCcK>-l=$^q$SldJZ7W}$2t30l5iw(%e4&DvTj|V=QiZ;W|L4wAOncfA
z`8a3qvOUFe$M)@eRiGZ-Tf5;w|BFWNb!(5v$fdsYoSyr*bm65Vi>5D{QnRu&DM#*U
zB&X+<P;Kwn4N>_Ud*du^^-fCEPqf}FExRcp!uELKoXE3T%Pa(pude&iZ6Ywua{6uc
z+w+Y7ZQhwYF=OT@ZOw=&KRcV;qF4S&xIN7&wdjF<Yp<Bl$EKXAtwq<GE>HfS*;Q8d
zs5LvnB(vjY4M&|_ZS|Db;(fQ%Zam>Ai#qOgedh!5|9g^Mo9{8E9NZG~@9*)%LyPJe
z&N)Bl@r~Sj=6vhBpzu4h8e=BDkFStmja{d-Vqx09*TpICzcuCFu0OHuE#v8RwTij7
z_)jjgO}JgQkMG2{ijpI>dbM#$-F^la5As~+*mi$ztL(LnmrB%rXxCr+e5pk3R`>sV
zg)gPnd$_OnRnj`^A!e}cu)vgPr4t-a<K{en(pT@L`sC=Vr_1B{)pZ3jCfNJT{kBi_
z;<4=yMUFnx_0ae5f3Y^>>zv;$9{y`5%{vfLQ>Jjn{lm$tEb_)(uavJWeKsY3<%tce
zFFk%6`t9`M>$N<lO9D&!AFU26P1EX1Zr;5{f=lH6E+1*v2+^`uon006i<~^__G&&?
zcizZy?NHw}-TE8bGz!aO&wFcro|;m9H(|NMlz>jDEx8K98tzs<gH9w*Y2!Y(<&4n<
z5wit{4Q+mXx-2!@S@2_34wv((=a%wUCM?L`=&`}$E&t3r6RuraDREQIvb?eP2a8b9
z8Lf9=9?nVIZj`+H&L^?VYe#~F^!hwcUMtoq%FQh{+Mn0i1$dj(bB4F&ENuV0OaGcu
zp}FvEf%R)HPB<r;)=;VPRGU2`Y5AE9^T|;YKD-DC)cU%9dQ(V!itXORE7{6-WLQ>*
zb}H8L^tHdb`QNXf#W8l9$Ito&SDF~KA30aVeVu=|Fo^3~`-LSsKkRlUMz8%?D8$H6
zI8#$xd{5EsH(__!K2AJjT~N<>*0Gl*ujoDZsRy+?9xs`*U*o3S74r-KLRVd26OwGy
zo^fjnv*pv(&sJ7=yH1`^`m{ocU7b5;RjK5~HxDkIjJeBug8Pm4+6|QsVUJ&Oa2{ex
zG?|*PCEs<Iqr&3mmWcOnBHwX6+gd!QR<Q5jigR`I7gal4^vIdaxWy_}YU+lAQT3BI
zv`-Uw_iY7V)2marL=N0Cv|xRdu+a1YS6{BqE=CiFD9?wfAtBYT=S)&nwq}^L`<VDU
zkyve(_=A3i0cQL6zr4xo65>9=AT{mvw633Pa}DI*T)Hpd5WSYOiBWWpZ;V^*R4coD
zB|S#B`pS3tQ*10UpLVXaPP;zyr-RA4tCJ_yS1>J;+##YNlXBjKf8oBEld`@Ss?7^K
zHJ!c14!o3qciMK%+xy=Z&rjJaa_f7aKIaVencn7d?XyFsalIDm6TiB&=Mu-O`;CvM
zYi|iv6<2(@&oiAfS3~NU?aWJV!G?SPC+22NT*DK-qRX-Ewx{;NbwTd^LYZgyeDwvM
zWmQPTgx2#!vW7jgp0|3g%o^2}l5_rZ<QNY3p4%6u;u-bpQ3p$@5XXkUI|LWr7ire6
zIMcNIiRTiTLZ)P&mODwlZM-pcdJ_~Z=D(Wc^J!`Ap|e|cX8+rBUUWIHmimKDN7orN
zoM&`e+*+&_u{~W+!=z1*bCqZ3>_dXBGuB%&AG_A@Cj1&#{cA(r%Ak<%QmbRMCwGKg
zs5-uy!E|Zv^N)R^Q<l!~UVf+P!9$kaQz{KrXV@NPndAKCB%@rc<1M8N#glLE^53!4
zyl9pzgZFv~6^Ex=mhdcGJ-JQ7siXby664huBE_pco8CI^abatlrN-v7&(+0zn^fQC
zOydQv?%n54mu=Z@);ztwy7-pU_01hRRUBHGlZ9I!Z=Czd>Ve@yueUSq<Ryp*>u1Pr
z{>=2)@Zh9-lCsxZPDeF)#B5kl(tA!@qPuf?$@M2Y7(RGxSyr+w@uS4Xt{3P3e#sZO
zD8BqGzk*;B>)QMs?-W#&;x5;2X5L{f<gzew%^st2u0qof^CncAy_65WVP5~=PvX&{
zbho%iVQlSR5}gWI`Th#{Em?L-UG;2y#|-w%+&6XZ?(ce;l)-7dYP#3iNR0^g%fZ_(
znaoj;*IjjfM(^*Zs%g?JvsQ2U=dyD4-!m%;Z>1?USXX@Tx&B;8O2gZJveled*W+dK
zPJFrgL2*LDzhzIpeO;wcWY1z5ec=cH@A}#^bK_UcQeNc#h^xrr)>)QfTd&rFngsoN
zh7~UV!}U9VrRA;O^*6rwa;fIxrWuab@%B#+s$@N0vFE?x_xq81)*3lIzh(A!^=Z|!
zODnXuc>3lp-`f3fo5dczki7YptBnmmIKKb){j_Y!S;f|Xdf19&4hE){nn>2<m-UPX
zrq6X^Q3WqU&h_){KIFi&_qTS@^l2$>w~xLSi_{PMV=?_g@7)cTHG38x`u8jMYSl%X
zNe3S9J$^fT^}dx{eKESb1J>5aE)kW#sT*6q*JFW+Ih&u*l)3*3ubk5tlX)e-mm`3W
zBXWPjug_e+e|$;tVVj*BDP#A2brJ6rDQ>ox$_I58I{uBhm$#7T){6A)#WDY#=3TOx
zf0O5=SCh#X3D)?zzxQ<;94$=g;wZLo&3n=+Z#cz-qgCeTn@7vePuO7ld&OEF*K~7s
zTieNS?=gVAC!9J<J)N6@A$|HnXBGvp4?zp96QXqAMltLvw~=uFu*r=5jHLg;^gGY?
zmsdo{ELOgi=uubc)^}~12kW{wXB9T<?>;Fac<O%6rtM-&&qehsB}ARf$b4%dyre?u
zMC-J#|L5<1^hPj;v5YaDarv{D%19N~+D*^5JT>%`4HNpCu)eWtap$3mo2$d7hSnzu
zl^e7-#eY-GIDNQyU*g$qceYR8k>6J?{C>ja0D<bs-z8gfvb0_`ooY!|{-n3SY5Any
z758EeEL@=fn}4N5zjM#>RR=W-|8Cm$w!C=Gks9W|b~l?B=*<1NPgh#&b85eH^qs@4
zNfDl(kIsx>{#&zYzh!U8RuQMO3syW&J%4b`p8BgNbL&!4Y|gEg(Let-@~Nc%`W#;I
zLj_8?HS?p6e5`YSQM>93>zRK+g*lZu0gD1wcDXFPlp*ry3!iT1>B-#Y&o^0Yv$(zX
zM5Ww^*LHs0+gi;FY_jj}4DU34cA&J*+PnXb>emx@10>#<2h@3H?~<H+Ebd*wq<R6D
z&suL+alTnquTpd(amsRs-Cnz^BF%1p=$cw;-X1CE^0W4(Xy=7zGX*<^t}n6S%8kFg
z<#y=as7(g@7oBYGSorkzu1e!l`ww%Ur{16DogS3YvMPG=s<&k#)ghfWOsj$)F{p2O
zZ8Mia>Rt6N>nHv%p6zTfRLDMBeZ5vpttL16{<V^u+jRP_rgGOS#;s{Soc-xm>Cc5u
zt@Hd>7ax5)E#i5X-VN?kk+-=jeB8F}-SgybqUYg{CoX?-VO+4iwYtJwjQ<bETZ5`u
zi<tDCR-fR0v9Q!@*7SLioi*2k%vY@SeDt>L_0+TCL2<5+?|!?ozvJ4c4H{?Et2aH)
zO>~&>Jal96%zmC36PJ5F(fnG!k5A;;>3u<kER{FQ5A3nY-YMMdcvzG9?*{#uR!frX
z3g7H`W8bjhe4wMF*t7J~vN-+D>TTt_EA-_r6rY^Y%pUC+Z+A0UcZEfkz|AWiSxy#<
zvz!+x7B0|_`=*p}da=^OGZ72)Z}hLQIJU-N-i=D$kNciPu3N#LW`F73X*>7F|LS+y
zviv>p##yUx!78@pzdIIp)$Ms>+i|;V>DxWG4V;thZl<p|n6T*Q5yRG6zR<ljM#dH^
zg?kUmwJ&?|{PaHN+)9hWqm@(d7qD;td|GR3hO&R~sq8DK*S(QvX|gj^;CWH2Jf(jf
zL%)-E?{3q^%|~UYo7}crYBSCGv%#tFZ%v+L)_e8ZuvQwZV_??MuWk@$wC^u>p6xqv
z`3Gm`hZ`TQn=9ERFQ747#ct!&S^Wh!BUJUamtK{AbnWiVX)6A4+w~r9%H8{Yt=`<y
zS7)_PZ+x*S(e8zAq1ed{2a+8$j@PK)zI1Kv+1<i5)0|!}ySMK0Y_s3Hws?Nx&Nxx%
zdBFJsgM@{3ecj#vlV+@Yy^H@#4VwmkzSfh_T`T`@4dYrEQ4#vU{gdC*9M8H3-pBMF
z%~`wq?)5E^b2S5bI?H)>t6Hi>%QaQ5Zwt;+`NZLL=91~(Pu-_xUygtHsP>`I`|B;A
zZoPfZ_5Pl7@^Q<h0;iT1Z&MDhWZRQpEA)Qf+~jL_RrxAs1<X5I-xhL9yq`7h$(5z5
zdvi`M-TZvg#ffH5YbNb7i_LSMa$SS}<Gbl=H0JNT;Ly`GeZI8#Ti!j-C&!2Q-d*5S
z=TiMK;n%jg;lVtWtaraN2`#)Cdh}CRu+0aZFTXc<^*o5wQvW&m)GB@NX*Q>>*&cax
zH|?t7$%)4kGPL$H9EsDF?bxeO-*BVb@l>|Lx1@qk+M4e{!W?#tFP?;JbbQj0`&KpK
zf#`+4r_xLIF#pnuJ$=gfLLAen{432T%cA6(PA-45rpEhJwvoii`8|I+8F!hp+BcRN
z+&s53nAeUeb?US`ZU(!y@@>EV>{3!^?Cl%d%}gse{ybCo!LlZ({dVfj+UT;^_0jz+
zZ|&T>;1hR-=}!SUsf=4WvXhsH<<5OQ`T57}TZUVgZaclp^!B#3Hrt$kC)|F2;)$Wm
ztnx!2igwESuQuNLHaED^r@c(6blusvE|aZtZ@Yb((OY}^Qd)8LT+iFvrrMM_pWb$4
z$%Nxs8Om47cKtk_k#XN6^_HJGi`?vmmC@U@>*pFi$tm`dYpqi7S65gveYsfc^LKle
z82+3xYweXAPlAsGtuF9WnlvdW`I>8PQj_}%qY@vb)EN^c1qD54Enybo6kvHAVe{JZ
ztI&dr20V*3x|$8z9!V?`NonigVO47MP*7T$$$Wv4qhZ_WN5{DO_jB<ys)X!MJX)iq
z6x8<Aady4Q(hIM}&ofxbItHu=U|POsvueMN(!xKiJ9JXgG{4+Fc5$QACZ)_E;}1RU
z?`^*qy;{4SMfXfe@U}$~wT`c!USVzBHN*Tx{Mn~2HXkI!rB`>=%!!=mIBUc7noa*1
zmwbAemMZ*x`Ty<PU&yF9i&y%df7#$8Zp?kl!&Y`jK}^w0h57}0k33IJwqd(JJ?hfE
zhLxdd(@L&NJ6w9_wKDlm)xteBu___otfYJ<ht$4ho2B|>_1-u0xUz(KYa_xszT53t
zU?=3$5_MR9)&k}(fBt-xCUcJ#xzhq0D{CziR<$kh$b3-Tr>kRrDy(^zeBLFq&Pft-
zt2Qw$6#p_!-ults?cC<|R{xhc=qyl)@4mERYQtm$f!#}1|2rFUa?a*&jVu1>q(**K
zH<L})id33j9VEKha2?-g`^Wd3jW#O2kvq5Ns_oRj?-#M!KUDcA?UEd7^Nnu{FW<?F
z^VYnneE8bv>yj<8nGdszCzW^_SM?Wnq`L+Q?DjKS8gN}KBh*IvS%Q)@17E$ME=%La
z-&vXS)4FxnCOR8Baxb}P{G$JIllQxCUA<CEILsKHte9VT?*yl5{;CC1PaPJA{Mhj8
zZX8S1#N_MxDtDS@y$WUD5EOFuT;-b?I-9GiXXKt+d9-30)0%!yMw=Ns1g}I29>|jK
z_RyUxdUR{H>AGiGoqf>_e^1$OSm9c{zTQ1>=kL0v(3L`(CW{0tQ`cH(t`o4ob3k~)
z+BZ>Wt@(<QeELmyODPz%ySOkca%f%KDXV|bz_!eK^OfoQx-+jfzTuiVZ?UXr&-076
z_S=q@-wkrtJJC0FpU;6i2NN^6>}PS5g=Lhz*{tf&Q!J#T@Z8v=n(@Vr95bdTZ}a8T
z#8c`$Jq!1$URC#=+;&c5_C)=TB8lqy6VC(_UD&IpdhKvmdip<6^<A2Q^!EvcYgpH+
z*7dWc_kBzJ%f2|!ILQ2@qJMSxC!NW?B2LZM+jRJyxA|T7_PeUdxzU}as7*Fxk#3jF
zIq{AgAAR;&O7~dAuPw{#abpepbJ9QE?CGvmMegbKM-T0pz|QH_bZFCM(+j&d%EmtX
z6EriE@y3Q9z81ThxbE(McduG?9@`1a8wY*v=Omfz5<d5T)@1(A|6iD@G_^6V^Xh!t
z;AeMA%%P=mFURt@urOb(ry}#GY`(x&By`Sd;fZ;j(h4cwOAbx8d--sA=EX?C$M5&d
zF*9&=3A(Ub_xyzVO<}K}8#Rj^*qHM{OQ>UajqaBw+u2uxzKH1@(pl?sWXkvLNva>Y
zk6pK5(2ludY0ti3oByH9Z)<-atKDh6FYRC|zh<t(H+8e?x>*(p=O;B}>D=p2x>U!}
z)xnZJGyMqX#$(xS9y>#BKaG*#In%7hDR3b2wufb?@T`;bDl%tH)~nwz*>bX~<5F&Q
z(fLyra?aoIQ957bQ-!#W+JPesTHU|xZ?qK38J^zw>C>Y-H(V_*ruyy6EKxN(_x;pi
zac7$ZKRtod)!}X57eDM>vF3T){pCN)e(gT2RD1Sd!-~vFxjE&jsST^N`B<$sHRUbR
zJ~y%cE|Z>@{jY<U{;gORbNVIAgnFx6iR*69=HcS^Jm%VP$o?HiT~UC`F;mrEYmbJc
zTm4mw4_W6YG&CE?e5{SAPh)M}WFTL&a9Zc?+K|po_Q$V?nz&kc&MMyhH7{mO-~O#e
zQ__CCxYf_De#^eagRT3OtBua)ie5La({(RT#GW;+D4S_?l|$y-`+%xd<|P(CrE4U!
zm{EHlEYD|TEM#I}V4kk$5AA@wof7YV+d$;#{qLIVw0xO5|7d5v_!ah|!SjM+^g)#t
zCG#@r=H&EklY<u4eLtER;2fcuKUwXQkm~dD{`__RfBvv`tu|F!IYFxK!^{bsmqI>-
z@z3*Kd&S>n*OU^u*N?tFW89N}_dwR}w`PA9^?X|1d`NNA!t)Y6JPQ(BMCvD69yH5Y
z<<q$G)58AZBg#z=C%FY3Xn10x^h$R6wS&u+cM9<2b%eami#9iLk8sG7&c40*_J;5;
zB0qi?%n58;_?WfM{KWy4a|u2{4SW@K?+spT-CbZgW5%{WyZ$p|Ce`rTi&%=x5dXq=
z+jjfsHe2ykGQK*`W@+#mJ)ZN)l4YN1{Zc8Te<zMMUDx(=nWWI?J5i@yK55a5Iqe<F
z+d@JbTUR;-yE*lk%re}_(K*Gb>1*_ffK=C=G1nFpl|5uSS(NzNk(2MdpN`O@kXXy`
zARB?TbA%^)vMvu#uYJzs+gko+P5N_#&n9d0%03F7TIhMo>HNlS-gO$MKQ}+PP-S>D
z@KwEK==-=G6Eu7~c~srDWhQ>jTBMq4xAWxwWoM>KywbFC%DsLy-!@^*>bsU_C87_B
zI=l8nscwt9tp8-I#K8*NwN3kPx9n<B^RPW6pt^K=RFQ1>?||sdEcMHttq)+^Y%nv>
zZ^4d*Jul<l_i@Xvn&ML8#<`DOyWfzzJm4%VTgW7j`pa{sWSd+KJZ9>9BF<^&E%S!k
zDtYaX_j+Y}O@4dn?a#2xO|eq3-~WI6)zhh&baZ|k%ZH{XEe9|B%IjTwJN841(WkGr
zKMn=Rf4;lGI`;TR%P)3m(aGh%_%^3_sdPxbh!AQyE&KKQOOxxb7L;w9Cb~XJ<I?oQ
z3o>K46N7*CoVWhqS}!pFUHjw7vo?R2&vs&K|DFXauLnK3weI(}y6QN)#amkMzT9o>
z^*uhUo&hx(ulTWckvJa%!%bmE29e1dnnmi%Q_@01SQ(f>JA2>8-rlr$2Vd>{^_&uK
zChULd=X7<?oRwC~U)B82I60v}urZ}sHGNt2w=aA*E=}4qO+Vqp|M&m@-k)Fp_j%Bt
zhqWvI&i!}oU)96-*$-+S=a)*a3ix&C{>#nZb^q3Vc3trz=JorprgL6+_%1cOzVpW<
zuE~eHwe{<l3;&&dZTEMJ*!Y--T)h7qyTZi7Gk@eIy_>ox-dR@5YnR`>#pUINj^}rW
zckO+9`|p|uwsZbW{daBMnrN*M)}=Zu@8>T6R&M{@_jt^Td!P1Yum9fpzxMZDe}OmG
zKi590J-_e0@c-&>b@PAUU;h91o&R>X{vY{2ul51^KmJ#&_KrsNFWFy~oNe=x_#|4}
zBpIZwy!Y~X(+w{l=)D(NxBkfr)%)gl&7Z=xs@?bdiuu_p8AabaQNZ1H-~nqHXQg9c
zY^TZoJL`X$U(L1*a{rgjzkXg_)~0G>QBL*WXI!&Rncgz_ULj@nN%y105r?V8&n30l
zj_&YSpkz_h)_g{pStlv_S$*JwSGvD7xU3jDX6#Ot<&<8R(W9Bjby)51u~R3l*F~5l
z)CErdCEd09q>a*<_7&~VoOC5~Runa!f3ogiir(`V90|T@OgwgKsg>>_2Q--1CW{tb
zjCpnc*~G~Ui_IgSWo|h>@rM462|qPX{WbgY_(sm*9B0$%e`mBT`o2C$!0v-hz4h;l
zE0(G{S@*P8=jzy>->H47IRD}P1icogh{bi@rvs*1Y`1<Y+iqVy=iJ=b{zz%@*XyJ<
zbhFu(9rzLT>FPZF7rU=7`YSiJ^4(91d19?M_H-BX{I~x7;z-#U+f^TStUIOqoO#je
z-Ic;_jCPaDdl<ah&$Fye*qnOr<A#UNr*^%spW{83vHQ&BsEMl{25&!>uC7sY&iW9;
zjSj=&(uMLWk6*ZNinnAlSj)R3%uj7b?h2bo?;r&U_7f7Py1Wjn|B~h0C=&lhecGa`
zzAPsfJx3MBbnXL-x}N;H_cizH(YdE~Tkl+Cqqw~0qpISp85=lWY$`B)y)i%e70ci2
zXN>vwT`#InP+PM3u}zkI%AKHai%p&VvrCWv*0EkFb*I9-ea(XY7r$@)y%zU7A(^@T
z-r}3=>Ob84cE3A3M@i{>natYn2VECy8TPv?WY2madPG=Wa?`bCy#3SG@W?+uDe-Xq
z@4K(9*_IoYu-`d*k6m(I>@R@=^;KQMlM>dS@BTf@pqp<;A45IE49%a%@1)8fxjWUd
zNvJOIPmh9{;EC3(GbaU`A4|whFVTB^c*PmP1g?&ICn{4_JUG9-W8iMcNaDN^)B9SJ
zckM5!6_P8?nb==<a}(Wtll$-fFE^B*aJAcuUHIx&mHNQudt2ej$Ls!TsY{;g=>06V
zKFe-h0UPTx{lym({~O71*K<X<rMt48=BRc~o7zw<X>N9vXX}D#y9MQhvn0|zt~B%J
zOp?-i9=md7tLFY2S0+g<61$WrE7ztdb1X{w#<9(do^N)FmXYiBau>~Bk&-HM#NJz1
zD|1Q7jx$-@&u0Z)>X#0l!rxkLR`{we`{V3MdG{PvELmtSAa(JwbjR6@*Sz&-BwxNc
zHFfUsJk!?7TVgTCLQK!h$Tj6H&WLqQmfLhv_3M&(=PSK}dtVn-{97z{{((v0ix)|K
z&S8#A@3;v)UO6j!O5s;Fo{iRVrPtEFv$RU>UVc;H=G=;}*3POMrR%lAS3i2iE0Jja
z*H6{pM$5}(FA`fnB<$Iv?^ZU8X=WFfS$&f6f}UOv-xaCK*Y3TT)HG?mtl7h~J#L{#
z%0Hid)e)~TsqV<#p0iQU;_ivQ+%)6OyxZ;;#-~=?IIO;x`<q~%{+I7u<sa-0-P`T(
zZSU8A@?DSrHixVzU2*JKr@5h(mdnIfcbM<6es@^SV=ez=QJHbK<Ase!IsO!}#9TTz
zC&M`Jas8h9MjPpS`#Thc?=6nnzj5N@ho8f4+Bs*>d2GDrra^Vv9j*D{Wm8LHw)I{4
zQFZ8&-*Wyy?mxc!*<U%o*(?0y9`|cb>+lryz%$)fUj#CJ`!IWV+9$cP?5?LVd9x<o
zKJ}~Yj%3eQ?h{Alj5kaC+4Fyk#KCS!%jsKA{TAQR`}rU1r}~_V|4MB>75!P<uXo5?
zkb3@uC*SPMJjLy&o_~C!edPPyiZVyOK-sG{@68`eoGth*`{e&QsaB`<N9Pu#M>@<4
zmESDTeDz+M(82DH^Sz^<{^h=NtnxoorP-c(L1(o+^Uw1A*b}<#Ji=V_)6X4QcSxMu
zwrlSi+4;hD_4(hJ_dI*nu3h2kw!E(D8T${`xNMu?&&?cF?it)N&YqjAd)0mfinKD_
zD{mDSs7e!l$Fm^h=$=E-=RQb3l7IGS;hTTAUu|nRr)X5X_|~%<HrBino?3lg9q(@D
zseKcFBQ<;LwCb3d3F}HX-udZqyO!OxCvje@+Be;hUD@jsPe<0j@c!2O_H?wL)YAP1
ze)jTb_p6-R$@aAT?WqZGkAJQG^;<pT{H}KwtS@Np$r23xpmf|?JiBtAPn*Fad*zJ_
zSFK&KHZx5-FHkV;TVign(}O*0p9`8FyJ+pQ+u?3O<0Q^&{fB+?#Lp?#d;9guslVgz
zzIuV(R;0=y@BV?p4_{}RPpChkb?K-|_96Y6{H8Yr^&#0j_Br35a3mjj>aC*ksiW?L
z+uM{gaZVE^UG|nJxv{6kt^2rlMUUZ*1JcSO6{qV$Z%8R$dz#S`myo8gYl-W^<L<LI
zeGrd$H2>on+t%%icbb2l-d7lW-st=)m3?1tTs?Ab|L%{HJKp^0{liz>E5>?3tlsq6
zxwZ0dJ+%8Goc}T0oUr-YhNX|cKbKHdo${{cM9hQYDcQ4DdKf=d%--QU<9E!X--dGG
z6ITQ<UfulB&o(PE*3lwBka<f-V94aiElumLZ2aUZ_TbUiuXE<I@0py&UNdcnrt+4P
zK9Z|7<NSVAbx!`afj3>|+l9^;laAmAif8Xz)!&pjbaS?A+Fpat2By*C)uq)pc(gLs
znwxh72VG#SK3dmtX<OZk$1}fg_J1$Ga&<cAOxCwLiJO<sQJpd=kE>YY?dvuzONGUk
zQ)ZNh%m_FuGhOuTnTa=p&&Vvc-FwFHR#^A*R}<Uq4#r+QZx9)9Qf8`d>zR+9uPmO~
z9ZLUEReWMey<=)g^=Gz>t)+&JDNdr7b#7idVv@W~#PICgQriWlg?IbxKIL3ElI3zN
z)kT`gsF+L8z{~35?C_HkXIC%f`LU@|JA98@MbC=l8H(AfB+|SCoI7nL)h<Y0XOumr
z*#3KBg*|`Q{e@{}Yu0v&zwpU;!fKb?RoNJFsee{k+=DG^m(&~V+V$Y3gmSD!)eq?s
zKIdG=%F`TA7kB5MKdHr}uW7oPqpH2;$gZ}>)f{4dGq1eYN@~6pdo(9%`|1~aQ_rqj
zw0&*3eN5#s%fo*-x{}yFTAcHnTB`kEj=bQ6=nLx`O6pt=<ar-wdiGOLkxT!@&U3-c
zZ$#cE1>HO>TE`GA(8>9<zUxGfM(~j|ze*)9&&VwQRGkEq^|KB#e^A?ERHJae&8bat
z)3WZwZ7QZ2cCp4!#b!J4@xDs<qQt>$C9!|Tp(eg#lAD$`7j9egGU1f2S*y&ltBi6N
zABbC5_`E%|RC;|=$@}jefhBkUU$lvLpU`*xb%ctX_0nmP$!njdEA<E5V5&D0yEl0~
zXS9Y_T>DDHtlYk1JREOqo@rgx7Rlb@b7S_s7wZpq%RM^Pa*eV5ebBu&Maytkk*+nO
zA3grqrn#RnvniY56T0q1`<che^Q!MRDVTPv@>I!8yYRv=!fErd`$~$<y9FPK1@hcn
z{m|C5c=^At5!S9shTB3MHyyk7B*s>|-e~LRwMQ=773EI*7Aas6wIucBWbT-=T<(SI
z!<*;V^hdVNmf2{eZ&}qiS@U{S?CIjEPjcqO`6nA)(GX-<%;x64yioAn59_-8#g;R6
z=0Em4_I&%qP95`x)#fP^ezr`ywfT2O(_bc~QdW)`JS!a>p0ldTY<TyNBRc=g0x7Ma
zoP*PIV_78Xled{P+?3ipuXo4c=WpZ{gRVC=oSY!UQj)esA>nneV*9Zx4euWw+`Vn%
zI(NtPq`oZ;Ua_LwJ9spc85c^H>O6SOD;2^ayQE)Tc$Y+CVEADR<1!P2bCVAIVJ_>~
z)49q#x&M8Ml)C5q11}9$2S5EI({J|vdB>ZA`o6Q=f3Cmy`Mmx_RWO@rgL#Lzfl$IZ
zhx7Uf7u@R-W69ZT*qmh{+{UHew2n>A;>yhbXF6o}*>6s6ed>H~L+6(}Zl6uI+;O=4
z;rbeO_iTZF+ue76*=&m_^k|E#K3>XHf1K}8MB^q$$xky>o7@}EnomD9In^tsQmeLd
z)w-HnKbh~<>*_wveJpz}aoeTe_hzkLmKB`%_`Px2;y6Q<?|V)4ukTvdzav;<QTDSQ
z&-c~YX+lP7?_xO*?~&OkRQt9%J5KJmjF2MFr@oW>>cd<TO_O8w7ff2d{QcUSp0}7?
zOBQE~cN!nQt{GzN{Qur#r{?8RzR#y+35Z;A<h9xNq~JeaWmY}M<-O6fr#nPE=H733
zRQXBaI=6KHkIyfh`Ny%lUEt&0GmL50N8F1U|6i-vG|{j+?%qW0MJF`v-k;;Imo+>d
z`++CwlKY<6$5Ok}-vuWx=-+<M_^;<HN$V*gN#8UYrV98ye6sbo-rZy7o`rK3msj|`
z3h$E7UTdVuRUqdta8dK0QvIctS@mfQ{|aLpRweBXPkcJvh<lZ;!Grm%Y-+9sY4K6_
z+bpJET7Tn}U*q>H-%Jb@|F7Kps;B(aO*h7cS0^V)teX4WlJoeiOJ1j!fBBPBDwq1h
z_gLW+|Bl2Pujc%0S!>t#-|$qC*g4P51|QZxs8!_mH0(KE#r#owOY=OgsXyh_?6dbQ
z*;nb#>L#;h{p6}^u{ReT==o~Jv213=q8<OQ#+|#ma>c9Q5A|q6B9S+~>oG|)FwB;o
ze(^n{czs5BN-Cl+Sz5{JBXjT5yG5*Bq7ipuyLMZPq!)HY7fwIAFyrH+cX_Qx6AQbt
z3-ymCHa-e^^Y#1w_p0CT{kcEW=h+GK$&=5_e3D{Us><SG!@$tM)WHAwdil;Nd76#P
z48I;SGF;i8#Jp|GuOrXizF4rfmBnF4=ih|4^(zyf#2j_JG530K$(J76`YQ|EFQ`~c
zwKY$BzSp5L*SVqPfr0py%`aY;&dy-5+OtbA`M%^l<HJVN?n<njG_%p-4!6uvvGN~}
ze($-uP~m`E&WerBQ;wVQ23_S|c;;h)l#_1gt7XsjY}&j0$ITb*27Djau7B1d?ZOj1
zafwRI4ZqW}_1}{e;!YZ5{A}s^WfGjTYKNo8V)LNga+fD$J>9bX!)k%`cWMtD>00%Q
zS6j+-|2glS^{0B7uT0Ee`@Yiq_^IS{)_v=bWuD*0a^(a=*wJT<48>XVjB0;Y27F1`
zXL80jB2@7i+rGSQ0du=QeB1fqjQovx3XAW!H7#Dgg8k&Qm(j~&>QD4N-Dvyz+gav^
zf7|m4RN1XMlya-J+rxL*&0IEbR?Eh_f%^I`|5w_FuSmRLezSV_h6|gzB}4A+V4me}
zx4LIqfpGG>yT^<&7i*oazRbMpX2fA0&DozCZDP!l*(-y5p19lb{_*p>>b32}>V+58
z7Mwqwc34!}r=Qt8eydW}yggUy^B=9fqC2Z1<HSx4;Z<)0X4W2>n&%=@m%(aNSED=i
zvS00lloNA>!v4<=4rBQA|Ln@;2B%}J1Q;sLFfd$TtYGlgE|1Pu7xpug-oPuvApPO~
z;+q+VbJyywtd833v^w(kT;BAx5BF~U-T8?>>cLc>z~IiMx9VJ0#%-~=kYHB$KC^z&
zuabbAZM*FS1Xu$4TOVis3X^nYZ?^nc;=wepJAQus{%!Z|`QLjSzIH}_HqYxD*CggV
zzMjS2Ki9=~-`tJs&uZ?ux2E!7&QD&owHp(-Z}Y~=NAp%yHQC%(3_i0`_Tr>%U-Iu>
z(msFdLE-P|`6(xP3Z7K)$3*;$)!VsQg8gQ7Uj4Qezy68s`hRzeLdCO<VY6#3zJ&4p
z*!o&=(TDW@_f2yz=6M#*-Z9rdB;bJ8Jmrcxj~<j1Cc0>*{JD02`SJMm$Fge@m8GjT
zGsoVLo2O?VS^WCi>&V+#GXL(nX}|3K{o(Yhi}is4Ih$`X9QwcaSK{q!%hP>Iw~7@B
zl;~~?jkc@ZUVrh|)R{V$-pqN$wD0pfe@}-lp?BQsS)YtN*Zk4QWw^$ydNo-*Anl6R
zjGr0>o3H(UEp8~etcO$oo%k!}MblHSO?xGpX_uV7LF(e_UahRl!W|}`SSS9dZMA;(
zt&MM9iJkh%71Oi-sW9#^EP6V#>cE7_lXG?3P0n~FxJjhDCC`0UzpdXvEZa$}+nIA^
zRLE6<f{(hd1oF<s8Z~}9zvtoCi8FKd1%y1f`?G1+?YAoy?GgF1*jnax^7qM&a<k^U
z{w|x9{FRmCRQIQd^Vid!ne>Z3X7-)B@JE^BdxprJSNdkE^gKA^8M<w6|JhS}3{+xY
zs2pK$-qqNw_xvvV#BKZa*c@c)Qxcbk?=F>(mk2D(mS8tk`rdWq<b}IiJViI{`k0u;
zx%KJax}_1DtQS9>vt-|rFZS<Rr&vEcVq`aSu0-OT&kv1v+}UH;mp84_@&24YJx8K~
zg{t=7|B&-BApgf6(a)#fzPkOl??b@(HR8upe=@K~TOE&lIxl`Ld;ck;KUXIOujao|
z|M=I>kA3zj-;W>r{PgU>dmDYrx6i-ezWnpc`wvQ%JXzrI;_{=4KdTeY|FSxIcgn|e
zFN12W>%TEK_D^1v^=e6Bj<{0K<l{@S3kn|=ZK{8fsT3WW=c=Ifh&`8GYxzcF_lkoK
z3oY)MdL}7+tCd$)D?h!rO#jQnlbZLeg#8%4UY*BOpY<i>L))4EM^x7FeE-HB-1#Da
zNjR!$*Cerj3-%>``l=uCYs=~0=Fs|E)?Y)`-rKS7WHCFptHYVud#Wx3D{ibeaeTpZ
zYRmC9hYx43PO*wv<D;?3WqsTUR%<Rr<Iub}7x>zFzot%ZG`_#|*rdae8B8baU(Qrr
zy?xKYuZ!P4eP^p!@3ScKYiq?`gDo45AG-Ix;nIP>4MkS*JH3kxje^o2EIFv-J>iC$
z`(Bq4kyNwuL8;$@8iVE6uXQt85}Es`VByQqpgjQ>d|xO&RlL97cky1eb`4=ixz*ZQ
z9E&4<#$3$q+G{xJp@{E`x+7)UCpf2X{~gxsWpcl0LB7KhABAHg3ODOLWKJtA{Fi=k
zsoOS=?xR@??zbB)t64B>@uax)(wCJvQ?wK={b|&x;-7Q))?}Wfr9T4BeYyQ<&C};E
za^F0-u+rdSQ%^wJ-gSEVT}d@Bc5gV$xc!-W^rN>-%*%I09KJmzcUzIoh6T&Rccn3l
z6l^&i_V1W#>|}Wz4|ZAmSJBgTZ{Mv?eY|K@)3cf)tK!pD+v8R}nRU)A<BDOanKygw
z@6fL~VI~r<HhwI>ul@JU{SR^}(*GTHE?#K4;OpK_(Zwq#8vmG-lED|b{^FHizvA9-
z&i*Q0-gIV3$_tN(qcd;+Q=Dxo_k&|goYwnU^R9F3{U`oMs<_*CihRwK9f4fW7}Sk8
zw;iw7sJBcLp8B7C*Yw8%N{Ul<eq~r*v(K*6!q)4(?1{$Nhg4=nF8Fg)?}@^^d(l%j
zzkjq$CU(+MQ;t283u+&<{Qr8`;r_Cz4n4gb+Ll&bN2CrfKK+ZaGf_yrBtf68Jv@s$
zUwht(Ss~MwZ2vQP<zW`j^@8T^+``Ai%+1;VX>K}gVpVT-LvDh<&>OF|-5Y%WZAvx#
zQoJ$#U+RsA^RhzxSFcP~^%7}*Yr}G&bMgMK4-~$Az7aB$SK?hzU+4CWb(Ny$j(HgD
ze{5y+=dkIi&Py9a^g<pme{1V`GTqtbhtz^sj58Iu7^l4cP<QED$h^OM@A;YYEO^@V
zkSRe-L7Cg_ad&m_gZi>%5_(yyk8UzJBk5JWzV$(c!n?|+xgWmWF5zF}!PUoa>5*Ok
zam&B#ygfqkZ_J`NH1)N&MtwXXw#_PN9!o&}4z7QfPI39$Sqd)gGdOb9On1igiJuZ5
z>{(UYz0~2cMTFlC|GA#WzOB{JZ!~}ZIQZ|0fEUjly{6r0KWs1Wwje07{#YiDXw-D`
zg;zP>-kiI{JN*;K4~ds8$G1M+D_`?mcSE<@mtCSSxR!nCGIE)k*1tiKo2`}E?5y4F
zv;Gs!rFU&<zuw8Wxn5@P{P#z`Muo&jHAfs&F5x?0Q>foM^Vq|O#zL8Wx{nWQZ@*@x
zUomOw^pbv-1+I6pv_y{II{I``?=6>lJ(C*MeS0SVu&A6Ce5kM{*44L4veo&wf2PC&
zTYis*)Oizi)%SN~t~<3p{FSqz^0gy<D=tcYnZ&=hVQa?bb1S`XTXs%WnjkeN^6H&B
z1$W21Mb!~({c*E{+ZSAMUzI&&%OgkL2g2W?T9gE9t(j)I9W#&9{UVu^W@*h6X&roG
zW_{zAFAsSvBTKqgU3%^&R_3!jDcD@uvy%I?!%u}*_w1*83jfaJx2rVyV>u)Kr0G4*
zqIC)359X@y7)(yiy%OM1p;CX4X-;!+fpqL^?}$rXEQLbf3npg<n=X=U|ML8SP{i_>
z%XR&iPd*d0R?%LxYoYDEPCjdY)6jO0)-Fa~!RhrzjGZTgcKl_1ac$0FCj*C>nR&Xo
zPb+5#x;V^Fd-2)EF(LS4BIEMM7j)DX^Lq;|3wXkFNA+UIgtIOce{E!BCqB`U2@@{~
zo%DZIcHF$+lU%L&b0lO%pIm8hcG^69S%grSqkFkzOmOe#b<JX72P%`II~VNWo7L)_
zkzvmkV_=q0FBJV^Ij5+C+T}@e&$}E=(0l*?<I{ul*middC-cmH`s3P`(D-lN+?(3`
zluUhnJs-d26?b2{Ve+Abl-#?XU)59(8ZKJ9nCt6_9|7vzd|SRQ3GAQ4d*9_4D_^hM
z_CJ*o)0*Q?Dd!ro9bVdezIuz>s@wXqS2yQ9vb3ML;!n#I3Ac~+ixRlvTRt7{ux-1U
z#WwE>@AZ#+8uhNv6-!tm{L}vI9{q3IeV3g(arr{cwd>8iEcW-N+wWW3xM=>Ri+g?_
z=?dczo7THk_SnJ|=5e>z^51R@u$|aF<Hdaah3kvYl%A<kU#=Ihcy8zqIp**`9TOj0
zOlO&|qWyN$0*7w9e_PvkeLuUXe*K#MEdPD8tKZD7v~9Bc_VilX-`wD3^?Y(OUn?Ka
zD(7so_mEq5gm+1;=mn?AMox>1{`QHR9hLa@ceC0zLk`uf<#R3_e#y*rw>+dcM5$RI
zZBnb|`4#`32OZ@9wQZHtIf=~3PTtRwZEoS#m-eNY^OT*w-W_n|c#`B@ZM&lUJL2^x
zmbO&P`4RAO);!n8JclwT${5Yk%m4lM`jLO8?MMEt+dr#+UdKvrzlgWHnUzl2F4kIP
z<Llvkiu3r^=kD%uMjUN1%kJ&XHM9?i*Zs3&y_a4?#Qnc~)?(+3O|SPh32ltanc^B-
z-um*uY^@(`S3eXVpRp?8Li7%)HF;YqbJrVo=+$>+Hmoc=xSj3nk5>f^c7ki7+F!6#
z`LkF4oce3Qr)ArW-#JGmd@(*Fq`z>DP+#6w1GRMq1^3sL30lqIS^lIdNc7>8XHIh-
ze9062d&gP)q2BLjc@y+^uVa6v_MC0~0g1o7i{q2pzujWj_6dAGMY{IG#faoNXGLBG
zcWGxE?vUM~Sl<_JeS8N`;esRk*KfP?RWWIKhI*1e^Yn*|o5d4W8Y^AjHD^!cedmaT
z$XSj>9Hp_2?55vp#Ve%iZdj_B{x-CGQa3q$zQ>8z^|v0l@fvc@H<Y`WV$8DSEN6~|
z`SsrgH%$sx9FlXm$<wF!xkb9bf68@(?Mhm2uF6V(*-$1lX|8y^<nQy_m=>Qn$~K3&
zNMqB??B?R22L`E`a`IPtUd|K_kk4D=HeYSEnpuWM{EpeWfyxr6%g%1=+H-2Z=Pv%^
z=6!SYwX>8y9_wiDz2#gdRHxFqk<D^L*`Ej1KQ^U?UCqt&uQWP;^|bi9J@ZAKuVu*@
z^lv<J{*QQ!!~9imqO#J}4C;d)x!5;G#YoQz<4etbXx636cHvj^7T<(h4eCGNr>-)}
zEnM3X`&vb;Y?ZEJV*u~;BFV#F1jJ-M{D_`%=fbaqwwET;j_tHHjQO=z_fGW3@9mM|
z-*)Jnjd`EHv-`G&$R<taTE9Pi8B;TKZfYOs|MJ25?GAmPttY;yzf!uqU7)wG{=>>Q
zK940z`X<EunP@sCy>M@i;j~+|(Wwv0C3$as@Ly0~CwlNpvQe2?jq3;g6Q?h_`I*Uo
ziQ6dMYtJOEn|!vq=l7JSMu(=^ZVf1Ssx|%9pP9m|w#F1F&xm^0yI)L5RF$RO{)&<L
zw%q-jt?H6o*etVu|9lX1M001#k_UFWOg8nGxlPL_+Fxg`U8;7HGf4C}(|$c;!JL@7
z&>gc`YtJoBR*{Y^6aQntvW4U8<nnvBIMq5NP4;I*Z_Le5czvQ-`mp-9H=P_?V$W{2
z)10wuZI)l!lZ~_a*K@A!>;3$9mF;9x|8fJ##g?ypW^BCrC26AajB<mW%HKolG#9j0
zwH;n7UVr#f)n23W2!=gZavL*E-jux%+_LeA!@;v0hL?^nDW09;U)ZvXY4h%I(R=DE
z<lm?7sFpdEHP=5-V$l*!g~SWH|23qa-u2k9Cu_|LyTv(^`c-~CV>=&rMe#d(b+o>a
zr0*whU5?)NJCkP}*<_j!nC2&-`?pc-l*!GPuT?g-t$C4FpT4ShQ{KtoZFU{k*NN}8
z%QDZcdv9e~ly~x|aPbtQp55Qh+Rc%aIQ+8qrC-K5kJ&mqHn#arl3d*xt(SVs(CW~v
zgcUmB+mcTmb)91R>+3|>2-n%2dP}`$*O;uf37Pq$@6fNiFK_Ir`NU=H`+BpsdSF`3
zix(YBdG~&qxg^YWlIrz(@k1(4|K9MASvC3JcP7IrCX1)-?*5bW#iV$hjLXaylcy;&
z-pjb<c}mbYTd;S7e7&>SsxPT~W~i<Gx+V397XSCie|yu7uEq*Zp2j3TQN;S=mB@4V
z6C}(soaIh-W}p4f*!5rj!lDf_n!cwTF7gLzeLg4E*rE{q#o=XFOG9Ah`5*PCYbHDj
zZrz}CXZo-0{j*(IEZ%pXsdMiMO<Dcq=h3c$t*;k5t=?yUzUThZJ@SV%*lzZkCS?Aa
zee|cpn$U;FzJ}K-9cwKvPJLte#_Tnhv|RoFpp*j^4{sOobT2hX|8DGRF~{QJshX{t
z%FCWRg|o#S*;pnPSvPO<qzX;P4{28;?$>`5d-~mErr*&6R;%RI?bbHhwN8DuL%_Fv
z!p~F@VY#y(fBSTww_9+wY0t8xYHORB>4G;Vtj@W$km2<YrEIA`Zzq>UdHjxax!0rS
z{5P|8S-9___(O@YyOiQ4T(D@n{kusjP2Kjl3-_1A%*|G;K0Cf!6lpB$j0tL(F{zwG
zkNbO3{ch*etb9ekzSyUAeoxhVu<3RA{^f7jXC`R>;1^s_KKa}AEjKK6G7p*+e6vou
zcc158NMFijlidfl%KV!(mtDbr+VXZD1y#oQdRx2DtIGs6{azkD`jhW%*dzJ!h;s#Q
z0u~t(6}t;mo|s(Nd97Bi&yvkCYR!GdNZI?FI}Vwx@=~dPdwoS((5VwII%*@QyeYeU
z;>o6CikeD{4-efkw~W2{d(vOto=={ZRVR<W(rXFW&XZ-kNm2c8r4;L#NpsI_{gQob
z@}1^hwqyLyR`DgbzS5I?VC&WTW_P;&rN0snjs!68W!lKVBB6Oz{iWrxDS>Jx^IX?I
zNc$&dY?G2;IW_vgN0s{AN%PB0R!x)V=e_K7owLB`&fX`62Kf_?-YGwOsy_bUl^my4
z$N$;9zJJu>&(bd0OUnD#SUzWUWQ!8^x*Z{sTyk^aq{0REvt)jm*uAw8X5Xv2R_)Qd
zowt^TuYPNL<JPk^f2VNWUL0+a{bst|wA04kydU&+eb+x`e>P`PV^gfV)Q$RsI$!@q
zlyN&$TJ%Pj>g{-|zkF@1qR%>SogJ)ReQ(nop003z>(emtZbk0Qm5+A4m3XwU?chz-
zMbFIkO6_&|wJKVmmd$Uqsd&uAKjqfnSC-xOFs}W*(z#S$sO0rG(cqjDL9VmD7cY2H
zQ+jl6(2=uo-apEGgO-W?4f#;`tKlfe@A@>&<K>T6{GL0PmwVrv1(vVM^GkMI4%NF_
zTeR0kI(NtYRZ6+f=ll!W5-9QKYwb1HQ)_&q;u#KUG_B&_zLaUx<<P{Nci6qI$_x0o
z=~ixy7J8$!?8@?z?_GNmf6WvOI-U`0vshX5@<V~%(-l7^n(G9FBrjWe$~lP3Wl6}o
z?`JDc)k{^UEs)(lb5Hlg$myqJPRxoG&t!Y+KIQ7ey@pQZQ6KrP&gj=!*yFjKPwCUj
zOBx#-7+8W|{m8z;^B~nVE#S+$zrJ%`a35VMC0EMqdwrGb&vvoZQlT;aKTb&)3n%tY
zj<yXouKBcIJZF>Y+hFl_uA1!G(Z7^Kr`%OM>vCBwlkH}G?KcnaqT4lAZ8rse&nnw@
zLhJ2Pf2O;SFK^$FUnqE{hL@XT^~S66wX*vU9}0iF^K;dzT|ZOSMXmV2enBup^il9`
zh6^Q1lTT={9asAHL-BDg&&$}ao9?TL=kRXL&%c=6tEjJaPx_fb%+{+n(zliz|1QX%
zb)o*2r^@1qbClb3!#~yMbZ?8AUF739+41uFBl>$Ee4XVVp?xp5`Q`mrvR;!S%9!kq
zC+~PB7!-HKb~+RL-dgsfMq1_JkuP(XnOykh<nLhOwlU==i~mjM#ZI-)e?GJPUbJV<
zs>-R_O0QA{_aCp8((Pehc0`ghe1pw`+{?xcx1;%1bUtObJNRCRf9@@7pL);y<8>V(
z{O5%$j`bY(dUEK`(~D;&^cIHrG*0*HRrO``Oy2o!<*s*i>-KD7etO06&zj%rw>74g
z6i<>gkSM*-?_Js2WAM)}b5ZB6-sG+33;&(@czpHSyUmmHE-Fd<yWx@NS^N3X%sS;T
zw!A_sP1nNSgnJJf&P$x`=Xmq5<nhni`U{<D+f4O5-!q?jSJobGyYc(3N3&Vi)LwWv
zTV2U0!<*;#``E2{+@G&RPcPr-@AJ(`;aS4`uQq25(`$Y?S8v}Q_EF~k<!IsiGd5&r
z+LcKC5z6a&w)9c@?zKf%@107|y;f2$`8lGlXIVf-%=?=6mkQoyKGQkWaol~~GP{>c
zldf0QAIvc7daxtr@w@Gle;Qu<bzZwXF7^4gxIHxuHx5mDe7HF*W>ZFj#DqK5Uv76e
zeeK;Cd|vLMbKgyk%7}&&2YWVti2W!1pT$<}q}#i5EA|>Q$<{La96DTlbz#@l<2}LM
zPW3b6?30y)HZL|zzdhf!{>cB$D`!W}&%ejU&yex>Z+LM1^*fi|)Mvlqd-b<R^VtEu
zKe<k-ZiaUz6)X?75<X{i>ClI?8M}hEZkrmRnW?k&%h$lv+v~1&ov$wbw(m*f=k#BP
z)r)^w#prMqHckE&el7j^*{tY33m%cbl|hr9FJ5ZC{_#?Fk5&8BOYd&tv=#oWR<9RU
zQc+WD{rBg><;=%|&luOo#&=C-5^@y#?7qyoqHO{13-{UE=LYY!z4GqvSMGZ?emcp|
z9sX&DyO&OqPBiabF`;?NnU`y?Ih9Q2&J#S`z5RDhBiomdv|nFDCq3w%zd8Aw+U~lw
z{)+K;LQcKbtE+Rn$|ySjt;YtBcOF}mUcGABe1SQzOHt`-g(d$w^OK6{iS>;~f9Xwa
zd2rD?JV&*E@k+0Esw~n+1*bJB1bxWp+vc%h>9bUpjpB|id-r~d5PBcZxaMM7T-3uQ
znzJJBS4h{&mcE^=8|wc1rkc&-=FY1+d0{_`mbslM)VX!3%<I^?<?3H=1v8eOeas)U
z>!aPZefPUf`hGoq<Hzq|)tD`7<;TCK-n6=6n&|%KAk*;Qp)BGYCCe{s|2V`e6#Xmc
z*-F8lB*PmQoc1p|yD&OTv(&?-PA$TurA6fbDeiyQBo}i3o7m%+9k(Q|cy9VHwtrGd
z{;S^z$bHp&G<`wp$)nRt!xew(Ewavf!zz$&A>bv|Jl*7v<m8n~jz^MqyZpW$zFVlH
zrMmv>TI*mRzBMykPMr~$5&Sc`EJ<OGsGxnuHzVhw{W}%p`ve|m{nq@^7c=kj^*7?Z
zb#2RKwU<0}ySVJZEU6GVoo(0eX{8-oz147&h0pXI`&g#kieJk4#&t>YrCWZXrhoM6
zCmz{S{x)}^;+>n<A0G($UGwFc+1>AE)7)8R|5$bMiEX{g$A6EWq(4l#rucG!h5Gg7
zJkL(v;=E|FAwEs^|1y=vy>4w>ep$D3-?`fPRlCIeOfu%%GrjN7%79X3&##+9?md~+
zAAL`F(k>wx8@WUO`xhMgF@O8ta!!q(f6l+T|3d3Z{l(D#FaCFb+%K&3U+RCC;s5J@
ztZhHtpZ7nq^#8j^&kynW^Xjt$VmUc~&JS+cf9c!xXTr=3IShPyy3wiJ;>Et)uI1s{
z#&xOjq1oYid}5{UV&c})>O1|X$^UpdCH0MEseMJW3+NgaMkWzv5e5bZ$hOCM>sv0h
zFflO9m@Ze%qG<ZH$0Hqt1H4g{Wqtm5O`MT|;R+)IgE#{(=o%LWMg|6kC5@Yy7$!5a
zNLYo2a6(pjV$=TCYtt`nMg|5mCI$v66z%LRAnmdicr>S5*iJpmz`$^V0ihY>fF+H^
ztPGQLS!K=eXfBdjy*7%0fq{>afk6RkGemRa^t>7t4RMfjK^V=))7RFpNHZz1Pd`<|
zqG$qA0K#aBB6nN;xNt&@fg$821A_?EbV#r?@lW4a$096Wk&=urWTpq!vPd(vs!h+U
z#beFW&oMWT@-Q&mm1kfOgIWXe1iJ>v8WG!!#DY{D_CWT3#uRZTi|{ZofH8^@oTeZn
zgl!5^l5m&+Nhw<LCJKLL85n-ZGB60Em=NIzHo>YQ4~GE|C%ElS-}{l5fdPbJfd%nJ
z|MU%YEHXGl`Qnn?=Z0bo3_4<nP=;vSoeQ?vCKa^G7;7qkSpCZT!FNjr1_lsD4M6o4
zunCj>n%T{<8UXRUMCd|gK?Vi}eg^aym<ut$E;%O~X8=MRaXpC3jrTMI0|=w~|8>js
zkM%6lSONf|^Q^Y@Pa75n1`tMdzHz|xi482mc6oU@dBr$FAL4_hg0B`Z1~M|Vw6US3
zp*0~OGi1Sgf>9GW#CDXsnouJC)lw#gl+@zP^t^b(`0}E}f`Zf{y^19KNglk@`Tzq1
zgAF4CgDBKIMh1p3h9!;Wm#`R^nU`FI!)p)+!uK|Fqo)t!%S@A6MCuc9XorLheD4Jp
z1A`>OJq$}4uinFSPJB^HqFz>UKCZM5F%xAWya0-!+a5Aa7YJezug8<z5NjV$liS-y
zjt`hl(t|FIKrxV&4U|PB7$g{`urn~Yb2BsOF}Ru-Wrmt(mqlnt24^d3XP7#dg?gB0
dWmlQ!TUa_(m1^hYMmm@1m*tiFN4iGZ0ssRcl4Jk?

delta 293795
zcmbQgjOT8vP<?<mGm8iV0|N)ctem_^so!12iA)R(ahwbc><pX?Dai$T6}dT~A*>9{
zPv4oQAH8-uZ?S<0+k>x9G<Cv^yDvFRHd%EktYK~&pE={sl2pqFCi8?G*e<2atGm>;
zYL#2xoU><|de5)-KR#XAaM%4@qYX><B)QhD&d3Vix&L*~8q<2;xKm9}O=r|zDT!s&
zJI!6Ux@79^bK56cZk6rWyE1!`Kt+mk{?vVDFL>E&pMF|vH_wW%j(_Xb48AjMshSzd
z3r;xh$#Zb=X87gs`fdzw-WSD!M4m6}?pYf-zBs&6bo;TMT+gV6x6!dzLu6~@zvxX{
z^Y3CC=la`E^mhKcdv%x2$@+Pvd+Qfk=7vwX!n<7X?}=Ky1a0Neqmz;^ICuYGtgL7|
zbfVx^!S{&n?oF{CnMdapuXz~mcWTn7WZ6w!I>uQhrHQ}OHb=?weO&#(eCz&AU3-@8
z?svP@<t-FvQR2P1EY^CRf5L=`RiPiwUjH*ARpiL;Pj3qXGOYhG_dQy<l0)i9edt}C
zt8X9bb(^HU|Mzw3HTgLHt*iGR<Sa?qQabBoW22zdyF;Zdah?`8SG2qTtluNR+V@fA
zO2meH;dPO#=S-8ld3q*yMq)}!-;AX#>D8sv)h;dQu;M<rEWahd<zcF0g%-b?u(E@a
z&)RECmK0q$B&@YNrqAJm9Jk=Cbx)=wd=ja56m~Y*tlMIH*tI?KgY!qV;9X}|Z+>$)
zd-IXFnR@3hIn_&bo7OF3JI>A1dqbe<WmdUbrsck`pG{_^=%+7|n<c;R#>T}F&nA7c
znY?#NYh~7@X_qD!ChZROeIjssv(v&upIS~EDvEqR6nrvHPWk>}b(NY4SK>nzE!P%n
z$XyG+nW#ATjG~b7>+4hgF=v$g{Q11(`pZ9zC~0!?ekNT|+S~k|Nr{npR!-hz5f%fm
zfIEvBBOfT$x~QJNu#16#VF$xxc^2{M`8>?r_0R;{ePSht@s@(pAckg<Vve~P1|P$3
zFU|d5uC=!;{6=x2cS+rz`ge<GC*53s?|a-D^AmZmgDM5Ie<%mdeA@G1a>~vR(o@+_
zKiQ`LGwtJ4`%mxMj$VKBF8-CzT(zwS_hn6g6qx;a-BYo1(RS@oxmk5ziURw8P5FC<
z{ia;(6xR&f3mVU(CKg(}{?aw6{@qjATCr8jrEAl(*ZFf@_-1h9#I7Y*{frIYZ$0g6
zIQ6Z&{Rf@(V$-ZPpDEh)=*!eIw;51EAyQtYJTroUfq{>afk9#V#fMD7lh?C}g2G|)
zaW)qv#-$=Wy^fofxg|)lvaM50*<^Sn1=WPf`s|QkOJdjJ00&zz<6nDKF$RVc4<{>{
ziq}`9B*XLh-np^)k1aN}z5gCAa3XFF>oV(K9iK0<KX=;rNagOv$E7mq%?BL{bV`rg
zZd|){%DXQn&-Tkt3d)%@$-T;Q1w;4TgEI_&?l@7^t-5K7YUPx5;hCGx)o+*`X#OfT
zZm#R}=6j~UEq~k8d)fWDQy-%%^shetlS=*R@9nRzUROE)ZTITurN^oce@^(H^=m_s
z|9AG2r~BXBO_5VyUH&(7n)>VS`XAr;Z<`kX`QP7v&+5PG-n9AmVAEIcU2%TmC)?}!
z+82K)`ZB%#llt${Z+qg@Pup}&&iR-6^R~T_s7CbpML$1%?)rPCe#y!9|1Gg<?2k7s
z{~7d0xze*f{Pmy1PZKJv({6r=4*K`nYUVL{w{*vd%ZKmXlasbTXZ$m?=YR33eJZC@
zY-^K*9)Hd{`{{VgNkwDJ6f>`Vd(#<BEY8;zXn&jYapKdQeNURbTaTvwWM5H!f7kc&
zH<gyrx?+F!pPuhn6MHO8`<{_^`00v$e-0H{9-6WAb1EC}>j(AuJl+4*?bbh;(RJ?U
z_mnsFKk}#er1yXNU7vXQd`(ti^(V#7{{Kgp8QXoi?%2KmY`A8%*FIH$pBhW^T|ch*
z{JHYz@~7i%3#`Hd0>s<D#L7gL9@M>D8+EoW-Tc$>mMWW#uM3aapPihcw!7B6H~E{N
zU(Xx&WB(t&7o0!kjja5EntD;=>y_^<-rOz!ceN%`|Av2i>5uZfXY7yb8Ggwe&$<#J
zzpbzL>#ta|IREK4b!OH-=63w&Q8V$&{LOQ0Kit<idDQBMS#8y{;=j`0^9-wh9Q<`s
zzTRj0o?^4v>mJ8P{JiULANT*rTbX^|t=80kJigyREZY7A+iIJi0Tr(fT{{%|yxubY
zb<K$ym*8vm^8M$k?ymMfB$&8()0h4z`S*{0>ZIG>G<Z?Rb?*G4hff>k${OX?{Y`oP
zIPplk1n-Uc`~T{jd3fjFv^a6^oFm^y$6J;A=dS+YJ@1q0%Z$}OKKyOm+4cAPyvHvD
zq}e16p4)Bq|6KZ=NC|tB_yvOVw{0^%bjj1auYSw->&jbah#fCezH6#07GGqi8`GV+
zu<(FHnH1~ShkF|rRb1;TDiupoocEv2VxKJEwf3zAbM2o0<l^b6^)|n?W7F<=$Mx3d
zA3LbP<EFTKR>|+{<}p)xul}2$KmUkQdiC_{7pFewS-erc@OXuB^&k6>l5EaT1Dy3b
z-zHp(p5c71{*i`GcF)msPp>U}!M8oweNp3wjrWocuFQW~`r2*v-w)5(*QD)`{oFo(
z>3PBXYHB}=et+J5PeE#TVEvW1ZZ*@mm2+k%mgGO^;lFpFTJ}bz{=3M$edmki{w1w@
zdf?JTQ@<|bcTrhsuBn!3_v=gRg6DKEw-W!Uc+6RJ_xBxM+nyBGZ?}nacPw-iS;g^x
zk8RiTS<em_^s;{nSb3xJ_sVD4Hq3L6vsbQYdDG<nNw<<uWM0rIE`2Tg!v$})tdV@K
z{J%B&QOPz7El+lpg+jah*WI7?B*3tzT1njRK_%B^#S<y&`DcCpew+N(<9oLB6lI>J
zpV_o-Z43@v!|(m_PV)7d`$y^zRZg?>txt#zT6ueJ!NRMV4}L#0iLg~I6gj_b@^25e
zf`zBAziyl(kjmZD?e!|J^~%;~j}o7Y`K)LbZuN6#j&A-K+V1t`ox-M^Z(nvNZC<!#
zX7Qqhon{i!QyK0#Z#L|HeyZ=$k{SK~_dP7Wbp2<N0sozaK}QxoXQ;U^w``|YH&^|n
z9~{n$CLQBU*_2<nXX}i39h1C`o9n_=9+@1nIL7d{MCjGy54<dIF8CeTu_LDCuQ%Jd
zFV5bY*>l7kFJzkQ$~``66Sd!Ev&%)X@RV71PUt^tzQoe3EPR%E+2P*b4}Fi^EIVZT
zSMIG>okY(fRX0!7g~HOOLY7W(yW=`DX-Y_uRQ;S?rL#TOPdZv9`#agnv{_-Fb->FC
z?w(#BKVNP$T_-tCjc>(E|K?@AIet5_C8SAePE(#*x9IDgeJ1lc#hafko^)*@|1AA_
zPZ9mcr?)gr2xks3In42P%hs6&94tx?lBPwSE0F#q*|JE|r6Ohdo3kz7m+{W#2%fuv
z<HqWiMUrmy8Is{9!d*Z36=POaUMkuix>0_Hk?o?c<aYtPLY7a?-jHNHrB7?Z%mpRh
zwuUkJ)&{mUE}{qSEGk*)7oKlD<(yu@!CxD=6*k!EsP4Hlea*a$e_shsUBTAK(RHgU
zD=*I{M$>ZYj1?K}f<c|H)}G+sI`3Q3lVk6eY+4n6+o9%o$fSC!Z4ZOLt4=NbdyDPy
zVxf?I$}6jHZAf;X)cw~jH^}wwRFSm?c3Pf$O`R7l6Wwubo9fZadWUNkak{yyt+bfC
zOVx79v)Mi8szO}~;){HiuKxal>B{17esk*^mA@rh$L22E?fmjf$KGIb+lLu{Z}?rD
z{c=a~!53dL_MRvY$zeaX`FQ=bjV{Kq43}PBtom571mszr5=+ydx4tD+Tk1gmooBm@
zE4nH8p(Uf0ZIu1G?Xn)_N#a`)YHzUeMaWNkwD<e3l3Bbz<&$HBG_}ej{Z@AhE<g0_
z@^f+1S-NSplH8iQ24-5CPx-v<C$VnK{TyFEz2D2H`N5LAi|+NsbYAJMH;qj^{OF6Y
zktXkh%9R^(jjO>b*z-=`sWL1o6<6}(6rES`S@Kwl`oakLGAFjL53S0o4*f0oAag!^
z&F-#)Tbf?qIO+YlMq+#IER(semn+ofEq?Oqu-Hc9uUn2cW;qL73c5c_@#vg<&YuhB
zt}S$3cl~mZbE>pJub2CKzT1oIk6vE&_sF?p!Sh{LymfHXvfayi=KB`2H|o!qC)(fS
zXjv?DIr=~Y_gr5UUmI5M8lIq=CpB(#&Yjjc_eLl8_mhW@YNhq>@!garzi}7;x@2|p
zvzwdVbG_y>2uVMBedV+j8uE4R@7z{bG8e3V9d)ld-!-&6eBm^&yN9N$w>y1zSjbdw
z-nj0q?{Bk^suMrNLtZ_*CTh9)(D%0M+aIr}E|#6E)$o9o?fSQ0wcHNhcjzvtQavo%
zsjANvW6!ns?8}gQ9D7bbm=ybPe}46wFuq-8=k7Z+K7SUwN&CO!g}SMl;U=eiOJ7uR
zT`}ZxRh=xe>Z?+4ezwT&vlmK5R|t!o@>9L-u(duu;#4W`z70!0vEPwz`}d4zW5KKh
zw_97+7%`M3EkB!}J}oGRg<*Nb`f1|7W-mYHvLqtPx0n6zv85FPuU0euz0PiP`|T|;
z!Hj2CdFPk5G0dxI$Spc}UL&U8OIh9h?anO<3wHP}yT!$uW205Nhk1s{U2#pH`09I_
zy{yfFnondm)b9wnssH}Ws$)*gCjDLt?-|uXzn-q%ylQTOnpW)|_BpLuzvfw}uD;F5
zYiVnpm~)UtM=SKR?ZnK>Z&{4@w(?b%S@o1AMOGXW`K@<Dd#c90?PnQl=f2!sD|k20
z$RR#m%qc(m*RqM494sN$YPsw6w<&4~>wU7Ds=(G*;PH0G7U%lqu97@g{Z@$m3W<`K
zvn~D7E8ULbLt4V?-lw!qa63>H^mXr@@RemwTPLiMyCjhi^mVV#p%rCKGgoXeun<kU
z(dT*j`=Yd|S?VF&Cr_!fE`R%X-m>>f#jDq=&v>c3<h$-FwVmHmC;t=v@P5~XUwmbr
zsx9(&r=?$xUv_Ne;kU8t6`s`J{<X=aJ*Uk}DN=DMcY~k3;V#?DXI`>#$?E+`**aD4
z$ilbNN`9?8{K%$Cz-rgsfX*eWH?d53x!kpTHp?8J?UFujs#Bil`tO*+78p~IxjFf6
z+KQM-Q{$|}mrjqGboK7bM=86`CKzd5{KIk6hu67#@=eEzMYnmT`fY0R5oefb&{6Lc
zcI%DHc1f>qVqa(8*{*Tn$*u5=UA%6|8}zmI@-Tg0a<!&n-n!yK^TMxtbj26TU6*Vv
zU;OrQ(o$0nFV(riA2U{)X8aRcnOc7(Ib3UD4ja=gO;G-r(Kt8a)hRja)AEzQ8aXa!
zo4S4f`hLmP<qs$Qnb>d8FSpIud8b#X+{U~0CQL6^*x1ECEEN@c{ZcIJ<5s0jK^w#p
z9-3a?G%e8hN^R|njoexLjFc05v-UA<eDh?f<ZHf}oiCLxrY$<DYR`U9M|G{>n{97{
z*$h9FF4Hqy|9Mu+jT$zmz|7}M>dpt{ul;)3$5vbO+oh+LsYg>5339kEonHRv9wWo_
z)vmQ&!S(f45q)>(mTGnzZY}S4Jw3wye8Rd-ix@l7)X(c)U=qB?oN?7iiA`$OF9n@L
zEQ?nDKD+2&cVk-`#|bZk!Y#X)i*{eUk~_1)B|*pZB7=)EkKL<N->)xzI;&gf+ib2G
zKO_z-Y40#`oRgeq<$gJ76QhTlXycu3r_#44OP;R~)4TYipkCZFJe!mCN>Q(uuPd`a
z>6DbG)84fhJ2Gs29Pecb5}BSNI{jUs!&{vhLHQRLT;@KD7Ktsozv$JZ?D(>k=CPX|
z#>&6x^YX}lJ$d%knI9{6^;~+-of@@*b6!%^lw1cBYsI5h8+EE!%92(ZRO`s}>3=^w
z`=RO$#i_^l=g<E6xc>ep*DD!8lUZNct-aBj7u8r1^6%fa+@1NB1q+XSJr}UBd+uMJ
z-mNFN7<pe8D_Q?E*{gTI_n}qb3)xpa@BSrCJ?rRUJ^eo0rfbD-Th>^f6}6D%+qpA5
z$mGj6@y|SSSC&n>%u}fy$HwIF>y(eKpBUS^<C6WQZuRzu@6CEJX)2pkePhe7#JtJ}
zY6U-HN_%&5*{3RN^P8;k-}6r8N`KjO;n0`{D<h7B+du3`QEhUY5ObuI{UFox8UB~g
zKE2@U+;d@*Pk`}5hA%5jkMCn{*LS%d(eYub^Jyj5vn|3Ivg@uiU3;qg?cLd@-!h+N
zYftFYS|HiwzHRNxYa5-yW&Am0@9wYvpr#-dYGB;Jv;CUaMD6giCKg)+c6x_(WO7_g
z{rvvC)V!F=PYqJr<2CMmUz%|0nu2<$iE)#R&$M$}StIUT<C-IU?uMrP+Eoh{S#o%Z
zUcBS19k_nZ`e~~Ie?B<$Q!lb`nPAKJ+O<}*9+@m%eA*_TY0=H2h6(Cr3t6sorpGUN
z`KaC~<q`kEe=D|p&08*<oKsO<T56s0^OxmHpNVILcc+DBJpIETvoH7K_S@4INuKap
zx~2J-`kvf~ue;oy@*X(wknhgjDf23F*)QGd)W6X=m#t}T1lI+*-{!O8KIwRKo1ULo
z7_I&@eqGE(o!fq0kB+#luio5ia@tHmYU+RaZMAP_$<&wqiT|4zAem()_<yoDZ@@Md
z$+s;FW)`*>g`J<*p5$C@X&`t|-AOL;<FdrttBlqwua|S;pX<A2XKu^GiJ^s33oCAI
zJ$R{U_H@fU>Bfq(D(<o*^^yejvR_K~1m!o~Ea?q>_$9`AY34lf@?6<sF?VBIlfd~+
zVwV@?FfWRH6|G!<Ye#OM7f*C$zH{YD{RfGaFLIad$zhokxbkJ}?d6h57r0q{t4;Pl
zjarZp5@p4hwzzPW(@M#ms?Gf&71L7tXI(s&E4x9a?CXih7db&oQ@I6tyey6%NVziq
zq{#6@&d(!G$4@zHV6|zI-}VM!L%u)}Q$eQb$8GPWwa1$=zkHLjxqfCI(~Z2jAJzwd
zxRUH6ZFZBRrJ8%^Hl6J(Wl5Tss^45XylPg3+|-PFTXk=*-oKzET6D8bTi-s`6UwXI
zO)`C#?47X1W6z#T4f=mR>Szl#&b^T-dZTk`-kXglUun*-^NbLvuRZ6mJRw?pMWGo>
z<B71)H0Q5hCjVHLfBDDjzSDo7*8fyrD$3#U^ip&1#3~nttzET2lYA{qt|_ecHz~}X
zKQrXvkqwLI@(Xe8*~HuNP0T4N(wyVXkszmU5$pL^iAL7W?f&(*>GjOd4?icV89npc
z@*!>az5j7>H?GZYT2Pj^U-HXh(HDK;2d+0J<y(C`q4lxEF<^UAVCh2nRSQys1+E0u
z|BGt4mZu}-5Zb+Jxn8z|V|Uavjx4snEfHTbUs+tt+3vXAxcsuvktLVz`ghpIv+qAW
zC)DT4tPrk08|`{G3STx|yE0GO^vojFj?Cr9at*T0kJb0Cj0(0ji8<=BHdCD6Bsnf|
za+pZ-CA~va{Fl2{Ik)QEYV4Wz&_g*{KE=n^t$wE-bK!!p<SB0*+*Vm+H2jQ6oWHBw
zu48)Z%rcka(3I9GY&&F2k6r3(54Aqj6LQgCzS#PZU6ke-qo*44qGpt_@Vi&19G%Lh
zkep>St;}Y{Ey2_+I-9SYsc2ofWJ7=0zs(xF0xeFu+S6G08>^?4&YPwATOl<o$g5Xm
z%51BIEbsaWle8YaygtA7hUZD$CG*O{gBCkl2)0M~R5!Wbv)yDA&OE`y)br`7DK`x-
z&oWSsJrZ0Uk*lt}-QV}%bq5)L<F_1gZ@%kv$Cw&_b3Aq>MW^p!rQg*)1Le>om)Gx4
z+B|vU?dK7xI`0H~^L*TH@}(^4s`!=nws!m6o|Pvx-dgM`tY2N$BDqp&Ua^7HgKw6B
z65ZG13psC!nT1L>O$bpu&&n}VYQlP}ool^Un;lU&xvIC8>1wiOT+P<jJNq21IsUFP
zyf{6jGUe%UyMuxK=QMjGi^AS^76%=*4PWs6q1mN*Dx&M9IF9K0UY_>i^AhJ(9nV+&
ztGwg~a_E$xJC{w~a?~47a<y6T`Qf*VpX6U1)Ve$&gQ;ar%uUf544%FolDn=soN7~0
z2|e?9<G-Mjeja%WZ$;J@nfu-GGVSe5b3CzXMlF+N@Z{iir*_qHobwR<9`hh;WtyYQ
zA-@)uxZa>ekrSL(ZFsJHVun;t>W#-&SE!uw2z&BIcn^Q!*4zJ%`hL83w?5K0?SN~s
zLJI5obq=SlESaLcsXTV+6kSKJRUOY|&KMMPul(7+scuoGi^*5Vu+MK~%2!L>+t8&x
zyP+bau&?HRX|;{q=D$ZLpM3lIi?6r9WUWgRkM9mR6YH<Gdd7pvo~KV`pPdma@cG7;
zWmOy859>rV%?MfOm(jfQb%ejD@K&|Z`Yp=$=U<Qu-r;M?7-Tp93PZP<f_&%_<#<lM
z4@=eN->G|1vx)audgSMIGuN}fSG4^*b^6wCpD)C;=kDB_W>-+ROf7!x-vYZ;C)8Me
z?#SIDy(f$BfQizq7YeICma{o6Q(H2L*+7@mImp#gaYpSEwo7_i;=g;l1TV_4NA~VF
zo}gcE`tyn3;w1YS{aWid9qjUE%UaIq;=Oo>EwXWc@B~9s$?ZR-FN4yPi*kHFgF(^n
zkk96#!izF_H~p&nWXr@5m-XP}iY-aDBK>Q2+?Nz9<G!5nvnOeJeiXlN%7oBwuNx;D
zn||n;yD!sLqF-y4CG+Ckyjim9MOtbun<Z0B|5eq4GR~=lWv4W5H}-^V^l5mnb){JA
z>C4AmycdnNQbhmxIqtjiWcB^f12rKV&uCVh>$vS^J3na7-4!$VZD%=!-B{24XenFV
zUbaIOA%Z^QQ!4VLOw%{k3YQ#h%D8oC)0PBjmoFdp^=XuD=+c<&*s(7+@vxiA&sPcz
zg+EuXPt`kBKYfCv+Lwc8TK&U30^_c;{Ro=8zD)E{P02={9Q(CqKDjEdnqP9=-xQT3
zZF8gM>Lqj0*9R+71bHMfiZ8FY+4Xkj_Ab_gEv@;{s+(Aq)Pz?yYFv*O4K!mGT={5*
z+&;Fgvt7St3;2ZoNMY5g{vmbc!>j8GJ?Y}d8|L0{6^c;bW?C=xJ)(T;ADjQ5_RM?s
z`Rb&#K_C0yh?~vUyXkyr-e#4w`Qh1SwN*(+;}cE&YEOUaiju$k+VTA-n^`gOy+2u!
z{#EaP$S=j7sy_GEf~x1sCrg{XEL$%fW!87h@8!Rb|4|3CHJ@@Eb!22<h-GGAkOECJ
zr50yS)@0!oOv+CzO3_0aiQarv%?C2bf9>=%qs=@F40q+HD|}!QuP@F>EJ%e9SWk_t
zE|?Q4dVlxLyL0}2D=jwfpMB}l0voO`6Ynm`JhgbkP4`V^H+{>^RT&Sb-_pxfnR02G
zdDa5a*UVd&Hn7e)$j8^zV7%vkNa`2<uD-`V_<Q^U3tVJ>Fk6R;e4JDL-frKGX*$8*
zc0VsaZ@a(vobC6T^7_r!TVmGn#|dU1Tb{mb`@IWOwd3!H-Z9#`_RiE<w=B0NX1P~p
zhJSjMYo0g%-k#L-@IBVoZcm*t^JUPZzIAV(%07L2eX6-`{FB0+G3gKfuS<>l)3H>w
zH+J1s`?v+{o%i*xH7h=APJX|?MrVV7-O4}5T~wxOsJ;nN+-)~^GyA1x#rguydPT#e
z{5wXbd#5rBA2d|;dwauT>q;4>?H`tREv~X+@>QB}mjA}h8ZFy7GdP;vBfP?rSoWmt
znxVJk(ov2dE(+_9??_SLI;#{k^{X+Lf>K({;XkIfpL?2Gt7dImq^_WFXx1(^R>4&(
zc%QRxJ}Ji08Q|)z7|3xwNAfq5lG2;{mPH3%-?>`M6cw=c(X2)mr&9&4t63K*r6~03
zG=yE8=OZ$?GsG(OQs~^Q)w5UaSSJ^l;qLA(ydx<=XR;RGw6<f-7Ze>5n^i@YFx1?t
zWU(meS};>G%G~V$lb}iR(u+*X6SR81XBf`rKj`#uxo&U}x6Yx;$4yQabGpoA4<re$
zslU$QJblBehx{%NOja=pyVfc2%s=?j>f`2RNmsZSmtQX9y)m8dX?w7j_aVteq36?#
zXYT6Mm=n2LWQm-n%4I<d@9;SjS#?&1wKOg&*mm+^!pln~HuH5<+ZGq}bzE_oI$L0l
z_e_PO7ay$(i_oe#dp^X*-oWq{<7t;Gfu2(N?N6QREv6Vtwpb^&X3So_F3oB|v`hBH
zo1%MolNKHA)c#y(^4=gJIg8~>!Wv7S;86EXArg7*af(3}&s&r?1f^ykxmGOrBDm<{
z37N2mYT?g5C#&-P<+{Md@gs5T2PK1PFB?9)Okq>4RteNu%6-hudX9d}vF^j2Uo)Av
zA7G6LTvoArWxYZ4gF{k6mrqCu9$M~udTJbZ%oCTSz#TKna!&a1sTS@0vZ434NUO`+
z8Yy>Ho)G?I(-}*+j>(;v)^+%1$}DFu7HuZcRP80K>zUFbC5$3=+`Lou)MX_r+uX(n
zit<HlO&-(k%v-_#q}Y#zInuk)=L-8J&cln=%B{{SmJmF+Fyw80MXRFvnnx~$D)Sdl
zO+3M!y<&#P!zDuQo<6Etk9Ku=l*+a;1@_-)Em5=F6SmT2;fn($@|uCS4`fMrYy59A
zXwz+fCH<G{P?he4$$~prv`<!aYjzyi!SP^)kOPC7OR-sge?Q;D(~p7@MU713^_4e1
zd;MHn>1V>hB_9?lUfrTsUY}-nnQ5Ex=Z>1jPjgnD|1(AS;F<h_eSa_QoFZ?=_5MSI
z=H!ou)l5(I7HD)Ykh*y^NOAU&1?vPFQx*jTNKZNYGja2WzUSO0Iqh=<?@iqJ(PzK>
zvacCa<@c>{Up@Pw*ToPW9`*z`6ZQ7vFSZoy@RD2}{^me|U0_z*+C`l48yt5;)iW&G
zxbI_xA;;}iOlkjTbqO4w`BrqHc&n`FLiyIYUN1VenXiYjniRykDllIUXE7>pc735A
zRj~MjW}*s*xui@k`@=NVFJH9|Zx3NL`Lnc3;P}({u+zV*+?hUzUR=}uH*rSlt0uwj
z?~i{O+=)_uwC?`0qd&a5ta*07a+z~??a`_AhFn@K@u?@KU(a?f*gb8EUi*4O!3*pa
zDid`cbm#Ifwz?XmT_d~RZkI?&<YNPd`w54Z^G|Nb-xTG`8yFqMa6jqB{<Al=6TCfc
zFIBAJ<MZ6noG89#Pj}nrlUu)po#)?jc>BzZizcNXR(_CGvkJ8BKJ$P7DgOJZhk_jz
zuzq{Qnp4l<yv_Gu#D;#(_YC*=iUM~`?krejG0|J>Qu8mjXYGG?l$gx)ZGWgYSLaUe
zzelQb3(SSr{=7J8?peiT&Y2tDB$cThekkWN_1@Qr_*EC~v)xZ_Qs0zWU2;QiqwwRK
zN@+WI`L0|@Vtrbmujl5yZRf@<2WxxoO*zNH`mj!I*72h3;`*Q7MR_OhTzJ^Lmp$G}
zte{z+uift7RqnWZC(DihaV$PxZ@h2*$JsxFd+d+=Q2HnIIJ=_b{>dN4J@E>$b~iSE
z7ma$uR!}&-q;%((hSHrj({7jE;Wytg;g#|ttKR+nWk0HW@}KY<&wKP-_^R5!;$!jw
z|L-Lo`N<vTel=ua(p1Jt^}9`XUe7ApIpfz7!{n+Q0au&f->T~w>`weY_d}$9_Q%CN
z`%nIG{gWm4ygv0$zt*GJp5H$ikHuT)73#X#evo=3T&MIgXhv;&$ND2I^O{Ste@J})
za9T6QzCX}y@gIYoU-E31K3ADi_26~UPn}=y3NNu+ZIDU(@2pur_ao2#*)R0!pF8iF
zzW>;d;-2!)<`Vh^@^24`+SE^45xVQ9&E>ni?e~_hFkHR4XaAWWi+gs+r|<Y|pHRcP
zzjgXgVIE<Fle14g<K#Y7{i*3t)VG80<tk@R<B~sf?%${DcJeY6Lb;IzFV_Bk_dW2N
zj>V@JuPst8y=8uock@Zyp@Piz(m!>No~@Z$zuL>yeT}N`-8J`1H&%1(owN6<<A&!S
zo8x>x9I*8d<M_iX#3IA8-I61&>YJsr!S655zj+$3DmY$n+;H0Q!z=dRK3!?^*9x&n
zsc=|wlv#7|*@gLDY~~N=XyO%Wk!6v$`*qu@DVD#^gGEke-*@3NVw2@^ciy}t&XL<0
zB{TJ^P<`7pn`4*kWv1O*`1IB#fBU;a#SvDoHhl3iUG1J#dht%eg*x{O$7NXZuf^>B
z>*`uy6n>X4{Qt`hB~LnENmj0@a@)hZ>(-lsukn0M+vl&J@`2fuDZZKIfx?d!^Af)O
z{(dh~^WpQ=s&5>b-rI156uU6p7b=%Mc)X7z?*4yu;e!+Z)?dAw!YgEA$?@;Cf<=t-
zj@4cd@+KU3tnlNA;|I5?4=(Vp*38P9aNxDVj>pa650|tHwAWX^s=M{Va_P$XGkeVZ
z)~A}BlU3dI{-ty2vHoJc!XMLrO6M0!>_4CQ$3neR<9U9}l^*4H&CTmqW{0l#PiKwK
zygF^^q-9e=ruiATK76HFe|KJp*%y)4`X<dTXaARe3lCih7P-#3Y{izl4a%XPxPndJ
z9SE4Qc(GPka>&Ul*{SQ8ozGcXtr9SGV_jvq%7eXadcURBtDfwhbNi0H*kEn2$NcQY
zz`MT5SH5nUf2CDshIEgAsO;4(CT?ea5?57Q8tkz?e=)E(KKYB&g_<vH1qt<e8S@q|
z&eM`wEZr059xlf2_C0oa->!y?9zC^N=cVQ!yz^lG;>GVor568gJ9LHhaO2K{vlcI2
z$1SxuyCu&3I~)76wgWP<rQ8=J?(%J!Um{zOkjHWEqUD!E{oj7Qn6<oxxq4+qkHcQq
z?CXAfTUmOqo@1Sx(tCB)KeJN3-i3F+9;!b-Wt-r<)$@P$TE(kq_+Nc0ykYL2pBr}7
zEPAdjx@7e}&#Q~VLp?U%GT(nnEt9R(YDv4Evg6+NlJlWVa|?Fe(Jy2-U7q8%P;&+U
z4i;l)Tc=;W4trgq+!oB8%9z}HDuS)o;pJq-<X*mgJc}Q2zPhZV?6yEzYp0+_@TQ|{
z7M7{C)<0ah<>ZQ)3w=(8DPNd((oAGeV(pIB^uy=YCdc-?mJ;4K^V71^VSZW-UGB`a
z94%i~iup>XuUnECs$5ki>c{?-aq?R=rB!Y>7qVVeT4GXnxpLB6x87L|F%C`<<>pTV
zHg&sXMS1yX+F4o8S|9#%Ip2D=N4FSP2~>7@GKo%)$#_)1?A7G%9*3uz{-#W(2|H(8
zE2v$w^{AZd!e3o%NwYSyl_)pp$I9>7#=g4ep02+vZ*0cVGx=dBSN29f`{N_}-tOJ`
zklY`oC%1ak8n+x~pJyu-wDtF+jp-R%Hr#!5V%a~F_R4L`x4*k_()QDusvXx4#8sX%
zdcDT?sqE$1+iw|GR~@bY_9?A8xbpFpGgqE%y1Tb&O4yp<S7klFQ>vzl{uX)riTCO1
zZD*&vz0p-_c5X5Ip2&4G`Mvwo7-!DvFN?|komymfYYMydIo+9S{SSLhZfmgFE!QV$
zp)uW5F8{j<|H;s`VKcgd{`!VXbDzF!x}rYsQi998g$FlUO*m~Vz5MOmC%W~Y{`_3h
zwCL~=PRk1xr%!pxO%*rUw=RFu_EX%?AIEP0w$4}WRe6iq?4Zkf)2|(_WqUWlds<Zb
zwVTJ<lH=`bgOBQTU5*yKU+`9R_Rc9EtE59pQx*r$i{_s!&!Ru!^z+ANl}&l`mDr{I
z{m!ezYn;!niLIHWKWVjey!h-V%TN9L=~D0doOyASj<F+m*JbO|OQp9@-FZEE=MHJX
zzpEpy&h4%1uG|0W<wKstzgL+bs-6C{(q5{+!2bx>8J~QQY3XTOcsJP03{gBgyTD=T
zd0UGEuPgY=Upvmfv~cf(H}EBS4B#bsOKJ{myUoME0Ky{BzEXN#{A4{-p?c7gJdLgT
ze-ms%dea!XO8-ci3Ty~xH{dx8MKZ1DrtSHmeCDm@qW_Gh_c$g7{dzLl-$!Zjwv@k~
zmnTehQTfO=N%Q1n#y-XsjAxd<FT7ZOf4SB7yG`#usp*-={7{qugE`-2-n|6_-M9O9
zLc!Lp^;;MI-L`Gpw$1m`wnnWDTYdG^v14i9Zr-?Y<CI-AL_{lcs*Rc$+qaeR^Iu*4
zdVNtn@2Rg>pRM1<`uFnl`Cd!6U)!JieEaPEY5!)$)kXcwJT-sX*NZ>gt*woXwY3j>
z)on6-|MSLG@z3+RTN`UD71!2<f7xMDx9<P{|HmJHtf;q<>UCQjI4w1DTKCbUjS|NT
zWsV#AeEC%L<6#ny@L%<+_vb=SKUA+eU;iWg-j>#&<(1sOt`wQgtPH6NzHz<0d|#2$
z{O9ZTo~(b9==b#8yGj3V{9bCrtzFr=<(K>7n4ZK%>!pHx_uefJ=To+yVxHT$={SGv
z{hjYOzyGvMSMSOX#rp4ezuqm4{TIr*Wodn5@%1%j`gyxtmxwOZ{H}XmZ<0qz`t{P^
z!e<*5+MN#aG_@AD&75G6H|^RM+w2a8#0?(~vvOa*>0@x1iH+Mt=fJtvCSEatM`z`<
zc!c==MNVv4wqxC#(prAoSJulPN4_in{5SWrZPwSh-Dkh=eDz!GYWa&>^~I<D&v?Hn
zV%p{MdtdIJjM1{pkE&hv{?1eHMe85wm0LcaQ+&>{d}sETPaqIgRtf@|i!WxFNS%EI
z2A}4gzjS-qW2tQ~EB{4<fV+kWSF6<g^Upu8R83#$yL7v+ai*^BqG|0r16RM$u}W5d
z^TnY2*oQ0mVvkqeum55&|Cg`Q<dZ2zGwXK7=uJPZwKQmDh}Pcc$4oZ|iD?BW%uzV_
zz5D`KCd;4C$`_7rUp~EkDr>{%+v^s;KQqzb|38Z-cb?8E*3toiH7Q0jebn|o|Nl39
zd+g=Qi%j+<&W`x~J-%|r{{OEs?tDu7e`3|fHMiHjoO$kB+J6uJ;``n8hCW|T{+n22
z*wz^a2KS1!vV><pZExig;ZXOG=wW!+xS*MhN9@Nxtq-w$U;g?wJZNZSYN)Sc`Ss)5
zmrozIm6zSJ+VzHkk@4Av@SPS61^IcoVcWDyeRH+;zMbXgY;CNqEG^8<{QJ|ZuA-ms
z#1{JNrW==DUu)K0e^*jqb$$C>tw%HNO}Moq`-aIY$$xi~+TA3tN`y#c>tBiSiS~%R
zqn#uA?{4145TmY_I&<F(nRQmNoV~tX^x}42#z)QVd@^PkCjvSiHlew<rabog)7zJ|
z3!b-M{d|~t&gb8=e|d7=sWpq=8!mk!>FcV>kUd-1-nv_V#c$Q#*Kc+$+Z>@a^S7UQ
zdu{T&>TBiY>n_J_40e6@o57UvGQ*{v(%JFZmMmKsZFS7}th1kg`}2Gs^M;4-HkICb
zec?oH{pY)L-)|~ne6{8Js%IbO|5RpwbpN6K?$2}g$D1hp7589}7SA}JxwXR0YLlLn
zXPb$!@$S1Tz81cT2n(xM+j{L=P>!dYo7vh)ch7EkcH_p3TT#=ma6S_e7LJ~s<vTO}
z%!UmHuX>j*`x4aD*ce)yTeEBP?mcxZ*=#Z#LVStJ{*8}V%pb;I|1PBB*1^Ge==(Z;
zxtjm77C9FtEEG7*dVg|RRPOEdoBvt8w~Q@oV0hoTkA24BxTo8WTb`aB^1uGH@K5ir
z`yZ|PB_7LsslKu3wvORiu2nfk)6YFNFM9g$=1;vlcO9qvxzM`%*0pnf+e^c$g0HVA
zo3?KIl^j98T_)m>i$y2=<KC0TnzQw`#6GPuPU9E9ekWP)%is6q|F?O;oi&*kUWeAE
z-rn|o&$a4}q9z72nz}u$BCl`#ekcF0&FT6x8MD^CUFM=LU{>*P$7G3z)#4M&o>?D1
zu=DhDP<r}**`_dH^KZ>}^|QXu$+}&bA-GolWB&SI8S`E&f3^6fZ|2t@>zJ6noIF`l
zvuNE!C6_i1PNpaA{c<*CFHST*cH4e2yF{wiZTiLJ5{bXA<rkw%#A;o`F9w&0{B<!8
z?7E^+AGpw=_S2Ql%WA$e4PrNibY4>NoTy-1bfx2>l53}ctWikE1qH`OhQA*#x1X2$
zKTXlNt^H;Eo|1=~T)o9~!q<c>G;;M4(O5rO&1ag1XVa4oOgF4|Om6GBUT$)K=li?g
zjqjhW(f>S`|6~6A`Ros#f2{vm(IB6H{(hCx{QlES^{@X1_{-Upzqzu|*xgUYBLB{o
z#LaHLQYPtVrYIV_`A8UGTJ1l_Byp3Ar;v(!kBFj?i-&-Mea(;U?ESJ<8;TiQUawf}
zIQP~}!HFuKT4xzQODhO;Y8+Qt{Dn=J;gFwB;-q@6MH&;1@+dMnvvy5z5%N@M>|imO
z{DhJD@821T(`M?Jr^;NCU$ZUh&QDHBfs%R4Hdkq0P*U>p%r6mM%z0*~l21<h6?TIw
zKl60i&pze7nzf2s?rETXSV(8}lzI1FG<-~(oNX9f`*igp>!t@Gcf2wlABe7c_I?>d
zjXuNUP2CN3^^3PWlwHU9<z?f>=OT-vr|<KBE79|QPGv#)XQ@-7d-rO$*?(}}_dCrd
zVEYrRjO~j~g|2@o`S1FyZ%c!DBG>BairdOoS@^Cwa#6Ue)HwN$5gViJ{C=^?e`8F$
zb=iaElx5CN?VQjM;G?1@#M#~5>5`<hG30ej_03NcukHM{=+W}}cWSRx&2Ag6E#i=!
z+;Tea<TKATSKB%T8}+2m8eZ-(ex7gNk#thBxNm0KoSEr!5})1Jm~j2#tpnSGtvQXR
zRT_WaBdM@==JI<yd+wdd%x8Of&nGr`cf>B;+s;WF%Ox*uyZqGks_$LTYu@2~Th31t
zUpsH*JZ<?^cCSC(JYKL$`qRYvA6qpJ@UNQgy?klxwQ0Miv%WYt(_P|!g<*xj3-db{
zwg+iFP^&oXl0AQ>Qk40*1D!_gZa)o-*B{@)eQQf_?b3`E&dEzw)r*zhovfws&Dd*W
zh`#RLyFpT!u~Ln_OU_hJ`6cZ9GWzpt&hz)51$tHfnG?71{=C}XZ@1sI`+dc(W~O1i
zbCmX!hI`MV;<V@O*nXOc|M7~GmwZzEpUD}<9*dbbd&h01<z1DbjEd_bj<dL)-pYDy
z@s60y4mNuZi@Et17N)X(ENGA1VRtljDr@5-+06;It*1@3j~unmJ^DpNPg{(m>FtfK
z*TFIC)+ngGGvQvpe8(DlZmu~y98ONv{&>{t-lh7BUp<u~H&^mmq=mHZ%&T?y8QLDD
zd2~{Vrg-bYDJc`LiGO9$`!6T^O#bW3cQQxyIJTI)4m>Po{^!>3lH=<Nf^%8<3bd33
zx~IL;7G{@rVXa>F#_(lNUUInA{5wxB<)|~;UfS}~l4pfl&7p%^8Q8qfuU?j|-@zi&
zvYcyieVAcUeN*u4;5TY39@uPNn|puuk~Nz9l{^|0)~Gz(DWn;*g<a;Gb8v%WCG$Ju
z3B1Kp9bLRT(->AML?|rNdr)G1a`XAgK`g4%r*mA3U-N)voy+wAA=YElC$NgvURyW+
z*4OmcN~~TYng1{H_Hng5$xLZqd+L-#mzA?#vcM~zopO$=l8@D=sGgs{u{cMjB&R?2
z(8-UN_-}aJpX4Gd62ly`bm~j_EY~T`2QM=0IKA&t%8a@Bt;{X{nFs%0uKsG1)_<dS
z)27GEWj>v&+<JT0=Qklc_U({fA!}!~Xz9%(w^bXZ=O%>rHm^vHi3?k-aCK%*Z*ze2
zw@0D>{>E|$wXFQeJKt#iy54$g4@-%pwTHht2hLV&d*yY#S+aG3f!dj12bnvsJeKP1
zn2=ZRZlJbf>f(!&R;HGiXl=6D|5g37s^^@I0`=8<KO9~EDwOS^%8xz2neLeVSlV>l
z|F&<1b@A=oyzO^)b!DiYUdbxzDQJ1ObJBhexA{tkL!P~g+kReQzMb;tqD41WSJank
zWYxKCKILi1;Q!0Kh+*GS&A-zwB`mJl@+fQ0*TU_YYNapj$^`pcBVH;U|LDWgHQ}CZ
zwZPZ8t&^YZzxLwIk6G`he3eR&4-w1%?-<+Iw?X)dx})4u3-j{Ejy041<u2fP*L&K=
zJLu4gePXG9cWb=7zsz&_JG-KT54LLhmwgX$tUosIWy*`FV|klj=L<L5++28i%^M!s
zdw;H|XZ-y1CcSa<^UwrkVUwEXpotGI>pUnk)mUqkU2*7~<ev3O_hOc<s$hD(G}u;|
z|AzO}8BZM&nY1nBJ8CAiuzb{SD6m$Y_Cr`gDq!NX$t7D%#J(ysZkm?yOz`1ko#Ji&
zpO&hJt>su$zbd4TZCTi*mi^tn{r?IX`oCyz+0P=|T9~={k^XjOmi-Kx@0+)(Et_?x
z<XF_iVE;U=#JyR5A5VnxD)Kz};M*?Ez`#%wz%gUqCCepBo6m3g{c@4_;??=>d#A9t
z^&gk$`zX<qDD&8`=db}^c(_byIOC!VI}J2%yyt?>CJ8`yE!~ZfUAk75fnmkud`Ee3
zr-*TdZ1|&yoQg0*3s)xv1qB5&OXEZkZJ1(daH4gR^2FEE^>mN+FEO$F#A)g_>*p+`
z&8ic8JvZqGO<8@2dC9*g^`-IpF?(0Fbv$Z$o%Nfc?%~JUh$BaZ1UUCAFMTvS{Os}d
z%G_&|I-4CP*7Ah*8um?3mk~ANf1D6pzj#l}gK8d;p8er^Z;o|y_Du_zKK*VL--NgN
zPD!VG<YzN6FS~5|TJOx=yE~mjEcZMM6WmcSPbeUyI!f(waaw;tqITrsA|Fq`Bo|K0
zh{!f|r?*e6T-*OxUovr--s9=>YwZn*ndgo6U%aDb6*gmskwMj?rzK_m3eJBot&ZX5
zRjXg0%;>aXR_IElUuqrZ(|GN9%(YwOHz{jq?k?(?%;fAe^UC1}@jL;;8E<aA-=lQ=
zI%n!ukJw3NFWOH3bNSaE{8a9M;?lU3ZJqnB9TbZF;XVJsyY(|-S#F)}lG-mnFJWnx
zYj4BVCp&M*wPYBqv}k=R)#(}c{zkeZBkzxFMJe^VdNZyKcC{M!9^boaC3uw6_b2Dg
zUFAMpt3`4c3T_^Ky>t12&`<T5%FjF&#9V&et+b<QLs*JKQ`noe>CF<3%4@>ZmMi^b
zsGncre8%f|#gw4A9H#5)Lpb*=Dm#C8vYo|F&#e<&_wTvVpHqGBmB!?9p$$jP*>8S$
zwDY~<rT900Gd>5``*k0j`S@ej>f@XGE?k>;>$J%A2kWCaPgK_OZjtR=GqIu3zES;i
z*Fj(2J+hOeissE+H`9IcW{*jthf2RsnY)~o)iRU!@Aex-%Rlx<f7_NU|J1HMxL4Oi
zpfaf_tn0qFsL{fs$t*e#KeWpk-@WV=CuOvwqt-3Y<zSL+4O3s{G^YByg$zEtTG|0>
zOLqre6qWnmzGF*MMM8*iPjr`^LZ3nLO|DDjy}O-DR$6dgUi`b)ApZNkjVm^9z0+uz
zyj_SZjEV08SB`0`__ota44zju_vY<t5(s&JJtO7fkJ~2iPNtsN>b_L}A=fpYM~bfe
zFTR@f?7!c;Z`Q(%AB0O=eg+F{sNZ|vm`U&0qYe76s+5wx9hY%Fx8rNb$ra!Iu4@aZ
zKHj<e<zC5<r)BXT_nvE&&N7<PDG~Aij)&sLRSyf_8SG`!c=mp2-_~dA*FRhveXMYI
z^kL^Cecy{qmV8>by|OU6%FyP#=GmD3)89NI)^6}`SSc{)jhV=XM>A4<r`&kj9A@ns
zQSW_Y)89utWs+|MGWx{om2LSmXZ(mTF1~&t@VEXWt>|Fx4)!MDi{Izjyq&5TxNY0{
z#k<Z<HmD5eSoQ5~+{aDxq;u!I*t@Q;Gr99>f$|346RmQUYn=LwvTfEj1fF~GYFo4U
zEY(-Mf`L<C9nR*u8vp-za%&a)S&i%~lmGdb9MICJpE}LvR*1OT=B?4&rtOllm~7K1
znyMxv%pY^+_F><(3`PnklNJfBX*e+F5r<p16^CNyofCl(Wm4fGKflX;HOr1p4p^OQ
zymO_D`0JW`Gd85A)K-{(u@w~NGM~@QcHE8OUeI0puf^KuBuu|$b^7*Yit{!;Gx+uJ
zjf8FGrAnS(7wdbR_a1x8$XE5GMSXF4Smu>Y|2Z7`Q|D>zm^5AC=X{S~p_jFpbG!M4
z{@(KqYdQ7IpmAa~U*o&i&D~N~T8X&<ivI;p#@#Lm@%YMl%z-&F=9_GL$W^m#nWv(3
z?wuD{mE-!acK*{!j_K#}Y<@T1$UWw^Pb$YXb+35eX8UXw>7LH|$JezAk9~;_n)J1^
zIWTxa@ml}r&SF-<j^8{tl)L0r1F9do{Z9JvzhJk*Ge4G3Q@xg0M?LM1J-@V}?R?JH
zo&}+|%u}PM*S?s$<Y(-*-_^SL|1BbJEmZj|rf8@5afNm3Ki9Vh9x;pS^j}x_&%k|5
z!|@~g{44X%Z>bY{_D#O^?3emwa*Ni_+-tD;L^k7`toC_n!urxyO8i?HZ-qHtbXlHq
z(`!oe`RI~2pZDLY>NxOAx0Q3f|IeybULoT8?Wq#04A1<S8?Jkl<v~E+<c8>7D&@OY
zcC9{_)VZX-PS@`F&ASuz0vj)HebQ~ZUb1_>%yFkrPdN8D+G_cH?^LqgclaJ}XuVJ9
z&F7PkR2y1uIGH7UmwjE%QRX>cx23M{e)979>C%_mxHl>OUCa9S>`v9h-%}p{Wu7gW
z_j2dSO}9MdWK$k<AIXrM+hDg^yokv}_GD+)p){@)Lac?aOKgPY1yyf_UHP$2i8I8~
z_fyo9v|ITskJP8^J33#W^g+j_vgU%z7jOScs^>m>rs$Kr^vj@5v&BrSD|cQrE~>rw
zn)j}3@DncX#q*pkz3weJ6Y_lR{mcj!0j6BRm)TXH44*Y*Sn%y;w)nl(R%ffdarOy^
z%y$ZfF-u;kWZdR2%DxpPa6X_kweU??nTA+Zq{Hz8OO-ceY`-&2u|j8!?T3EP`JASA
zbkCk%m+e>oK1%Mns|XA0y^0o-1*!?|N1wSzW_<m%WJ!IJ+2eV$c(yeq`&`ZRR<-NS
z5{!Pa_sae4cVph^-{lDS?z?Gw{#@6f1DYkgp6Mn{g&9S!G#XPEc)6FTL_6?neklEw
z_dD&?|JBR(KECyE-phJj?!qsNmI!@`zt*tHAtHXZh?_0{r25JBh3R>_-sorTc_VPH
z>cWX7U81`gvZVLw7x8?uj?8<fsuIxk{G0rrL+QWDT!Wks$GzF)VZq8NwdKGcFZMwG
z@|m)kEbrXTOufFZQ*4b#-jn$skAw$q%<gkp?XtX=ck!p^nT^}33X4rdjcd0`1$IrG
ze!Pm6U2$=p@4n3%5uYvU<-W1^^BbFO^yB$Gr6BT}M*7KI^|@y&n-g>vWIs+SUp0;E
zL#=p?;g<Z{TOthDCg`V~f77mY$v%Ni;Mn2Ueg_v;Y*L)$ng3vmF-PC4`{fBUjAvhY
z_F~^Mk^2iyo)p#054~x&wNiBJr;fYD`)4Ijo3uLW-Tv(7?`Ng_)z~R=seNUA;I--r
z=}I-Z_8niddS9zvpL2P7DYM;Oky&T1obOHhZF2q1)<v6d-|CphEWvhzH)ao4eOArl
zGYs>a--v0N7Ar(Pa?*Nz@X|)TqkN`a>}8!Dk(+r;*6{T4=mhrVU-?jYNolFm&i_S1
zr<|<iN<Vf9r!UCq5qQT{d0yhMPPq7Uk9x!3u_yjrH~Z8qZn@%zTi_RlC2N?B7fLB<
zr8uwMa7^z}_y_NdGub^BYmfY|75eqKBW<2?!<~rgd1u&-w5H5|8OJ43n4fxFdCwe=
zeHPsk`@GfaPZg92Z@Fh*5GN#m>E_g)=l3Ke+6|}u+LycZVAIXQ>*CqpUwk=am;dSi
zkz=3O>g{LkofWd;Mfk0&75P!32a}FexV|bp*ra)XUFl}^-G3SWo>L9|e1cbrvHUWh
zo5<#QKh6pFe%&T?RbcPr-=!}1)1#bP_AF)f={@^GAZX^V3z_!Uqyw8j$9-y=c{Qc`
z^2+)S=40up>z5_%eUv<VhVUBg*<BXW`hOKK^Ilfo;dx<I{fx4Wyi&80@2c|TtaUqg
z>9L(}#ev&D<jVJ^PEm4nI}j@T=+c8nE7UHqR){-4u)i^7^FIBJXCg~Zp75>xtTB&o
zlF)gknm_NN5BWW~5L(pD5OY1{#{|Q7a)!5ltQAap>Yo#{`|(q+^0<k|g&EQ!>i$iw
zsZe&_5NrN<LTcG5#Wf7|+9%p3?s2MLE;8|4Y~T;UuzNCV{Q7>fY`G<)@bY%6P|WQm
zb^&u8689d=zFK_#i;dch+^vEg$L1w-%E*89in#aT)ulO6swwN&YzlagaO)gX%tDKs
z8`dpbf63#>XIoFxsJV*wm$PqJ`;WV4LAUh&j)HX!P5*j-xt})C_!V@Sxu~tad6Aay
z$0-7Q)fq=^|3t4%lQww&Y0~>#&5WIHf=_)jEH4~N*#6L^d`rWnip=Y~EpDDk`N(?l
zo0rRHi5bG@>{{2g3oJS$(sw|`!(>6{0{Jy-iyT!odpVyv><H@R*ck9cF|tKx%85Sh
z+siYbE<5`AQM1$U&E2Lm_r%y8+&?|;<*9nTO~Q=I`$~c`xs<nY2QV)5obtba-lK=p
zl^wQr{G8j8`+RNE%X>bLm;FdycIG8(40qc{jdUhEPveIZzDfPrFze_9wn=e`O^rXf
zUQT-c_)#mT&&ty;KJ1VSWnZTd6}`80{)LH4WIp|Ta3Mc<-Gsoxm8--4$p%z^$>Pvx
zdsuMpvwi)`S4rW^%C3tZTby_8cErSje0LFT$EitMKe9fI>I_->;^W>c65cBxEvobC
zn|C?#%hbscUQ@EP>vG&HmPl1!-}=bOFK>c*x4iG1dWOjQ85xC4{}1OiM?AT2di(Q+
zB}>}UF7kX<4LKoa_GIDPxlvIocTCh{ESRUbDf;`P*2LpZne}&fbjY-}Gi;dCd0*Hv
z(A(&z`rV1W@{><LnO<*rex_P>V`Fp9MwjJktGV~AkI)xv&{ckxGR;x)&;2`%U*?pW
zUeDdpFz@fY+@K7*tQ_@?lBNsQ#5X-xym6-8RP+CVFS#mAL83fIYc|Z?9<aao+O+I*
zUzzGuG+C^py3cS_GkkNcw^!qT_&Kg?=eAm#$47eJWW~$3uWAkb@c-%bgegxGWeZdH
zK6<)g-6X~pw|3r2*|m4N$HXJo9hSQ!DcpK_pvo@JCfChIs%LMh|2x4;Q99F)*~#_(
z)hz67O!f(nUeqw{)!v7#9PWD;p70Po_AGZ**wK<3g8Q~VR%rdp5^8MA^If1m&P;lD
zl=*G*Wjfn>W;nknPiJDhD}3~YbjaHDODE?joSZyoSC1>_PvJ}4tpD|s5<juE9c@-9
zT)JbMQkvq9>gL~}d*v%)K3urP|LNMw*|Pj6K5Pu-`<R!vpR=gw<SCvN0X|<oIG@!_
zPue@}1@r6hhz*`Uqih0qM>lQZ6ngnPc~AXmmh6WccTUO+ixex5yz<L*XMg=0(d4yr
z{+iF7C^J39Lt(ASpM@cxH2q#Oud@yA-q)V!|6tv%&*us+s!D9K-}^(sX3dKB*~Lmx
zMRQC$!gn)vJdHox!MlntZ~jiPiq&z94f{^Ed{B&=<=-+-(P`b3sSJm|g}-5wGB|dz
zeOurLkNWJ+?!&H2j#PbgG8enP=9N(9g;R5{ZTZESn}7av;E5WeD}p9|R>m^Bx7g;r
z$;k+RcW_~FeC@yV#*b<Lwy(Iwq_gSH$C@qt+aJX9`>t`wxA&?lwop3rD1L{-=k&*?
zpYrQnP>rbBa4U6dx0rb>&*c3b^=S_if6e@}SIF_+a}_VG`dW6CI)U9jzJIulVpKXO
z9PUv{`FlTVCD*4kzDRA(scRNZwyUkHN!Y)@LEF*dg4yJ}<(Upn8~1uHTw$WK@un;H
zp@j=g^-onFJraF7F3aFgj?Vpi_i|%D8&3>LUGj79ebxncXZYy$Z_)hr_s+#C*&R`}
zAM5(2=4<rXRW18lP&uRiM1Jzmvq^&a;v2GaR=M7{PA@b46V@KAzv4`+*|i7_wG$DW
z9=yNXRr(=Bq|!P2w2iDFZ-VsPfPybq^R(7;9%Jy?v2TaTNj*h|cZSwJ_pD2%PHbVC
z$()mwJpHnf_pSDTn{N}0es4Y-{MO8%B=hWE!GKmrL)$ZR1TH%)a$BJhQ-40CyznG%
z)B@?v7r&jHyLCaf<`jj9>iX)`!mC^TIF@Qp+cVSq;Nl7DziUq|;e2s=>RJw4?M-gJ
z+FTEl|AhUTB4>QuWXA`~xS}6T@A{60$K6WXxa{LSj@*MQ6{lD~pR=bp^}N_Mv#5Qy
z{aU^EwH*@ucUEPi+>6Bb1!nQbbrzc~uK!c{DT;IB5ryL2{^#TOvb#N;6i~l+|B_c>
z%jMe)ID>X~E)(sTabCY$<$Zeu`_%6b&&#|??6_Wk`Saa!xye@f6TeJ){UB=NhGl69
znuqKPX7FBPVV+>SeXEt<Q>mz`otbLphnP;M{(W!4A@#`q@i(pPl<&m`T^6@*T9rI|
zbTPZT{=0D8^XGY`ek}ZRSEyJ1T=VtT+nZ)`j+Ih7eJ@R1Dx>q;<8q=<fuHH4pSBn8
z-COh9=I62E%-0M00{2zPaR^^vk)NLVOHs&kV!ihz&Na!8=e_;^{FO+vw9J3mZdP^)
z1G$<d_9yq<;7&N2G1cUcZQ;3C+s~PDx22V5@~Ix(zJ6uBAmhWMecUR)R$Ovy4O4g*
zvhZn*OU+x>?~nVQy!`&oz0h!y^jX(u8PB-OEyT?NI9d-k)U?Mh>D5!8=Ii%9XZMK;
zOBq{_O5W*Vs=RABP6U_9s+2$dz@@qE-7b;3*?jR&tt*7LI6qvGmouw<$=iym?N|QX
zkJdfq%=~fdY|TUUE9*ba*LobL_H6z`jydHj_jrEU?&=HfooSW0r!eA_M;(XexrdBX
z#S{&-+y9&RrP<yytyq;J=e0&&q^D5g?4Nm)Pw3w9oRnF|6IZ1%S^j=@;M1OEOKw;N
zg$woQEIP}gy;F(r#!sfFezU~)Gi=|k`6(pk%D(bR54DpX>pq#2@ZgSGz12nO+4KK?
zQ{CPwaJBvP!muCmcfI~*a-1^x`tkfx>0K3EYZiZPIM4VvUhPt1P#w4ByIE1z{r4OG
zWLAA&{l}rgr0320$=w>>iwxLZX64=uPGy}OQFwE1>N15T7c;i*cD282_ppX{f)4Y7
zZw%H4uiKq)4Na?IIeFt_Zrl2JK9_|0XJ!i|Z&>e;_G7#EJa+yzj<nJZJ?W2Yl6tC^
zc`wuJsy|b2b%)X9wd?UD+s~<=W=!kf*6`B9Zqej>jG|}X{a<!hpw#AP`}ZYRQa;!%
zyB2d(^uDFUeDSn`f)i&L@+ZyScg^U$pNZ%(q3ZS<@}Jy4eBQTZ>#q+<Wz3uAGGB8K
zuM4W**j?k)Gut3CscNQPJFm&v*?(8ZYyFxr@fGvjQ`$RJzT}_F<e9o`%B#d+XZfX{
zv)(?{2$=Tu)T-Z}$0qNa(V4I%bjo*b*S89P68*Wu`hs`vj+^Mv$<+Dyqr7FU;<cH(
zmZlv^cMJ+$sCs|KwDcFz-#)(P(N3*;nj<}9_UseyPsG<>xAdP?GVSq_?-MSEiCqpA
ziagylH(e+sZprTw;p<AeXTNL`5U8JW_o+qZ5wm%l8%3wfzE}5IeAlINi$Y$5NxbRY
zcOiV!)jg&g_nqTeTN|kGB5e`7d*tEm`7u}gR_#ieY4S(dxaPT)NxN8TT(#}iGOc+V
zRID}TzS=SO$fYFy%=&``$?O(a?sCt*w?R$%Q!;Z$*goyoOlzlnu;5+3dV5|~&w2*?
z^@&@%SMPlCGw5U2`~y2?30ataVbsoaUi)gX$j@!Q5AAtht@_Kn`2Lk$k98QPOc3xp
z8u~FPLtFDohrg~@yY#~at}+e}f2%|m-cN{)O4#O8^LBR0`JepeJf<nH>Ze9mX~aIw
z?Ap9n;_!{N+2JQli+5->xcMjC(T{#tt-9*$r?`|`9ve3m?b843bv9Wja6y;Nj@Qzj
z3=L28C(C-}O)HdG8gN-Qa`syJr4KTAB@!c|g{Qun?*43X*B23K78$Ppk^*X3?hb-g
z_G12PdzQHFat(~W9y{Al(Ti<eYFPawS#@uZ%gxWjyV%qgnmsYt9qCXW;dUeJzw~Jl
z3;wCq{~5FVwW|wwx1=t9d*}1jgY8l>oH8~lyi=<l9Ly4}<=o#Zm$|^KrpM&ZzSjxY
zlNLPv_hwJeoZr#gx5wtHSk&h)Sg+qJS#{0**tx~&8_ak8_}{yMlauZJzRediYHbAj
z>hDC{o^d?WZt=b+6WfYAA6j>5^*+#>zhV^=)2ZJ_cG*r>D%jK~?P&K=DE8ey{koHH
zR~1}4S{C(^@%47mzdIMK*miW5N~pz-&&HSLo@<=j=@73lS?^HTshKq=*?ZW;)>}`W
zHg9YHl?QeIT3UF7nX2vgzG29kV)I7L{NL7XY|of}*RwHMynI#0z<kpCmAfxvyhii>
z$BXova*mkAZeo@F{Fx=pvrg~wr*~Ud3q`fhahx-kG3)oLXa~a^d8NA&<=)+!a<;_q
z^Edwe0&D7L{^8Gm`AzJ6fdXT$%`><ALfbOy-5Eth?zu3pUAp%TM`V$@+qKRMZts{F
z5?wm;v+q2*e!QW+!zVrZ+mFR8I~Qna-?=cuBaBbPwBy#4kFIqFIa%dC*YE6}?p8lt
zVEch3&vtG+Znf`225ZHI@O&1BpEaub-Nzlw@3}QCJfJ$4Ygczyw4Uy-7FBL$cVA9U
zn^N(2^ACGR#z}FMU+6Lp+j4Ny0mtcH-~a8L`;Kv0#3Qc@oo;LT1?z>@9QHXeYjum8
z#2Q%^Nsox)`SKn=Jp1IYZTC5n)0X;aMqkeSc8>@5>%7z^a&zy}adfRPkxuioEZLKI
z<@vX&c|VRH`}_Z5UdXE1D?(f1D^KKY(&`U1U-?h_#c`|PTcswe_nuz7DK_@X@AcJT
zh3vCtzpTDl#Xs#0=b|e%{ZD-?>+fFTXUoz2P$_-cji)m6T@BZTs{g;c*G36@r`IV>
zczD?)Y~D|S8y42rI)lFKP<ORd%(@bmWc0--*z{R$)!VKMthZ*ia^+OFi>2KBSsNy4
zR(|rt2hX`ZVfVfnr<HtF$u5`{diufaBRdv63e)5Ja6S8R@$|+oK^kdG1y?paww_vl
zt2RP~f4V5o%aH36_8hn>;G!Z@y{Oq$j=^c!262Y)9jhijnB(F&DbTHUYgLp?kMh-{
z`XBV?C8!ik;biXYt(v;jSKj8sq^gM%wQlaX`qSNO=i7fmzwCpqO#8a(;VOZ-cK4$-
zcHOP{v}Lc?qnG6`^(IL#iHKJAj(8o<a?PXfrEdLOy=_U$6_+oV*dTEHw6v}FDUrxL
z=gm7N&$YBVccY-0ee>GCsnW*xtq%3^YLuT+J@vj#HU9U4zE05?|7G99o-SP8I%DNt
zTmO1)mjfybJ_MhW{jghrTPNGVFfy^G?B&hA_z6<2@9S5pZ}@I&sqwa*BirWrhRA5X
zHxvHNJzKKwTYa+nrMDj12VQ9ltkBS%dHhsC_PmF7td|sv&shG>**|4oaNx^UwdQLf
zivmBCC+e#3NIt3hBYZ;Y&C|B!sVDv}7npr_N%##<O^(a^Z%<V2O=%LG<RrRqb8FDN
zSKW(}*$)Ivt1H+tW%HDX!bJ@M#g#w3XIgfc{JM5UxpHbz%noU{`paTA-p{zCdxGUw
z1e*n9h~8W<E9z}UNZT}l;{B}uyF8PAyM9m>KD+ImE%R6QRY!|Ms}?rNc@?~Pb7lUF
zIXAW+ul;gbnBlt26+I&%#gO}_V}g?AdQYl)98<EkwKGoM!)W=^bG#2EO=1r#SogDR
z(<*a5;y>+EKV!^JvuP1r`EvD(&#9`o-nhT_RI%>!@I~uvJvAOZ>MfOW%1P*rsM$O1
zlVkgnh+@-@?ro=L9~WAss{8O`#xt*k)&pvRhx}6brW$pvzVrPQPeY1ZoQk!LVyy5J
zlhZ6wPqlx}EeR+}xyLfwnl<e8lYdtK%)R;S1wsz6-SfLGGikHT(mKJTsq>?j*2~)`
z9lv#;T)$YV)-YXJKK}QqK1M!<Wim!yi^BVjt_j50w^n_Qx)znKe6(_+7I*(#vAb?M
z=@%Ac9%tP$>G`jFH;$P*_T)e9l-zw=rt7$CS=ao#-}qmr&f8;m;^cPYeV<Nm4&vv0
zv~3H=_h0MYnWx){JZ)R6vYqL(gNEPqxSBKdYB$Zy#2IBLO$zg#e>rB!`NLb6+nl}{
z8+kE;e-+QxgiX_}8K0MGmL2(YX1~iy;rKTf65Tj<ED2iUxn<Xbzx&T6T={jkHX|cK
zYPD^SUaaE6q?OgZ8VO=EM7SO=`5fdJs=J|ZewC~HKTgfcsmZUqOszEjhny=<+t(_y
zH-5Xz%uV%`Zaaeh`-h7%9sl;bz}rgp|BtE_R&Q9;Zp~P(@BC<K>D-PPTAVqOMh_iU
z_S}-3Ix9h_*>7fo@^^{-A8htL|9*Rq(5`oZXTFOk@UF|f*!^70<<;Wq2xZ%~?5AGY
ziLGAoC;UM@@5@U)nR1=#0^M0ZS_=gJ8=BqtF0^%ujDSP@|N4K=Q%w~^>jS0EJ(I1{
zJ(_yr@TQxledYQ~!)iRXihlfSr?yEZ=bQMFQ(NpTRYMjVH9gWj(iZzvdmrmAzRS;~
z*wO_98e%@&2wk^b?hV_miJ{y5EQ&UjyuP%j^BzmbpY^YWx&w2rE}tk^o3^BU0TcHQ
zmXOWQ_TO0J*b-b6mDe$$-Zr~Z>Qdxg^RAX(EB2{=`QP!bf8CwS@q4YNHb0J;@cr$k
z@CO>9$$421BSp_-HieydeaA&BcH6PL2gAfGwzE`zx4hTEbJ<{4RdNDL4a>GQGwv??
z@igvfr%OTlhk~;TEXS1C_;)aFQ*G$FEWSc<sb-VTqNPV;6&tD|*aYoA=2z5DyQ6Hs
z_)E>pw-YyJUktGAOX9opeIi5A`QRfN!Pl$aZ{j*Ku{6uz-X2rqZGumi`W{>SFZu0M
zp1xIc>wc~c4=w1+(~)~z7pD3BfJx_){f<lB4gTK#Q0WmO^#9Jeo`be;A2ch@pLa^9
za~fak$Cc2DU<L;8QrVZkbY4}n@-i?~^MX#ao4hZc13ViHULqT5*qhC8YsDUAH-U=E
zON?hy3eQ~J)-Ur%W39Tu%oBYQ6M1`FtKL3Yd_t=Iz0a0hr6sdX@?~!t$)61QBEc*8
z!C=zTbz7~>l@6-UUb6I#=2F=lCN`!QjM**U#UAE9@p)qNBxY{uIj3{cg+C;Yac`Cm
z?)z!9wWq&+>v1J!o(~U|8#Iy=pUo)rnf}nontkb-HzB@@rb=6v>{|7B?iEde7gt=g
z%x@ptR`yu$_tQp(Sz&ip9TDOAbn3ynX}Q;)RhG_OwNmXZPwLd?MrNO%nqFNZBIKg&
z?X7v_^{VEgm0u41n)OKQ@Uks$y!4_Sw3Z62U0byB&8|zYZml|1|BI<9bK$H*Um1)$
zJ(c|LbT0i7eSQ0jUteBcKK@c{^~(A0xj3E(MLo8zicg4+YzTGqs^HTJKd@p`o$cB+
zItNxsd+zAdP6*kr9oZ0C*CHsV;&NBXrXxI@gP-eA^OC+9AGK0l*w+WSW_{3VWoZsy
zxma|M*Uu9bQ(tv{)H-@NfvJA}=Us<(70F%s9m}|LOH6Alqp0CkQMc9)BF{umhhAUb
zt&_AWE^h7|jTc1<^REdm5L}}a<`LFs^da=6<IaHh=8fWpp`0-tEA0vwY~)yJWO?#;
zY~oBNztGsozZ+soIYpnY@L1RU{j);%X?^YuTq`u(pYD9e*~J_?WwDgyg^7x8^<o-A
zESx7U^3U&&<G-(X^zZ`Dkl-ovuZnIE>zvyD{=~1Zbx{$U_N-fTLTTf(4^pOImK4jz
z$3;c%R}1v@tMiMB4{>r$I{MJ6#*VGZCjRAX!Ix757FjeFbyam4xq1ms6_7FgwjhvI
zgIj9f9M6}Ew-z`YVUCXrkB`{4p>EcihRFJaki?LcEme~i_<U0QdP*tvWU1>?mcva-
z2ac!vNF+U1zNGw!JtxvP_vE4mF<H?-qoO4xSF|TqIalpf^st+!&puJ3%Sz_<F_x~b
zAW;qfRV?@ad~+(*`e6P_;>k&?Zy(a<+J1{wKmPaH%d`V;XYDcn@Z`#omdwscOI19k
zNO)K!)h}sOU#_O+KXaN!q*s$mXX6rOw_{4~`{d4doS2{--~au}3(bkkmCv8f*ew0Y
zBc;q)xxbt{WS5OT^O<$~n5Kr@;@rFLl63##U6=a)Ebw}M`QOG}=jYyhTO6yt^GtO~
zUV2&FbLn%A=av{x*lhjZA~^l}BER`AbJ{Jq3*!z%GCo(RKWCk;aE{aBe}UV9o9&+&
z&)LrLPM13;%_606?oYz8cDafh2cN&1z|3cU<3Vxuf<!jSqz@+@V<qAW7P5-fyyMbZ
z62Qhf-}@Ph@UbZmCa+#6A#|wW=cD51d&2X|Sp;i%4&Ib+;kS8lz&(NY@us8h^#<}k
z_t$(WdRO%9z|7N?rz7i6M|K-uu<ZWKb}sT<#JPw$>n-9flS8%TSI=2FXZf797XRm%
z7ECKTcR-T)Irkjhf_0B#8jV@c1)d8y7cytLh5rMcqn2I9BI%rS`md<leVSp;z4vcq
zPjl_9gk)aROAnflNv2J4JSLIU!r12j@9&{*y&X54x^3<LhWDR8d#L(zMZLb<`=f`d
z*MEr9-m{(S{ocoW%l1s?dcXGZ-r$P$BKM?QzhC-z&+?x3@#@DF`ZDiZ4^`i<STFs)
z_E7chiuJ<xZnu0ldbl@dPkHZmy@z|fD%MNA_dQfS@xwjkJ<MshDoYe))(5YiyiafK
zxsRn8rB)M`Ke^7k`uRtNyElXNr@lHf?^u24D(&iDVJrLIWJWLDwQ+g&)K@M3m$j~T
z+RBEl;<htgU2=Ng&o{GA+46?Hdb%>^&SkxP|A)8c?OJP_>Rr9ctfni>Q~q<B``LN(
zLMH`zwfa}SnSFI%=JfgT`*yyWedzC-PiK?vUwQj{PVu_GbBfPxo?q;zeM<9>X4p!Z
z<GX6t-!ZRG{cX7RQt0ov9r>%iJ-+dMQ*H1T`R1wHmTp}8cST#6naue*lhuA^Y{xeS
z{!s3-{hhY$z3cB~>G!jy>fJP7U%UB3_49q^@2YR_Gk?2#%_Y;{X<ObWEX~Wx&AFeQ
zk$Twfx7q5H$)y{P*S(se`$ueb(7MGb_cy<-UR3M9>HWsH)h@s5<xcJk|M4bFQ?}Y~
z^%SoC&u@LV`91H@`@*-?HoxUs&mZ<uzik}3wD^b2nk}by|9v9+x$m*v@xF)i?%%j}
zY2H<>lY8W><?I&EooOg}GFj)(&ETRBk!#X+=JoxpT3M3vyLNSn%)fP^R(*f@wBK%v
z-{`gfOy$q1uZ6yU<9>c^+qctU^`2WzSjw#bxUPOOGcxk;!@HBN?zFl0HsWujT>kfB
z=bcIW58W!B`>y?!#FwpoA#bWz)%tIKuldb(Rc(LT{lz!R*Vk^pS>DYWbJM*@<>XqQ
zcM>yaR+d+mZ+9)6URho48Yog;UOQpqp))a!I(G#dkI!Rl+Hs?K!du5(4eJ~hOt_R>
z@8Ua0bC1e#9+S+J1C6W^vz(9k_pJBsQG90}oww-J7mr8EGrtHV*fPq$-|^)BDP?!r
zJdK|R-5O$p#rt2^I6HW{>^?UAgeteF=+c#*{b!uEWIvhaYOCSb(@_x+7^qb7C?zE&
zWy(=ybA>3=Nyj&6_)TJex#Z}nr;m529OZX*N~w?iIQ?2fLVKT#i387Cc0t}wITs;^
zZN@v#EqUQ{E%43Z<?H@0<Fu0w{UYu<v0M86hK1&m)3zPy`!0M_BB|xzQL(5u7bm;Q
z*+g8J`B-JSW}ms{(mwN@1~MD2JllK3EidzAuX!ee>!WABN{zo)D!Yo5JUh|J%-&_5
zH!tIHi;$;6ePN5BtAL@4lwy+u^O2cybrl+oT_4rzuPsSjq|)%yNd5UzZ<#x@qor?O
zSksf^qu~b4+CLTq9$s>A_L9tdDyJu?^nABD*dqDd_TZVy$xAAZM4p`KQ=}$-f|Flp
z!=<}bMiq)TavHwOnDIH|<{_`gl}zvW@-2n7HXhdQ)~ja_a!)tQIi_8be#9?`=|I1{
zWx09I#{%7JQ3nt6Nxu7A7oQLv!a8A(o6Ovkg|6unuXfCFOObck#>mRInT4k<TCPhb
z#%^~)$7=UG*1L<3<SMU|D!knBx@kv>S<jCAM|?+l^X3~&%--mtz1wHYl_QdRQ|}ZW
zoHB8RO1Xf}Wi`!u&7fK@sTT|0_GxrE9+|}&aaD!A>Ent;Rgy_3CUl(W=uq*T#An3I
zksM;Vx>87isd9pvQnP>}lM{!di$dcG4~0e+6D1eTCCs}oIX&1qg=Kbkcif2!(Yglu
zV&bB+f3x<LIEWoo%gB;h?z!O5)ie59F%^$ISe`9+{rm8FyY*_W`q}@JehSF{UZrQw
zpFVw+{iU?)CmG)y5sM00lo0M<+xoBlY0>HAQ0}xPzk&*_C(dEYGu|Imcu@2BlC>#Q
z5~Xe{Z918J$~)+GN3{QCfsWNDS7ygfVN9_OOc&a$Gk?XgGymR~PV-B4-?i}4+uL*g
zop`@{(I1fjYk}o<8`paMTIa)9?-o4q(9Nm8S6)3DlA5uv{Pd(5oU3<N=&8$3NOn^^
zml8a){&jADk=DUU)mquB%jN8jw_TYc=lLc$`l8_W;-`91GE@K5zL(8dI(LS6-PWMo
zSf}7u^Q1dN7FwO0DLtJp(bQ+2hu@{^O?3f@<t1LH+&V5S6wj%e)Ox32I;)S;U#0qQ
z3!givENNP?eEE#>=TE=5%;H_{DR?)hH^TbJD)X?^pgl*onzKetOj?%pd*!nd<0TuD
zRkpgR9{IaZ^jZ9weD%9NU%wn{Tbt6u>yox*qfAtWmyyW2gjc&%J_|)QMV#a+t=wO)
zr*fg*y=i^kY6;_}OIh-FPP-hL(&B1+O<j^Vp`LBAp!}D8#rLZ3*FT@5%-9i@ecW%^
zBmb1PIk}r7I#=if`P*Kv{Xh5hzUq6w@Be?k<MF)WcY+(3cU50+xG`7h{nj&Eo=K(O
z$bP%KS5SG?f<;2BJH_6x>|1I+jpeQ9r3cRY?1Yap|Mz{d-2ZP)zf$!71WWA`6)O+8
zN-fpxpQ|6gx}MqlVur|__h-vWb&B5JP?HSWxo&P|s+z@Z^|?<>yf)gb2zJ=tzHff<
z$!*!wQl{=%wbrxVF;n1+gl5hm>6^aCd*o&uyWGbr)X(;azg{<IwWIo6){~xRWQ-;|
z{1%?5Tg$^+wc6tGoZOUe1}ZVLI$6%7Nik;Kk!ZPaY+idJ--3tr(NoLb{L%Mvl2kqN
zX2l+<jraZ<8?fqX)>Lnnii%vv9Cq=$B$o!)uGidg_e!2Q?DP4}w9MAnY59D{D)BoK
zN6ZeXUSIgT!0%SHVW?kM`9ANCxPyg;VJc~*3ubZkS-h}oUDa)L;lm~N%C~j~dRAxW
zJdw88{@d<WyNcgpg(=(Tl-JMwCU~T?^m($W$z#UVo7`L0|6;A%(r3G+;#zM*n>5!=
zR^~Z7nc|WzyM~?kxpd*83A|duvlJ&R;yAEoX3>=2pZV-+pYAF?zG~moa^tSlw0)L_
z-g^yY4XS^=`1Sv*s>6dR_HvOQuHO;d(I@?2;`}S7@6}IDPCSxxG1$>8&Sz0_QN7vw
z6}&42QnuySq*|Tb(jhJLvebLO^c~qMrS&i3rtiL7wsFbEAK!O%Nj`Pu@RVMB#qYcn
zhrmxE(e*nHSk8Y?qxrZm=bYeD{Y~@M%s)|e>9v2f#37#li<IQ&`)+X-WU`pED4|$&
zac+mXQ!2xmnJ2IDpPy{^+jz#Nqgxj=S1`yfFlzQ-zs~ztYj<YKJ~y5>LIRergdRJV
zu?Y(3!uoEIn+N8Gey<l5V_*;v19jcZQd834XS*;8h`oOaTFh%~4q42bY>{jZUd(Hn
zW&!To9Y0>Wp22GUhsg?gBK3JS^$%{k&+#bV6&l~QXvO4r(d+E4hl=n9mniTtaWTDP
zlos}kT(nrz`&Z&5xqC;x&p!O~{=+S4rx&%&zE+{9WbQL_l@do%hn7y~=1X(dmU>P-
z#Td>v<%DAL(bE^3SRQ5zYQ(jERCX1$e9Fo>%cxIG!ON;a`^<)qh9aB`8l?p510p_Z
z{Q1WA`nLW(mS?uxR+OCLSlb;nLE-fxrAe%uSqJ*3O;ib7!E#b`p+kT((>$SNbJlhz
z#fEINy)M>Yb-#Gd(Q50%dUgNLiNBAWsuOwfi}k+0cjJ#d6X-tPP$cRbc<A<=PW_!q
zKXY%N6MJ9USAXwkYVv;F*?#eRIC-`g+D@*2yT9W7-lja~59iJA6q{T(%0HBR@6b}F
zW7Qdw9LKj#J*;^pAfIPj;>~L&X}>dH{_%6?EoHKC%HHPlp7+1>w!IAHx3mu&lb2x+
zEZ_S$_1X7MJtGl;KHt+aG8xmFSL~kqlkd9hm)^n%rSyZ_nwveI=*%ejpc0_6{<AEf
z)r)^_4fUU=G~a*Q|ImoN`fw_r2><1s|L;CJ=y7V2dgI@{ks<~nY`2bCi*YuaCk9GH
zRD6=V)G(JVqvosaxpjN|ju}<Vt*(DOx8u>@edf}7$r?+PtyZ)IZLHw(JFHOA{3zF;
z|DjF#we;t+KVJNiR1@O#(?a@9__wzIN2^(D|DUft@<_C#es2HYyubrDEN-}cjyZDV
zT8?y*H_wj;lh+sT`7HhD(AK>b>ks7fv40k{de!&v?zMZZ+0}wlS!`#%1-b7!aBatT
z>88ul*IwRO_R(j8R^lRY1+ONliBk-oa-|fj?b~K4^X@x`-{m^@0-;5Pck=uC<~o~1
zFRO3AJlikdLx}a#f;F$}FLDd&G-mj=MQ+)v&&%;pXvV$StgodH>(4#C?Ru?K^8=%s
z&jsgR%ZYsc|B!L){0lp#9oWUY+u_f@`N|6{@BU0H|I_|wpSkQ|bD#HorwhKkvzoB?
z;I4nQ<$aqU8nH?RIQuScyXEwPO?Bp`Yk{tg{z?HY7Me@9G)!FSeSA@U`{tPXqCc{I
zmn55{Gz=eUDjvMY?$l#=NJG8Wav#s)Ia`e0RM$nDRd3#rqkliOI=B3s;)V(yRz_wO
zhXoZ<|JD`HWP9-5PJUC^68EI1#~p+9R#&a*>bbzU`oyLp#welCu%(woyB$+P0}oHx
zDiT_vs_zltd`xI*!U31m*DHMlQ=P6x)oU&-_R~!5F^m!qReiy*gg@f?k)4XkylcBB
zO*|Fqa`8f7rHF=6)Fso47DfvGn_L%)=**G*@TFv(qKVJxy}2?h6{&kZmRq#A&n*hN
z_BEkXHMU>n(2lAPUm`@g;x1iYz;6@Ovh_*VlUI#fOamom$!R<DKW#La-q-s2#?G|U
z_ZHX3t?rQ8G*x})B9|%5)9Mx|P49D!H;F!$5VLK6&i-8M-8u3bEsvbD`nLPs_rKQD
zb*-+?(!IP*?eVt#yWeV0y>{w3bJ(e<=S!wsvdo@(=~zXq_%VZHoX;O8btoN>7FXf0
zTEyskEO%xj?`w&Bk~a!wIxqU%>uuwecOgtPblD#%_Wb%&=Ub|HUo@;|oX^N*)wFDR
zm&&Q833kjPCe9(Aan74lgX&f&Y0t8`>U>e~i^r-Hvo^H}oXdE+*D$Pd?bJ!PqMpy0
z8sw!qck?xk^p?P56_QG8BJWi!Z2NUcKxE;R>tR-@Js)@J`FuVqQ9bwf_JkGn-cy3^
zRj=QA?OK%f(kbUGm)B3(^m?7X%;V6j(yx1hj+fu8%b#<0+Koc5idf5+%-{28Uc9&B
z=~VM%g~xlZor+RkT69h$b&r78dBbz>bAH9|$TNzZzGQ(eXK1C15nI1x^4g8Z)F;`T
z|Lo$gGSNe2QV-+S81)8@9oGb2-7or@-^p?L*zuz|_LXY7omz7zXmiD7*Dqch8s?=w
zce0=6>XZxLmc2b|mzy7Wd-gW--2ATZTlN|nfI!9y!?Xu17Z_X?RPbkSdlR+7No3Z9
z7A2O2+1hiB!UA7cuU}hosshPdQv%$5E^cW05SN|3w8T#{`AU)9ySYU@LFYU(*Iqlu
zQQFkauz7D<fX#~fuUBWh-P`tSd&V}N?CM~f-28s_Rv{-FpG(b;e%@8yaWq*&EWqJ0
zdx`Andpmqu*ch81v9k6sxlNI8*D%lE4V>J!<b<&+hn3MzHQT_QL9V>BCaDF9Eb!{Q
zX~d`O^B_&=s`JSRafi?;t&jfZr5nUNj9sxkSGvkN+4fwO_3PX3>rb!al922vv3nMJ
zQAFfmb^exXr=nhi(o_1>pj#5jjLi3{7jF%_7Nrh~uGLd69Xr*yNLItsd!5I@(%6ko
zz6;n^KG>z#V|YyB)c2@p?WM(DlFDCq|J$v%Q|XH92BS}}3j-~)U5+VE45+9Q+0uNd
zE!Adn<(d3-3^%2=U+mSYUllWJDWfU3n{(&%x8`ea|2kG8JoU`;9G%A8KhL-V7UlEL
zoo&^(dA09ybCo5Rb0+#OPv+Zk(1z`C#N@*0BgOKcXZ)?cHswykhkFuhr*67tskyY|
zT-4Gn-*@TpoaKC4yY1SElUq1LJ(u|emL30p^!1H<7U!G-)gr@<wrupWQlDyCf6T*a
z2M7O;9Ur4IU$2>RDRTK1qe&tce(*XtJ)XtN88u<S>s<!NLe8!Fdaim6yM36+MT=F7
znESs-T}W}2>HnJWCOK-mx|PrKyUBdFo{9haea%QUpZD_&xq}a1Ez+u5v}x*coz4x*
zQe<qOoNNmh($EYKWKK!mz_%<}sQt#(Z!#?PvVu%ErE_-Wtp9%P;tpPxN0w{fv+-RQ
z;yrQRO6s|$%sN$(42=sJE>ks|HZ2if;K5jA@`btO`>_n>HoX<j$4y^I7%3YmD=B+U
z;!_rLXfQC55L#KN(DR8=_yEHZr-d0hD%~!N5)1@bS{V;2a5QVMB?@#rl;Baa+*GnI
z??L{IdX>&oNA}I0DtE>C_UX5uZZJ0pI?vabdw>2$3rm|@E)n(G4$ftqK?NV;Z2qjh
z+<j;v>+|aAv$l(_KErfcvgxsErbhB|m)W9+uV~i4KPRyDwovGop3q8>dmq-GjO_m*
z5^59iyTxwPu6@BW!q@B;_ODs@UgUVoR{s~u*>hdu{#5qWGuMAwFk{k!t}d?YJd%ft
zN>qNx-Z>n9PvY_JlX2UBzIt2izsy#k@6;QcXlJc{Ltf8^ayQW#|F~JNP8JX>S^4bB
zUiUt)`Th;^4$cP^);;0!nLEe2Snk*I2!RiR0_O`JESviK#<fm`1-vq1Z>tj9inl+k
zUugDpvSYuOW4~jofJ~1Qi|nhb!4ICN{cn0$$8kcg_?Psz`|DRcTDxa8pXB}7+##w;
zMXSBz?pvAZ9rb&8#J}{2f9Wy*lE=$S9xb=(VmjZ{!zg+=Ic!<7+x9NCd5zCL>Y8``
z^)_$k*IlsrTjyVM*=3&6=M{dLPU5rhh<?m6`Fg!u35P-YD~YGh9*M5S?FJe3I=kk+
ze=6|sTGbw}=LHO=2`5+tMVkYk`s&Gc>&-U1?>cwSX6>elPSRIZ>$yr=Yg8`yZCEjl
znW^aB{7Y?9<-GN_OR$JuT{CYJQ|gW4UDfL(LxM`?RwY-P&E0O}=5e5h^GB$~d>*SA
zCPggKjuUF??<g_qST(44H5`3p6FK*Q%ORF4OlKT?wliB!R=%LJ+(JWf>d6Iile11S
z#0bq2`qr6qnf<Za%1%WIPle>QDZJN?U0S{3Wpn3#vkALxm&<YV&o8(ow!!ZMi>u9l
zW9io#(a}fxq^}>h_i7YXb-q8pRpj!DE(Z5z&dB~dsuSyu#?{Ya%-MK?WzB9Ek3KPm
zE#GC&Tr+5Ub^HH|`qI85Hz(yCd>XcbOKaPyUcIo~{6#)DUd0K>zt?s9cslm)6KN4e
zm&I$}-#PsKzVwbOyRXZKDYXX6<;-yTx&C^-l~vZ^-&+hftbCl#l)S53&g|K_Z1?S&
zZ3|9M4iQ&j_q~|@P5HFK{`xmtRtG$t-uWTn-)e>AfKMum(${=Ft@$o3yF%=q(XLDv
zy=?aj>vV3|87WA-n<FW~v+X$#*8$#iHns!LCNeS>ELW~(PjhWnU%GaM;EzRJVG%bL
zF!lK#@LIZkQF~YIlucICU8NJ-AMW(}DYzuxY=^F7g^i{q|KX#>(zz{rCB&ZByR-cc
zYqQfhc=n3e#gY?uzWjC$`q(bbsHW^9V%c=*EK8V@eA8XI(`vsTUvyE7PAc?r*}R*<
z{eIKuw{rcxK{hLYZ`|~YZAs|M;_6TaIj23U?K#rFrP=PR?o|?a-Zt_1qo>;ckE9o8
zY<YfTi*83{=o`)a#D=7_wYU0s%v-arbkuLKVf8$mAX9izfqPqeYNEroy&``<s)sc2
z%koB@FnGGKt$M-&X348E7B7k;=VeZQB|6Fa{gn8H@z<BvM;>F?cGx9pma9VR38NU_
zZ%&KX3kR(bObz||FltFtCa<|^!CXzZ;O^NahFND{`U#60Cue5t?c@u7>S`SRYI<a0
za1npKfu)~@ilqP5l(hdZG~byW(GT}J{6Xj}tJ-W$BObA{OOqb6Ke%z-XxE09UsM>%
z*1Gt8|E-Yd_>^Z&_98j!xk4Yf+e(fw9hfh;BK44e*3V8Z_9u=UOYh7)@;F}h&EpW=
zO;3xMy4)Cxo^hIP?D+KVa=S(Ss#A*uroS*qS!&XvoL29dy!&u#SY+<Lw8gJnQXAhc
z5;+?zx~U*nfXheKTl+w(WtQIB(1I0T?bYWBu{7puMIOww@zA(YYHMuFZnR%h^Y2ud
zdJoo3XKuIt)ECn#NfDa#>W)sPd(fmScXd3EPg1#ZKP2e5kjmA)fhN=cHtt+t$7FRT
zvF@Q8=gqGL=YlrYXG@8Av`&>evul>$i?nH$4zX)?Z#DH$O;GaoHg>q%eIs!B>r`d^
zDX-?pr@7W9U0I=i)Z@)W=HeOWk15{UsIu*txpdlpwh0;USblXbuW#6td;jzA*rto?
zO<yvEy=StXwj(*#WY>|4lhWQr>h`P1NxDpwxx21VH#B9@?P#?FiZb=yGewWyXv*r4
za@d(1QaEvgsd|J#%Zs$|D&vdJ*7=qaX^ZQ$SLzFgIiHT08|=?F_x3x%(`(GO-c`G2
z^1j9`|M<f#o#tn)=U=>CXp#HK_w%{*FKv@=n=cdmCH44v-nM5S{Mt8Pbz;oi>AsQc
zL4B#i&t+%(H@#@t65FP;@sRqLz4gh<W?VXZ`g-q1i|xA(I2bb~TT3tB9CJ?k)J28{
z<*FHFwI|riny)x8&b=r1H!%LuN&8QBnkCZh=?=5s2?edte%QAABCD%G@1{6ej}rmz
z3bR^2o^X`5`**l!>c!ws#p?ZKzLM`%8<@FH^z%=j9x1D*b}Z6Ilzsk9e#Mu~HP)Q<
zoBrl{T<h-lUnJV^=&r24>vYXz$*@JG!RwwoeqNH26T3G5+446JbWcw<3q3x=*?!+E
zp*fK^`Hx@P#y#IoYF_V*WMR%l66dU$qdZnV{Pfjh-HN<4`vtE>=ik01e0^>!pUOGG
zp4D?7yGqtSj#1gN|1F!KnCt$&XT2$N_QdT`eN$L(#k)w%+<60IHmhu->V(z;xs5Zu
z>jlroedxb&X6b&8Z8KF*CcSuIKgavN*Yrf=GiftzZl^r(P5oAx>Dj->s4}2=uTjCX
z{b5^P#oaBGep9!ltl;kXM_<|gvpO;TFAAByLH^|CjF(ogcz9dl-pYJ3v18bAx6uC>
zQ{&B}5gYv%G}Qk(a+h~X^Tt+=9q(hRrmjm|)-1uUuu3BFl0LJ}${uq+?q7bZp7Jeg
z=U=kYZ`Nx0$v&3^473XKxpL$}KPNm{X~u5WzpB#UQ^;&?m*vY&s5C!j>EN4f#8^E0
zkl6?I2M1h|wHg-$&G=?s;dA3+O6^~-e=~%6SEbGf-M`;<|FvZk>u0?coo{=`f7xs&
zz0$zVcPlbJoC?WRwGTOI8!lz8y<U5&$MJ2xSB~Yyv&4JIab5VXAiVY3ImY@;uPaq=
zrcCTklRDGUXTRk0VY@kVw`EQ=+;i06K#QhCBdh)91@{){ROCB^{kF0$P^o>w`(f+i
zD%FONJ?U>si{vg%+Tp(ZGQ))Wl{Po}-!a-evATF>;b-v!jcly`pO|LkEM0x++aHs!
zTbHbFoEOOB``doTa^qDtyF@1{wEpqD+1KF6DX?XNX6QD7%91y`3nGdoZ+b4Vy8ZK7
zt5Q@&)alJVm1f;Hne<$j&zdOy)VV$-^tg&qg@a{}X4;nrXCyn8med4>DAlia*;U^$
z>ACi%?te-dFVrVpW@^9O@@7`hdBIlZ$3o}#?(1XPZPcaFGmB~alK=xFkq5d;vr@d;
z?kw5+L1Oi1tDRr|emZa<R=ZfJ^1Se;e?PWGRQ%yM*3`e8w`N~^DwovsXC}t8r*5-1
zT9w9h;rJ?lM-fjYCXI&PeXIN5t$z2~=Ggy`W%d6p1%<Y`l-*2TS-_)lVv^*^M4t%z
zJ@Y=;yIfxx^VVYh4xL%gcRVh8<*vPvVQYifHBPP%1u5!>eDiK^d^Y#h+{M<blsp=R
zlolInIA^3i*%UcJ!!K|Zqg?1!*Wb(6^zxQ*$JI{wa%RmOfykTpYJ2(~3ta1%6hBvN
z-}^6p^Y7mgtyfe^_j=RjAbw)`$M`eL8_q2D`>dh=_1m>Mmv1?JjF~=}|9fMmdCXnI
z<H@JFzPjsdI=VP=Q{^+J%{Q%*>-u&-WRbQIQEn}{pdiV4#QC4ZwTyPjw~1y}TxL@~
zJbqHR;sba0?DC^^ynPN^RP_=&m-|f4oOtF~XlA+4y(0#nEb4jdulzN2^M7%ptakex
z<IfV${|GO5@wWLq=jDe<c@DR|7sMYIE}Le0@S|{==82HMi*qH)zINN4dU-7<bdeID
z_22SIr#Bk7ug#IovA=oQX7az87H21(V&WBSWbX`2x~h45ir=3lJuk~&>^xUIEoQdL
zUb}_iuZ^Ad7R|b)<m!IVH%z*oEy?rF%$eGeiz>8Pa=Ba19-1H56#3xu1O5zuKkt^%
z)r>#Wlcp{`*?Oz%X_4!c|8swfo@)5+P{^rJvTEnFj<yO9wTX$Qf7#~cuhNaRXv^JV
zT)UUWviNb7;GPt-4~o}&dTUqitlqYV!-MUt?oQzx7q;rF63h!YoVLHaV&<%VzrOR3
z%<5M@S$!&}UU{!((hcgLmfIUx_dLg|#?myX|MD({eP<5(2EM#_<Z$ZinJ0|(oPSR}
zbx1GqotMm>uh%OCvp&~KUp1e+xFzN7rs|e{sdpcqTQq+u|Ge|4w^H)$UG6i>S?gL)
z7Ji;PdFy?@C*>O~XFuR`sGhTQb|&MhRue<+`aK77H(lG<ck_3s@5}>}cdinTe19Y+
zw^c_@?w-&Vi=gkBZ|k~le({k#ebg)dS^Ntx6;=CH;ml?`_I|!=d~ipQre)#8i3}Qd
zBb2NP6{U2d=YMHg#Q*H>r|SD1P6yqUZix2nl{^x9zBaB>vdkoH(v?7m8OAINPP~=c
znUf|sd(GZ@@#n?n&ve}~8<Tr3md>*)zc9Hc;QsDc=feM;oT8caq18KlSG1PvN|A%=
znJ$MqI6ZDL$uS>N4C~@((p6hJ@AZDaAQ9hVzYhKiP0@NAuDEp41rEu7f8MNq@FhH}
zW$yMTDx#{j-7EjDKRrpfDK_?2M0abi(ymQfJ04V8Jr_`zs44xpTo5+23|SWR%KO20
zO9lo8O9t@C;k+qn6)8!u(dEg>EiT|&MOU@xFh6}~I{9Xc4ET0Ty>g>nv$Gi)W@k@7
z_mD}vz6hZw9W>~?pdyW#VTwA(JKx}A);bC*(Z|$tVqfgp@#BI<{pyQBhl17xty^`x
z_1fX{4ED@_cs>|2@c)qH7P56}O1J%Lx7enCMvco7cMm(IPl6NrD{8zx3r>*x_(HJH
zKh^HgMP(`5ri;o_@*7_W_VfpQx$-Qye#*Q<H7<+Xb?lD*<T&cCP<3RXyF``CLU)0x
zBMaO)s$3ShtJpDJP!^E?_*}5P|HEgF!|oz>i_R-^$RB(zSS`2kxnQN7;SUa*eu0`J
zbKP%Lx;#*3kpK9dW54?s+otz|)%_Q$UG6D=kSqMovD^KMZPPo!;{FNME_ak4$bJ0A
zvAy1Xi*3_e!R-EkYL{Ee7vu`Racp*<V*BV9$0qk4Tc<aIiTw=KN3JXHk-PYnW1V}B
zt<!74$o>OWN3JTbkqg|~am{suUeaYH6J4dtN(Q=*E-8hHCuRyx6<fHg<BID7y+<X2
z%epmUSzao+i7W2vxZv8L_o!HKUiXU_mgh<ux=F?Lg0s3$#IQV5(i1n#6r9$*BBo`D
zYk*!-k>Erz#ho1|Tpjct6$(xe`<Nlv*Ilrs!>v1EOUE%+ohX*aN+P;S7nQ`s7w+J2
z>9*Ly;oPmUg~O>^Vhe|3H^-KaBd!clERU4_={zbBtP@Mz(Q(N2OC-xfr5`#;=as(b
zD4kbg6K_nf7i{X@v6;iVn<Iwhfzm&*hub^$xqi@1$``EaJ`v4wPwAal;`WYRt`~HZ
z@&t>zJEB?cDBTl#xUFNG>jvGVT*0hvk7$-#O6SB9w{>iCjS+X;*0IsGLsuzBFroWL
zRLgaxU1A5fcC2;H&{fJ7jOgAG)pAv772m}wj%&_2RxQh%>r<>)mN~~*9eJgwBfIF5
zVhI1lDvm48bL0+v5m?%;V0+|+q6@!Z6~_hV8o5KC1?ILtuwhy1oFnJ-Szu=S0h=RF
z71d-9eG-`3KB0u;l(UbV(j`R|e!)tP6V5hrOqUepWDk85=;OQiLZFB5Vnv5*dxA~N
zB4-t=BaakC_#0mcwAb?`z7S~Tb9^Dt%%}K5ppkE41&2esgUylo&N6a`&MWfp2Uc|0
zwJX@L%y)ic*)q@hiRF<8iXUX0J_wZaB|aBuY-g}Ja$oTe-^Fr{ea>%Wo!$#nwjZ!Q
za#!&UUtl@MF6T3{PVWQ?+Z(Kp+*Z89cd?9PoAVl3r?&!`?GDyQZYtKF;R`J5c&&JX
z&#;VRqjQTa(;I>K_77Gr*A;j0Z7k(j>zpFX^jaXieS?+DRfQ<_gH|2B%@<5Me3}oK
zba*#M$hItX43TPir4Yp4Xw`AqaR%R_5`iVn60$8X6r9)@tvb#-R`4w<7MRn#z@+1>
zV+Nm6vA~Sx9WqCjI7aX-DiWxl#O7$(anjL6s^y7-5<8=1$8kposUwRWE%**yRFL9r
zx~L$<zVQX$ECmVng)jK#D+uu>6$*4UJD7AhHyfCAI5jJnbT~E(m~=Qad)#qWKJLgM
z#q#Kh!apCTLJJQ2@(p{=^z=Mw%vt+ZH&39gSwqHUzM}wN(|Lt&JWl5oKJnBieGp-p
z=g4tSQLw|hc|$R~yNBFA38yU<>`Da!4GRC*9&T@U5U6c_AbsSX!WXuQ<{Wz*@9-YV
z6DVz7Abmt;zFk}UqUH(GE_W0juzd`(;@IxE<?TU{uR_Vn9NQe%@H*uRWHviUAGxV;
zhAoio(JpphuLaEt(noG69AOhQ<JjO>U&DJSTOd~3Q-Px?TOhjmf|ScOg$-<lrW~ss
zLu{}95_ugwd)}olA<LE<*p|Lrd3CvtZRktQtILDtJJr7WqIr3_*L<bgtS_1umpjd8
zs(tlY^ZatF`HyP4E(Oo_&$OHRQ{-9jbbsHfQ=e9zTCQU2`t!<@;ED4l)o6XvJh5DE
ze$$uwD~p%Q%rE*9vUs_K?bRPw7A=>UAM_<;(Q>i*K{Z?#gWKmBeF<5(oWs`ig{GrF
zYn9eVO$UEPyRXkz9$x;(CiO?i!{FL^N;O^QgRAFV`W!NEIote0pQk(sE}ysQbI60>
z2LHddPrrxU53cclYrFJ&$i3hS|GT!S-$U*Om-wHxRsCKcawoXJf3NM+Zy~pXbNtuZ
zF8vmAD>%b{u5IeKkek8Rm!Fs?Rqgd=<%Z=4=KZQV^?K#H<vZrxs`7fha?SD$^LAC8
zdbM(u{g!`?U-@VMTkw*9=D&uQ{L}w!_{HvBzv2t~Rr@9X7{Br_uQ&MX{8HZIzrio|
zi}o%57{Bn(umAAJ`MJDB{eOp-{IlyX{BeFJZ}7k1XS-+phCj|v<yHPRe&U~8-|>b0
zq`k{O#!vkH^$lOz-RlefG%vO{`Nh20-sE56MR|$;3tsSd{@d__{iwadufq%NC4M<C
zv={hwc!52~FXsjJD*qTS$P4`c@SMNB{=;YX!}cQo7M_>q_<!IzfAzlw&-p9s{~7#X
zx2YHSb9k=(ji1gB<Qe{d_|CrH{>$IS_x#oM7k)e6lmGCq;5++n`zwDN-|-jMPx$S8
zNB+UT58v3g+i&^X_?ADrKH#_WE%^)o3cj&#wx9C%;V<@0_C0?c-|#2aGyFb$U4GBM
z3t!pS+2{Oqe9a$Of8f{QtMY691#E4<X1n2Ced1*~ledbO<qY0Fyd)R)Jt32S>bC{E
z+OOCyc=xb`e_6H0UFMf^Zr>GlwO_Dpc=xcFe_r*AJIv4JG~Ol_^Utb2afkVtoZfeX
zO#W%rEABKeu?={aSj0c^o5Ifa6SfZT9v1RX`1T=#zpuJrOS@Zj!j|@9wmP?%AIpin
zRlF!C_I*M94tAGni!JQV)f!vaovJ0ausc?BY-vAY%W#YNk=(yG4-5F~z9sBvKV<vm
zCi6qNA8!)R%YAvHcwUa}dqX;ZQ}vF`?AFyBcbFf@{rmP{d;31y4{sCm`Kzi=+-ANf
z_wHN5_V!)27v3i3@fTHh+-ANbckkPSZSC7^H@r>E<<F}2xLwbDOYYpagl+AcY-7GV
zY-`_W+woR0hd-hE$F1h;a=X4A*xJ6<Hsh^gHh)C*j$6%F<yO7BP{n@DI%ik&GV7FG
z%*(7}b{&2tt8;hZCE1Yo6ROy+SkJk4@C)D4a)rHzU&y+=7pP*tU|n<X;Ag(M<q!5S
zFSX9O=lGd#X8D0Vho8!--K{_PiEnE8gc9~s);{+XFUhLB7pP=EVQq7d@sh0E-Gd+b
z`rci5!PoQdLPfi4dBUFNMb;|24nL9=dEfAYul-%Z3%=HO4lmSPTS5dUJ$QLC$U~Ib
zKftF=qOi)SVaeeI)*QQ>7g(#@W4s_M@czSdzV`AD#q5WzMeZ#;FU#@%z;nLp`gaSS
z^Hshxs9?7#7ua)nuJw(b&JSc6-hU`(-*5fpZsU8t>hcS_o$twhcvn!)zT5iB-Ntu(
z#pM%rJKvFg@a{ty`*!OscN^dGWtRu+cD^Nh;ax!)`)2DYcOO=<Z?f*W>-dH*v7BM|
z;p?({-d!kVUuT_j*YP!9Wch(zhp)=6c^6<>-+s+<!@0!EGA3sgFUuI5eRxSG?0G^a
z-_&OdtlF<wE;#qFgl}1~#$4u?GH%ZmtlBSFHk^A{%r~$2#T@46G8$(Si}_|1pP0k^
zOh)gyK_=g{;uUk6mskdzODy7>_)NjF{e-2%xrc>(6P|s@;Oi?cFll!yPB3XdW~noa
z`LT@1S;hK`GGfmcSg^YkTbQsr7i*ZXI~7ZqusarWn6w|UWSGVLNao*}hXs6f&k`)!
z4_SVh$^1~}$C<?QGGERpo|j>J-jL4MRJ_BO-MW}#4)X(<f6pG6x9_w3a5gcYud4XO
zZ0371@17-?x9_sNa5gcIuc)|VHuD{sd(R%2wQsZBa5gcwo-eD|V>a_GnRCw)%-T0u
z#yod0Yu{+uaaJ*hFQNFytmf-7yPh2|ZC`7daaJ*#FQRzItmdmStL|O+#dgg+r>c3G
zc}f-YGV_?K!>^=u_Ab069ddudFSaY@bM_tl!n-tIq4w|#X_xx~zt}FA*X%p^nRjmf
zgBs?g<~jQuKl9GaKTuPD_^Gto-h-cbr{+)i!gk8sXP@FFX_fl|KiN*0+w5b!BrUi1
z;78uRdlz2t_T0Phqs=uxp{99}xk}aHN75qq8(#3X-%EJG+j`I81#j~`g%`Yy_a^*c
zbI5n7IXvH7X5Yc{(meMAeze);E7UO0H-A&vJkR_|<>3d?ANDwY;4QzG@VuV4F`uF4
z@O|k&_bz;A+h_h}uj6~(%KQV>hwn<ixfk%AZI}6(y^imA3-cSQ58sx)bML}8wr%EX
z_By`h&CGYGK73R9%)NkbZLg(I+%x#bw$Z$0FXJ2D`1}u5&ex@P+}rS#ZLN9AUdGqF
z;rSb?oUcknT|cm^%{TkPmNuX416$g>v+E;bo0pn~L^Z#X3cB8~tL?JsjCBi3c$Z{L
z#5TW>a=Om2tL?mL#kz&XymPV_Y-u}dnz2r?n0H3@j+nzsOe5AUEaIJX&0%NTNmG}o
z<|k50*BN%U9XEA|I=tA_V%@=uQc`OhFG@*W-;lxElO3?7%_Tb`rumVSz}klwq<GdY
zydcF<zc%rL6w6x03sOSY88Ub~vVUxDJ8b$RviYGD*YykOye-)eHn-VkU)bDclPwX$
zJkOM4-NSQIZ`LRl@HS-sh;F_w_3PS!?QMHaU#wNk=dH-z5#4-O>eV%e?QJ_vPpnnU
z<1NUph;F_ub?e%JZEagkSFBac<;}>ph;F_qb?RC{EOY%$sbkj^wzX|AZCLv-n>Q}|
zMHKUOscqL5Y;9X(ny~g^7H?Sg3M=Q=k`d=OyyTg6Zo*5R8RrUK@~lqZP}1g=9$@SI
zN;2SlK^5C&<0<nRzwj(h7qE4HA?a}bLnYgJ<C1xepLwR7+fd1N);MJz<7b}f=`%{$
zP8)~JbG#&}ao(V^t==Pjf{pVNNrm$tD%d>ID@xdo8(Ua8FE%!?a(*l+Hn;I3PuIB#
zFL=7s18kTV8OvBTFEkdhVqR#>W7WLCn8nKZktD-h#*aMp=L#y=?9&ZwoF7X5n$!4!
zr{>&-=R8&CCOqe9PCro0cF_2aW%FEPmU)aHc<R$XSUcaB{BdqWIa~c+<0o?&-}98G
zZ?Ja0EBWG_K{?w_<0Eq!-|^(97g#&rmb`IpLmAsv<0W$$-}0oV8(2Hvlw6mtV14+8
z<dJg%Wo#RaYvvw&%@dpc!0PZd$t~w5l(MZcj+uM#6;Eh#hAi`I3A0|u%MwPtjF%<!
zdJ{`|rX35&<XM&MA<O(q!tZ!Oy;a*K!wG$fB|M9gIbuDgD%sS1Sg|O@qi^|g<_zZv
zZvvVaOL*ode~@v0E@5%pA(Llz@&y^^XA%a-3py8{=wGfb>@`DWrO?+WNf(wFM)WN#
z;+b^J!Lse7p^H$8(UA@=o=M3aGR#jT<c>3B^7J2jV8P~|oMFP|mYgATc#)w&U%lf+
z38CJ^LY}T<2a`7EWCN4Qif*e|ZZ<BwAi>d_ctL`tSMh>`&~b(go{r=n#%+fUe@Hez
zl;ApkA)Tis`GIkpZSn=<Hk)J#8RmJ09DNVZNxbQ4V&_wJ=CM)TdErN^Yy68pP0z)3
z_8VBV9WZ3*dzjBtm;6GS`M$)rV++jN_SEY;)IBWZsfeHPhv})ryJHFFZMzIF^d{!<
z6eV{^GvAT8ckF>#+cv`uy@|OzS;-#FUs~NK?<=rmGjU6{kZ!&yaq3usEb~o?WBVTb
zX!UU1tN-L<?ZWrmb!i##KYlUIkhm$nE;N3_p-aCGg<Tilv&|rC7i+MU^`3LO6?qSX
zOSoI=w*_Qyw|)EdB`5K`*pDwaogRwu@NfT+&fU=&&9Q~mabd@{1v^?@U858398z+N
z&JZyVPn>*NIHaWKib&|!*Pwod@GPm>(sN|y${swpdS;TG$4O7mY15-);}eq?EfIb7
z?$bAo8H-j$z4{V!M8T|WgJDDO!)%_o<QG!R*Cn<ct6yN+w#G1__hA-KSn`TrPOrry
z_HTH}J!{{Dm)tY<6};qL9lzmAt5<x$U#C~%0s9Mnv0m1nQrGx}d$G97et}=C7xZiD
z4u0mI8~@-B(^CDMI>*o4Gvg2ZIrLOqt@hw2?y2z;zObIs_o-97B(Abw;3w+|eVaPQ
zOX7012S0N6?Yr=zp1WrsIGPgvG%eCs`E}@#xXAv77u@ap5?*k(?sIs--MmlX1$X1V
z2|rjJ;vN1Rny)WYcksM8&;EcPt#<JWf0*X$zxmlTPyfl!Ll4A1)Hr_NF5j2%oVzie
z;m@J_;(zvC_|Cdd|4psqd+y5k1HTX56@RlY;5+Ls{WG<W@3;%&>l=O_x-EWZ--U0i
z+w|AeI=<!3jCc5b=%)CYeF5KEUyGmEXYh@6qkc;*;~Vby_z%CFu8Z&3x8W=6TK$w-
z#@F28@f&_QT@{PkeqdLtZ}f#Ntv=BQwzPUjN8D{%svB~v>6KW}_J&=pmvv|4EiB<)
z5-oAJ>4linc7|Q8=XERc78Y~Y&xu~JrS+_CMxJ6Z_l)QrcMdJljmTSA#64-7!_L-|
zx-Pexo`@-JXV}?#T-V{&p~bouc?U0wN#!<P6qDM%A%nXoI$%qyOLWAYrbl7|xeqUh
z@#HSNAjXlKctMOMSMh?F&~}Cl?vCgmn_Ca-{<zunP>gH)g>>$g=m(oyZKE%2ZmqY8
zmbk+-PnRR_;W@E4If@0`4beYtH{BQewe7(6*1ftfauxHrE24MYZn`V>YMaCM)}6X1
zauxHq3!*D-H{BMywe7&R)~&iLausvAGomeSH{BFFwXNVT(@n8s+Z497ZqRMWeVEN1
z7yaTE({-_J+ZJqXU89?j`!I_;EPBN*r`Mtp_3Jmh<eIf^!b`3h>k3|Stq$K%(&`l+
zu-EC8Xu$e{D%Q)|Q{o!Ga4ilO*z5E{)M5RHO4jq*C2@_Pxu&e!P|12$J0*_sGuQO+
z86~WzwL{_@FNtcbH>hm&2%oUW>4~Vq`VSSX9^n-wtjD!2b~!E9HrVC#SX3;w@grB)
zx(P41y2I-O_Ao8dmf6*`P+Mdd(?V^YT}=zLS#~)+5@m>G{K!?muAqX|KHOlB(?ii;
zF^wO%YSwLd&Q-N;!gH?X@B_uH2et3)Y?`ah636&~t3Le0Zm0XAKh|w1XWgs)B$n|#
zS9$n`-A;E!U#v4IXWglNB$n|VSAKZGZl~L#H`Z+^W8JE~q&}AMEmwND!EUFUqU*vH
zb|1PSdSsnI8S4h^n%IM{xnjc~>^gK!bj!L4rL1eTV`2}!;tCDUn9KB9#LU<6vWSr{
z<7E*&-^5a`Y0CmKxmE>x%w>8d;<r4(s`ZlQ1i!=*u0_EdbD3U<*e!ox*?La1z_0O=
zh}rT5maS(r6Z{?)aZRpYmSEX>O4DN&(^C<(<pP;plY%?uFg+2GTh5Tl)xYe41*>~-
zh6$@%aK@ZNi!=@V950Fp`6d=}bp<<^v^obHn6x?tE10x81`C+9Is|*nVOpT6;P>#n
z2;cGt>0E8W8grcHYYO-^o)`J%<9J@=lTTs+SH&^~i&pF44aThpG#Tps9_Dk^`F1IM
z{OGbW`8b~(>%4g?J$i}RoH23L-VYXEFlXJT`Nr2VpQ|$X!0bbJMcyn6FlXJRdB)c<
zkE<}aVfLZhB6pTuFk{`OxyIKqmn$>aVfLY$B4?Hbn6<tZIkC*ZjCG@Ci!WmiSNvjk
z1yfsFrdNVNn*9ndIi|XAusO7(UO9%3akAPqj!^dvhb}$(#3Zb&ZS8HnWCn*u(_O)L
zeGTO;dz4?uCcfvWaerambYJjaA45gU0p%N(hvq7C$T6OOAo$7ULUD_&`-5VZL&{$)
zofH-29Bj+qd^q`-ja@Ns-8;Q}{+_1mBD<CyFl}9{nc=IL%@q;6V^-5ukyZ09{HkZU
zrk+#Pv`jsvifIz(^m!Y8v3UEh_`-5keTg08SI*`B2DMHvg+1mQ{9?JN-eSl2g>%0D
zhZ?8n!WQ!#UUJU%zfj}!OxR$4!Os>?{|z-xPlZ)%8$WSQ_V4(@a#G#Jj`0&`zkkD*
z7I*)Gnx@6-CRI#})lKXYFA7V{U+{vnbKZvfA1p`J6{-#`RF|l7TBt5ib!dS)N0rk8
zbrn0t3&H~PKRoAb_y6#j<*>Sl-NN(29P<x6=d7N$;5ldIJcA!BHvR%Nhvur^sC0TD
z%rO7Mcb5I?Uu+xSb5{FbsCK$1{9#_fcb47iS8N;KaTfbesCK#|{9xXPZ!Fu@x7aqm
z<;?aEsIGUqC46CC!8ex8>QihV{$kmr-ec?dhBMKhq59Bu;XU&%d}Uduo@49ynlsY>
zK-HnE!fWOQY;C!wx<N1TvXF_c;$<O&v&o!&vK~w^sxeWAUJ2>wF1#cZGJV1>mMf}r
z^bVGAF7;N3J@i7zWxBvFmJ6yidIyU+=XyVgVOpx1qvu#$&pFfkK+K`1LTb7Pi#Vrx
zPuRk8O4UbC@sg0rbb*~LCsb|p7%vIQ=^iZP?3;EWgR^Jag&i%f-U%^Hi&Ryj4m}bQ
znck4W**+~HgR^y-Lk4H_G=&V##%U9FusC=-#2lKhDx-Jsyb#ayfE_J%-U=~H^HtwO
zHqBFg5_#x>&<7pIf_l#KX$k3^jou6~hwcmgnRa12%RbdNx{mprmEH%U58V}dGc8~{
z%P!S3x{i6Ah29O(hi(hqnRa0t%Qn?Dx{kS=ncfc3hi(d;nHI3E<+ad>X$IR^HmbJh
zGUjl`dw+;>x-PV1+J>zxYgJQp8M8UVy*ETTT@{S#KTy@;>wcl6#mBw=KuL?YdxUM%
zQsoe<rdNVN{S8$umz8J8E&Rf<#9hL+>4l(EKSNc^dF2YZg`YX*xGyMaIjfu@r}&v;
zhWieiLrat+<Q9J7nAGP`*>Y0Z#j5Fvpi)0WWy^782dhJil`Z5BUKEs)ZM-Nb)xY5d
zM~{0zNsEhngiX^UK>^u^7X*3gWfxu$<d99gAjl%CctKF8pWy{Zhx?D>mcz<FESnw*
za`j($&e7uj0F=Wn6t~#8OV}{YQ|6F+cuw$*jN%852KOJJT=}c-KzYkv<rlJw?>Q>m
zcUU*w6@1m_P~Ngr`Gl<EJB|YP3hSoZg17n(l(lSCULmXamLtR6!n*0EVEw7S0$Zk=
zg2(z4%33xkH^@GG%@ODR!iwp-;I_U6r7dfe6J#I0;s|qJA?5U1AfkOkCdaI{37H%-
z+6po`Ry%JnY4LInkac<`5YS#=#d2A33SVOh$6{vzS*I5Q4(%T-S<Wk#@HG~5OljL-
z$#PaPg^#hAW4iMU6PDA8A$*RP1nM=~4J=zcoF~XQJrPi7|6sx5;ap+Da$M0u%4xBp
zft1r@0WsdjLXNJs2^k#S&H*w^ixg#~nieXGNHHx`<dJGxpvWTS^hkh#m$8tezOBH5
z#opOK#_6HJFP_E%j+(X&=^RyU6Vf@FoevnZ98|m`*)&&?g^#g-qu%+0w9|co`X6l@
z%vts-KH+7|=O}mHAnkNl;6<B(Im=GPBfN}x9Qn=#(oVMpZnSMMW7(>>gqJawBi-3R
z+UcgiI%fsxLpKDDv<aB8Y*4J>J($fA>-<3K&^3WAZ4*pc)+omC9?aqhwa@s=_?qAB
zuft`2qrVK7`St!Ld}W_j7x0pOmA%Jb#`;(Me)S2znlH&u_?Pg7eUUxKU&a^wcJ7y&
zj|<6!HgTLRd~ni1X%hQ9`xk#0pYv<{P58_{%l^b4#%KI`^#(85r`fOg)3`)F;9tTg
z_K9^0Kbud;JN$d_k$pnlhZpR9_61*>-Ru*-G#``K`NjB{U*xaCMSijR1wWWw>@B`9
zJKJm2e_?jAm-xc$XwUJb`G`EjFUCjw|NcDqz+P9E@T2*V{Fk4M5BY!mNjT5{<&VO7
zezy9C=j=`PJ3cd8+jIP3e8B&&?!ouweexgvCcI~_vOn>g@gD!Xx`gk|yW}tYO?by%
zWZ&_d@ecpJx(DByx5;n#oA8!B%iiNR<1PMkbqU{^H_6w>)H{4@-YDPkSK$qNg8h$Q
zjo0~i)gAcSyjDKrufl8g2>Tts8n5!ND!Z_Y`I>Cbt;S`tDYqDx$;R9|_=->G?Se~u
zA>|WxF<+6L^X@<i`%-I#y9ZzJxs(g+V!j|-^X@<~`&{b>cNmw-=Dc$#W}j(&;LgFP
zd}?nG6tPdWp0I`alx)4vJB3SpD&+z@nNP^tykoe;C-?S1A$wohg$(wdvI{$!U9A)D
zG%k`=xpnXnpGbK_277y1LI!(lnL`G9bD2U0dt=#z9n22a4tEaDmz8;U;5;8sdBBcl
zJ8OkIjPqsR+-#gD`{d@q2YesiI25p#mnEbthPM52e56po-eCRXcH{kezF%brwm0vU
zeeqTypS{9*$L+?ue6PwJwm0vTJ@Hl{kG;UU;&$V0zFTDnwl!~+UGY{Smp#MU;&$Ur
zzEfodcNuT;9V=7V*1SQs;q8NL_BiVow-~SUZ7W-_wRw$f!rKQ~>|xd`b~(Q0jmY2d
zl5JMrgqLhH@(NzEtv26K((Gj(u(#gv6>mU(K^605=_&UbzOXGe7uf6gg4ZGcLnZTh
z>5_X5pV_A5ZKz~EE1hzW;WOKG^BE<~r=>&gIb7n^$Tz5L_AsBY$MFfTLjH#eW)Jg<
z66WL57P}l5OB?KRe9S9$x8WmOSKfpdY~AJodl(l<%j{}gC@r#!aiKKNuEqt@EV~@*
zAMrBWW%$TepI1=9Y;SI`$MGTWuR9GN*lO}NJZG!QoA8{i+5A8;^Fir5I~(Upv)p6&
zz*cYmVYlOb-XD1z%9-~{Ke@~Bo~_(`!*0jByf5+$%9(dcAGyo$jxFE3V7KFK-Wz!v
z%9yuGFS*O`mMz`fV7KE<-gV{*yAR&rJ(4F-#$3Nay5{bI*KD!o4|W~A#=9kNLMiha
z>6p6*Ua^H5XUt`M&0}`f;j+^z4#6g855DE<jKw@gXBjT@=$%ct>LI8qBM~vnajE2r
za|)$wD~v7XHooNXN_Vhoz9`voP9fxYzoL;u!?_2=Z1ap?%wc@aqj5H&m~EEvi8+kV
zc=XZ@GTElp8?TttxI{9bE~$NjtlKJ^z)#F4C&^e(vZ(lUFrkQTVw!?w^9f0Za}NsH
zCZv7HVCyq3Fllx(PB3XcCaE)v@iC9cS%r%{V(AMkm|cu5OqiWp;{vRhos1<+m>rEd
zOq!2KGR$Ip#PjdWg95g?v;>RhLy})+GCt(_aVFtB&zCa__2+rm(i_s*nv8cCGg}*T
z%wc@M^DpgzdGkKW4`&nd*{Y0B%x1jD^DZsHym^=8g|i8HEQJO6JWt#b+D<9-Z7f_P
zcqP(qhJ+-u1xr28J-ze?$6i`CZ`+%8z^r*|uSg)%gDH)-crK+im^E*foN;zR4%>Cf
z31<^>*piGnW;5R4*;k+Tz_fXtWWm{lY_=%l6SEkv@vKWrFl}BXzGYv-SJv5a3tqC$
zjB9wwIz4W~FD7sO6<?UHiZ9v6@RfDBzCo?yOKy*NgI`P+#as3<d|{oh|Dne5Ik!c;
z!%NoL`WI>(pK%++7yNAU)Zb9!_>@~^Z^I|n$@(2%m`;kj>|^-E+OOYG|E0-Yzo4dZ
zvA9VU<6?1>eF+!2CE^#nVC{_C@Pp~7xI)#zh2j!bjtj*Fstzs?=csaAAg;2H;R3fn
z{D<eP?fM@+GaVKe*|*?4H%I(|=d9In3!bx9#u@xzve6f)IXGARMy2BeZie^|-<kG{
zf7#pcp0!&4Lbc;P?hkPV-<fucU#Z{Q@Q$@ue?qn69qtElAHFed7vHkC;Vo;nen7S3
zE$$0(1>cx9i%;46;1|;-@t(a7Z&(xc8LAIn=iU={;VaWR@tnO5uURAY4^$nz%DpBo
zU~AJg(GBYoE_0czRk+M$u=c?vuCVZgOxCGk3wAYK5nZtEK?&<JZH-vQmt1b)3cH#v
z)QdK(dr-_ePy0m-<8v;JwF$+nv$RjdFh1kb3pdDQou<7arg4dAz`BGY)`?*XJDX03
zI;?w8$T}hHLk4S~cEOe=H|>NiO~*uaq8J}@iL6z)$R!rOU<Z?nw#61EXKjrwOitPo
zTbLZRIkq$%5oL&Ce8lx{&4U8gy0C;DO@~CkMAkDt<odBD;XK!uH45js*uopqS(~(X
zY-Y07=7?c@!1XWe!S<$oq94{K<g-?3pNM9>$Mr5OVSCdq(F<!6@>q+sJE9ryaNP@g
zu&rsE=!UfkxvW{*9?^`qxXy(oY-`#i8WZlYt!blZ$6AFP)&%VzQH|HRc7+|-+O$?Q
zW356qYlL?Fj;O|~T&w&pR54u>&arA-CY)l$xJ)?4>fkF*ow*AxafbL$sA9SzJZIj4
zFDy&d6>JZ_;B@g9sA9SxTr=;$XO_9@4{R8h3g^so_{=g>{eaEEr<`hY4}4;osy?BF
z>6Ea~JcUb~D*ghMOechG<}qC2l$(3tBTJv(g%>P6eitg5T<g^nY#J8{t5_X;#3|z6
z@Peh?FX07CtDnOQmS#VN7c7l_6DpV-)E#UN&KH)Mci=oHkAFZ#lbyPP4dZ;_H<pd_
zgr8U*e8Bl(j>89*a=(P<ERE_6HV5x>{_(p|&a_YX&0L4~ES2g9tPkGheB&2T&a_MT
z%v^_eEQRU~)(3BM-tnuyP{y=Pc+Fggw=9|J4%P>6a-Q)EC~JDndBV@2jA^5A%Up&x
zEb;0etQ@a%?(o}C%CuHEWiG>OmT>hAR*qM<+r7@O@}2+E@bA+2TJQLmq4r+`|9|n_
z|HZTZi>v(?$N!&g_kXsWe<^?8vvj*>@&A@=|8r{kmnrr?C&qnJmj5I#f2rQS>UjB=
z-gy_z|1C<dah?A{JpQBL--YI1TIXHhuX2=sAuNAE`QLo|8vFbot@odE&OiUY(surH
zcKP$%|K@K0+{pi<{{8pb^WUr2f3NKSUY`HG)c<?2{`W%u@A>b)<(~hRz5ZKf|F`t~
zZ>jaQo8+rE@>g&8U$y>y)w=stYtL7$*<W9^dcBn6YmNx_4Vf&n+$LnQ%y28nWLd4e
z!KBGcIY8F&6-R)3ffdtb!6|(WB`k}T1!Nsxa5%Vsuw*(fSkl)}%reDogC)~h!IVCR
zVwUO3GfbFH3x@PLT;kAhH?VB-P@W*;_=H2j{euOQhjN7p({VuyDaXZv22zfXImCJ!
z3hP<A+$LnObSnqQFfJ05k!oBhC?dtUP>@Heae*L<l;a}~hF*q3mU_1W3nqJI0~yDM
z9KU)R3Rr5~Hl(vuxlKrCX;wa9%ydxjj%4FpL6$y-0+xE^57LhJIexfpFlX8;_@tL1
zpQT)RgS6vaju&nQ=1e;UkMuI+vE(ZkNITx<sK4R1!Hj9E;F4a3T$XfY18K*b9P5-7
zqz~TUIN~N?#<W4OruRTLORVw(se{)zwzy3&Wm+Q`(|aI`B~(7+FT-ngvs#DC>_%%J
z9~WSm#cojh;1YY7eZouTsdfu~HD2LgQ1{>q^D=pjzYH(g-Ru>9HD2IvsC)34d7k`>
zKMc>=HEQb<J~PjfKk<j*8M~gn!As_8+L}#^xhBbab-XzdxRkwLhJD@^7RRP$&MT9z
zG%jB{Pe8>pX^GdB%Z<!a<tKb$JjL%*r*Mf~#a`ei;|YG7I)+Q^a<vCOGWXeCc){Fb
zci~5)t9-(rhDH1;zYaWN7qM@6!Q5__@PfJ3&fx`fbC}bUdiJTzO?Cn=m^<YezAzr)
z|MT;}L-s#42R<;j%D?!`cxbN1OGl>830iKGUQ|?d1sSPu@F`ky+1M)HT)2d*X*o-1
z4U1#b;RC``t}JlyRuzjnbv~$VnpDiGjpu{fryYvXDq4Absg!Q%t|>2r7JB#UP1Pt}
zd2*@A^i?rlrJCoL{`yd_le+!Ny`V;K#;8>VE3Lh6MxMG9G<BMj?$nr5MJrD)<(OWy
zMMU-R(tkRpnVLtIs_44zoU-8R`K6zx1#J;oxb(}kN71kHHG?iJHJiRFnyX;tnWa+G
zlVV=+T?(4u-C0>HyR`Dklfa4ICuLPLS8iOa($BSJ%FV#mX+hCiZ#7(U-EHfwP8F`)
zzVyK~r|7PCE6y&q=wGzu%FV#3-m%k_th<Ud*DZb4*JQ(WDX^)}sAS5cz?tr@QC)9W
z9AA2%uV~AZtASNPh3>pjS#LF*yr;^RW@>I<9Mm6VyXsQlw7#gbB~z9yHj_PC74kYL
z)H_zUb!*7ApeT1$E3b=-7EARfm4qx_T(8qVtK`blz!}A*?l)yi%SG-5R=U5HJz5@e
zKd`RBy|hk_wJPLA;3D_lX>zGmS6&9LaKCHm^+ChNomXz^a}8Vfx0YIZA2qfw=IDP_
zEV5v+SpOtzu8$f!4lVv8lUgxl?qa5PDcP=)6_*#9$hMY-+z40^nC_mc$=BLZw8BT@
z*ur{~_D9B39tPC6d6`UEv{0a(sYK*@V66K_*{fzEuLIUP$69ISYFu2ruFptX>vCY2
z^IE>tml|6Z`t)l_dwtc|xcEw6l(bgq3NPnUzO9;^mjh<EDaow55YXNhC6jeApswvz
z@s#U<$<CI1r50BfEac#AwG3IjP_Nyn<jVDcPWQ%oUeSyd#}>-*9?e+c;q1w$%2Bl9
z)Itr%LOxNekS77H&b53`EvGD=?^Lh#xATko<@qlD^-O;`zb<#RH~n|y#d5bkd)r?x
zKdPUYuT($jkLD%+$+c}vGFk;ItexNH9{60$+$){1rP0m#BX8>Smlyoo7F=r!(*7V;
z&S+`HJR@D)p+0!`fm^IM^e&V!n!Eeg)mi;{dEURH)1LL0=LP>}uD>lW)Q`>=tM~dc
zdC`0c`}!u6kedN%&U1NN%|dSZC)l_CRdM@v`Rnpco9AC>J0!g-f5rZVf7&idYrSXc
z*LzUWc#*p!PT|YS_v-uS|EpvAqxnJI+WziO&w>xjZR}tE%;bMq@84=K$roxaa+j4u
z|3Er(v)+d1OcPXc6DBsU;*AhB*yHe!^;gb<3v3*`rRG!aF5<1oU69Qj!?sN?p{nr`
z_k=u$Z01Pm1G^mNE&RmOnyzthAzS;UWQEU6J#Dux?`U+FF1T|bw9#8UVsFDz?hSDZ
zOfRvj={r<5p5X1tb0}e6STBB|q|sOWL<yr$n^3I61y&(ZNsrqMk68uG8_HfVO^|jd
zVRRI)xO2PV5!)BD4KGZ#3E%X)KKE3aS7{T=&fpXkw_d*_;T0x~-og<_O&Wb>s~xav
zyf)XswEWhc&P#rCtV`cL;oKGc;)UN5>#6Tjc3pnyx59en+mda<xBN1#SH7*^vQ7B5
zU#|7ew_mmi-|;K7KKa&U`{gHoCDvEprfk1_*Kdlo=dG1R>Zj*^&{JQq>+(In8tbob
zpKQN;-><=%^<7H(a%*eOcP;7556=DM<8bz6w)%#-hsu6!7JkH{RL-;|_>o`Z4Ydv1
zf)~$~dHZC?<;8P9m0h~6Iq~vCzq&G`Ex`-x=W<xSyqS64uW62$CGV}y^DM9Cgp{w^
zvg`8Fxdv~iWUBjG=VtA7xO$a!gWiNv#<kozu??qRGsPzgSEyJuUgVtMw;+cpp8vv^
z1|Q)MyJk7uU|FLU@U_8L_(c`NYnFBCGt?HCGOl4<&8+9EkjoS!tROwxLF^@ymw3TW
zhRdvT^y(Q*L#GxoPSew6xXfgv>u{OLthV7i`!|~dMU1ofe{f0f)>F95<D1Jk#mZis
zL2DPo8Rjanf**}G@)Ca-=J9jXJvhhyrbgidbA$Yk-wpTKf7u=Q-nf_lMXkbn<_h^8
zzZ>qdzp``q-nf(hM6JR*<^uVO-wn6fZ`mFA*0_~_MXf^pTjmUTi{A}5*-zOO{AIYw
ze#}ncTjK`)hS~?O8^jm6x^lnR%y5i#gWiI#jG^I?{1>7a9x`u}JMgt(9qWh6hU-jb
z_7}b~uH(<Cb$HDjDSzPCfvfCm>;kriybfC9og3wKIcTQXgLF+F?^vNN4UVmRvIjmf
zOy=I8C2Py@@+D&cfBl50mkiVRHmvIW%CMGuMbv?-OdEFm;`>m^aE3YNmhOW*#)>NL
z8=skD8s@UzIk|aj!@BjX4Y~?BIgFA0><u;!DNC3G!qVg%CSPK-;J?6G)ex|g^^9%<
zU&E@U-ZRa38%i10vae9mw)T$x%_Z#|lzM_!#e&18@P^9PT~n4W)zYnBx+~;W&<gLl
zda1iYUIs1k?$uM>74jlzfp@Lm)14vDgXVY#Mr9Rio?U7*{ZP!SqLrTBk$Ry!rz}~j
zF@08y)}^3H-mZGAJFh$rnlP<u%aq4KebchGh%8<zH9cyJ$m5`{X<l2dJPPXaR*ZUe
zA*gMd7O2u<(^bt_>ENxZ$C{y8e`M)Dozfjs<}c-$t`)QDd{B$`$H=VnK~>XEZNBm#
zsA8H>%&T)jWz)D~xC&P8U;1a-tLU!$m3x<dnRY6gD}UwgrEjLKitfr=xpV20X<gA=
zc`LUsy)!K<x+{0()}>dbc|~*OuH3xz%rvd&SGz=R1|@rU>WXd?xe*laU8#F@tH|}B
z`e^S=-Kkqet_6jAN9u-_hP)13<DP5fbvbZm-=UH#OBaXrZz>5{x;UiY%a-fwipz_A
z`n7DkzO1;o*rlJ#mg~!k^NVfzU)gkh*6?!Am0MaF@+@$Md#s%4OO4ZuwfZNOh&&CP
z?Cxsi^=ZY4#R~mZpqjM5ugK=q#lYUaq>_-w^?}`eNj6>=13UYoY`i{dxVXz&ow^X%
z+NV@<Wx-+=S<x3Ojx1)7ZLPR6e=%SGr{XCO16$p{T6TTVuycQF>2*G^vQNr}>wI97
z`(4XZ=K>qu8Rfo~Pq`mh>;6%8YxxvVZTe8Qw0z3lz*6^%vZm!z?gSRPAC&!CHsy9;
zuKPyW`mJSCZUtt#FO)4Un{qQS)jhUf$vW$e#>T~m`k1U=z1CR2cvs&etE|@=YZq_o
zJ7o3hmB#ADtJ<<;yGmF1I%i60T@IMhw#($otAJ^3t4yZ63Rvm9kgwEg%FBSI&J+1e
zt){#PSm@ly_tkRB^MJX|g?z4=E6y%7X+I^yRlMT#LN(s{RLhX30h8Jm$z&CQD?m|8
zktYHD&W=*AE(Y{DTS~3E7|_#}1*%JXoHeDiE(UZvYx1>btZ;Uglv;HmpruX9WXght
zOuSPqL>4R*X#Zt=<zYZwn~}__f)#eof_z8QSJ*ngl+-#O(CqwB(hF2C-jviT&^WO0
zU)v|?Rr&QA`xbr!Rap5NdltTH+a$dzPh;1@XKj<DwemD}EWFoNB)uwEW81=OZ9&pn
zxf)v*o@+CbUX`=rbwG-9FR!Xu$c=ym=UU#UrXkk@Vw`h%mzsuL3y5%z<xMpWx$3{x
ze&fF_FV%hRQ-5(@_McuC_4ni@|EYCBe>K0VUzzV$Z}hi*<rno!^WEx&{%U?vzcAmf
z{?nh8pViOJ*Z8~T=j3PpGwl=qmHfQCWWG-Qsz08W{HNIa{u6nresaE2ebb+pAJskV
zZGWBosP1lW`m6Jzf3Lmnug;I^uJ)?GGC!&xoiFgW<b}Gkz38vb3;xY@LSKRx%onO>
z`Xc<uzrN1u&&l)tZT9t||E@e&KQ#ZxpDoYTZS9}_%>1BkW6$}o<hi=FJ?lS~AD8d@
z*V%vld*u7&d;V4SPye=jzkJuf%>L?Mm+zPF_!rq9{mb(G@@@Y-`>lVEe7k(hKg)jU
z-<EHeZ~CX%PyJi+xAV>Njq{JxasB3evwZ#hJ$0{sb-rG{cK(*SQ@=Q0FJC>seoft~
zTMn<;BFr~rGS4!bkjXs5tRRzlwe*H9jb73LcO71_1(+A?V!X^dC9k1`d9k#>U56KJ
z4(1<rGM?ux$!jQPo?^CPC*xV(lstxF=IPQiwlJRN4asx3#HL|xu(Q!adcqxtCu|Dl
zA9gT$NLOrOJkD!z%V9CE!7Yc!Y+|_$h4su`W)m`)yQKr}Ff8Jgxz(_cSL7DMLSCL*
z4GVZ#ZaF++W5{JFWUe<W*uiKoZE(loA=|H<h63gqvkmFYRb~^?nVY2#Y-T*jd*^1u
zTwazuh63h#=?}LZ?z8<c+pwK+FYl9FhJ5C7=?%9X?y|iwGuY0!llMq2LmqR!bir+h
z+idkW%r<Oe+{(Komm!xqUE1Kb!%enz(h9c^++aImCa{fh18+_4fo$ej=?AwCTw~i}
zHeoB{8s3=P16j<W;u(7xUbC9TI$UNoie<RWsu!E^m1&w@z)Pl8;vRb$Ua|V=L)u7j
z3165NiF52_c)@C?|Ddw*9Ctxn!zETT{RNec^=G&f;vRfrnyi;l*?5ZEV;93yRyBQr
zmrRqyJN7U<VU^QocnNOGF}jOqlrXx9XY4t!h}$5};UcS0Y{EyTE^&vFMrUz@l13+S
zg_1@`ae<OX2XT)*3=6mw;vSr5<<o!goT*J*V~@jpZh^Rl^Q_-u9L}?Tib?puRH3I(
z(O7RSzM;7B05?P2gZE5z;xBeH+-Lo!x1hXn5BG!E2k)55#8>QQxXb!XPocbV2ls*4
z2XC43#4~m?+-AL|x1g+X3-^N92XC0t#5Hyw_{x+bF0s4e2J0a`hO)->+!e74UNgmr
z-`Le~jdhb=Luun`?ugh0S<A1@jVQmhW%9DQMsHg(>z8|5uYH%2x!lWo<+~}ngkSkB
zx1RYfWS8(uzs1&_??iS9zwn!HUHR_HPT}W%Q_61L@ho0`X0Ac`t~;5R{H9rlzEjCm
zKQ&jaylczkCw>#H9p8P~A-rU++}kHRCNG{V_4Y`{@?&$w-X7U8dC^><w_A2he&p9t
zX0>JV!ns^;T{4zCTGw;l(!Ai;Y0Y?xvv9e+wd}hm>FS5)a+SZ@Ed0=~rtH?{$@AuZ
zdSkLfc-~x=@?D!J&z;Lu&UEKxzWV;Tzsern&dgWeJNHxBq1!L>)OXK)RkrAMW}f=a
zxsS@4ZokY`-#+(NS<>yyT=lJUFO@mnewm}bdG4vQUGH4BUB2O$WZn9fWn2B_>wa<8
zrEiaHy?o6t$~yIJ%ht<R{lct6eHWB6tr5Oq!ssh}!i3RBc!x>jRnCZ64of*#_$ib!
ztq``D+whXbOWgs~3heMxC}CP4{9{hTa~3Q01D1?l!Z+qLJYz9ZZ?J4U&FM3%;VFxj
zx<V$?6yX_j9F}l;_$d@IO%Se_!%)A3(`Ht~V-^|T1s7Q)d>0flb*mk)V00DsFlju>
zso}?Pk%iCqzy%gA--Zh;Y`zW`SeSej3Yi+z8Y~*^g)QbZ%;%KwTTsAMtL9+AXeWHb
zn9)}FgmL3R&IdCa9<aRgIdG1JNu9x>aX;q|-v#+hHNrP$H{4_Sq}E{GxSR8a?}GX~
zrV`;9vm5TPJW^vYZ`{tg!*@Y0Q;u-N?1oz`m(&`}8aH#!@LiC@be(g8Z$b`Jk}$_?
zh8ryV)E<~NuH!85O~_`75<W4D;Tp?2wFJ|~RqR{r8on~lmRs<Wai(0uOUCJP8-6i(
z^RM{AaFu<D9m7|~<@^S<4lkKK<PCl?Tx4&lw`2IiIG_JRjl*+h3wei^jI;SK)Hpn2
zHjpp)+2F~)p~m4Uvx;rQC&tPA9bXtuvb)$Zd}8e9Z}`&S&R<Z|u$bMXieWLkiCw})
zW(oNPFBm)JHvC{X%C1m#U?ICimBT`IfvN)w*g2{k7O<<>F<f94kpJ+Uv7P_JXNJS<
zB6bVT*E4g-A9&7KEw|t~W2KzI4+a~4ftmwz*>6-jJYZ&!|L~n*Kl>NkhWCus{1>Vn
z?lFImEBMZ^oBfJy!#l=e{t49%pr!vGzA<cP-(uVFmNA<@pxWUU^98wrZw#B+r`SID
z#juIJ$JXHuV<JC8^?~cmd*m*BWmw0aW9#smF_Qm4RsDgh%xmNVwl-X2-Jq9nnaM;~
z;WCqf?t@EAVd4pyj8nxH>}t5ex<Kzi3F9(ujaY`4Om5-|yBaRAHt0PlW}L_UB8K5P
zlZI|WG2<-m6EO_WnDoRAG8w0FuZU?_!Wy8LP{cS<Okrok304Qa2Zf9i#6Dy&_Hh?%
zX>j9C*wS!}Ri{3R;W3kluEIqoG4TaE7+kn5wlFwzYiwa~;+ELL;K<FfrQrxGLlna!
zrhhsQ3K;9e5_U8kV*L`y@Q~?;PQrPnFFFe6nb^b|(ixk$cWh>`=H`fDc);{e?7{Yi
zeXJjJ6Y?3WxKBhg++%ttmax5H7wZMxggnL~?v7}NJ52Y)9&D>`*v7g+HzAiXi`yfb
z;TF?5v4m|6n^<GS9kw-WWbM#Z$YD(2{t?x1ooScYfvpW|Su=DMvKb?|cSJQ@Wm?5|
zp^D)ebB<NRGUgO3hGon#RtH`&>c}p*#2CUqp^D)O^BlPYUl^9ME7%@*!RW#-P{nY8
zxkm25XNI}#4{R8gGUv$EJA7uC$$r4*z*9ywP~T)K`-BpPQ_Mbc3YQpF_ysB%PB7cZ
zF<fGllRfZ}p^xvv3x*!P3l$Bn><Kmvi<nic4m@HM;cs}s(9W0ef}xeq;RQo8pTY}<
zM!pFZ3=ZrLHV5W2%g7x#&&b0cP|;w=u3*D3pZSet!#w6EmIod%evqkm_`p!km++jS
zk)6Tjz<tI)d>6_Y_A$Sab$HKE$$r54z+J{Sd;#SQyO__&I=o{jWN)xOaGUWC--R-U
zZOm(A9o{lzvO8EGxXE~iFQBa9HRB0BgEEGV%q_AEZy4g)KUg_jXWYTJp_E}QbBZj(
zYld+44OR|U8KT$^ST*=EUodH?_hCL@(%{V;A=|K&F+{526+;kvgH^+2#u<DIN*I<f
zOUO37U~pn*uxdEZSi!fTm|+g{0+WWbj2V0i#SAl;cgP%A!WhA~pon1-n}cP;Nk$i`
zh9?Y4><pF-#~B@@4lHK0;5%@UL5jEGB7+qBh75)t<^Yoh7v>0=hDQtnybmtaGw|>(
zxWK@{n{a`Fg;(JMgAhAI215t)595Zzj6WnB9x`yTUr1+YVSZrTV9R{LxWR^5LWW@;
zBM0Aua|~~I6bcv`n14t&+-La3cEG%0FXIbdg?xqz<{i=vcNt!>IhZ%>WIVyE0BV+0
zNH^SOxW#tBtYItT3SNa=h74v4>4y5745!!%WEpNU9Ai^3YuLcp!22MZA&&Wl6vK6f
zZEOon8`dx;@IJ_52xDGR6&-)A{POCTXTKy>>F-^=e(Cm0tA8!qx8nWF>|fLNEqVV!
z`&Zk(1@E7~{#mwfj{ef}&sS@V<IjBmH1|u?zNzb%O#d{uMtSd~{3qN$?e<N$=f3{&
z>kP$umU$LAx7_p>Z~qwk#b{sGJ(u^7R{v0{;@{hL&q;q_`33D4ykFGzHN+kHe!lw0
zuS(wghqj;h{_&~u`<^PZ^G^2;il5j1aj)|2o<{u#zrX+5TdRM6_xD$OEA{VHf4{Z2
zRR6B^_fvZd_3!-twrg*${_Wl0R_)Eyzg7Kh*51_jUsbD**N45~tv+~f{q@&xt9IU7
z8~*xi)y8|PufJNm>s-ma&2t{}JU8FH^K4Gs=2@3}o*B>Hc_zh;Nulse5})ym{coP?
z6<3~)I%hO(ea=&@;L1}$eMVE}zj>l&TzS&V&uCJA&J!i!$`ej}Mic7aJeK=haop;h
zVPAgEW2ws($Bg<6d*a_bsu$Z_aa7CCu**N^k<jEBuLQd-jwsCgby)75LA&{yhdjwY
z4vDQZXjRvF$l?6sAYYzAv-p|^EcQ+OI;B?v>~kM5S^GX<<hD4#AQqJB-Y0D{;Z1<k
z6Zff#<`W(yeAu%1cc?<c6s^SBi<ns0926F&a!Z>;U&?W8R^(+fOv+2JXskcP!o`!d
zr{rhTv)-9TmIggHCOzYtrk%C!#es&j?pda(6A}uXpLNgB_e;{4(6#2&Y@H3t?gxuS
z4lcf9*)?}DiyZ3*je7Tw)?W7mfAnoC7umb`i7e}TjdJ&m)?Rl5U-TK3i|kx{M3(iP
zM!tKYwb$*y8-1I~M7AzoBFp+#Bi-Gw-rDPC;5v6j>r*!ZkMs$ZiELP0BYX6<My&fo
zt5eqkxAaXa6<M=5M)v3{jZo)IS+3UsX1XZ{f*S)AOYg1HR;gQ%A?3AfVS?1Dr3=^a
z9laEw#p_zCvC`R5_SDM&pY|XtkxL7E_*_dg7CJM^o_Zc&(|*ZP<lMplsZ-AaOxh<|
ziqxN37{ix(DL|(^$Wp}9d7;dyCjm;lu0<LXof~CNJq}RdeR?rKru|WdMsM4p42@pr
zNE4A`3pJ#?7A@4_b1l^9Xe-LlXlo0~&}eBh%Ft+P6Uxx&bY?UWIkNDN<f(@Ne|U}-
zXtX-NG!{9u@Pp*3c?(}idd*wN!^e6)fTg`CU8BCNO~^vzz`{SgNAopmogYe{x)<=J
zZIZdjo`rXKkLGEVIxmzybtm9Sn~=H4j)i-8kLGIRIwwk>x)pGxZIYSDmW6Y8kLGBk
za<81E(m9dUpFw3|OuLiWl#L5JcvW*W5}bcZbzKkG)pp2q%G!k)ysFt65zafMx~>MS
zs=M?{_}cuOdaIL89cv!)idQarsXjB!=dsl7AIB`tZR{&Q^H}cpkK+z}pC-&t_;qsW
z{5AiMT=LiY>+)57rM=_dlP~>!>Vtj>Uz*?Z&*h8yLVL!)C!hP<)L;53d~SZguanRG
zP3kB86h1RQMsLPJ-ZFy*W}OF&!Z$J%Lj`OYGn@+_yp(m$Q+Rl=-sKf1V~ENrkE1R<
z4Lpi7zX+e6AM(%TlD|g1(a*^q_7nejKJizm|MWxH!@ly1@bUQ;zdRSuH~8iG*k9~#
z%SZLDx=An8yX^!2a4woJ^Q&{=e34(A3+MCv>Rd3N<(KCpe}=y-AJyyKk}6)X-&k%Q
zUhd9vQb^UiPU!QK59)PwNk8f*ADaK=C+9=|AAeHL`+xbPa^9b<zUjGoll{)m!q)bj
ze>flb|EqiSee%BfAO5DiSFf@^`J3~e|GT=R?~`}UzwkHZoqCad=WotC{`cx0eVe?k
zRiGj$xoz7@(=C&)gj~67cu_#<u(OL}3k&;ShTuOMpO_t<2ydIe=C8|J^-O!m-zV#D
z`k$!_`ZoEs|A{)IZ^9erxBO*!qaJVn@t5ay{~dLkz6!6MpYoUGwR*Vy#$TRS{i4bb
z?V9XseR0cVAM1l#CVN{)-tAmEH{@35E5D%frd^XS&z<pZNs0OrYstHvFZ`U!nRZP+
zKeyuDl4A8a)(f{xK07z#ol3F#4C|eDPA-`n@oq_dk@}=Er=62e&ULxf`NU7DoN4Fe
z<8vKuom@QE;@y#peo}8+F8WE8Z^}^bu@2la*~L2YPUj;(fwxaC`0>15a>0+|ZOR2d
zmbWSw{DjJxGSoY)e{P<9c<zszoe%xE$}gp>w^%>iJlWRz;^xUV){=KP=gsAK_vD=4
zn>Q*2>J8REZ+F(;_xn|LX#3>7b6>nw$ycwi-g&$8uHUONr|pw>&OPx~<(<51-G)aR
zpPUa0Gpl=5IoNtGO!)BRfy-1?j~Wff4^tRCr`)`HX{pcB<tt}QnL1g@Qjblmgu`&-
z1%7$kmYK8X%v<31pzPB&;q7y`=q@>RS-8PZJ;yrocIPd>OJz;lCU35vJLBz=9QEsS
zC%jF`QBShwyv=#TZ(rG?t&`WyEqI%ftsZ54@)qYczjb9vTPLrYz2#oZSGC!Bi(abD
z%xik7Ha%}sm9V$@$`awLvzOds`Kq?u+;FewOJ9$CqblKxvs>=5d{LWk{&A1zb6<;m
zr<ZE8%`fipeCBJAUsO5S(|qF|&!_diDtB8xsZBQTED=6A+Xd7$={IjIne1*}xTkaR
zY?ED_i)WkMOS$MPk-zALT4&y-3gM%(6?UCmI9p<u=fc?nyG|~c&9TdK!EBX#EEjwQ
z@;^OSYd8N`EPQyj$h{@!eL3<EJy)yFTl8G5GS8?&*v4FN&&j#7Z|wAZ;LDKzsa$w}
z{p>GyTi&Zxn_t}RdC#kjVL^+tM<0{Fzwd{<qH^Kgv#;E3d8bxvKJj*YqdJf8qdcba
z$=he|xVz-7T8??-?#^4jm-3p*CU2fS<L;6-YS(8^xSR4uEy<h{)VJD~_o#I8y4eMH
zQ(mh@nV;OndChlSUQ+4gRWrAoYbjNmowg`bZDxI1Q>NPVv`tpR-o`6Ugs;wAa*m}`
zZMm`GT+f$29_dC_!WU<@oMS0bn{WJaj^}e9i*%<<wb{lO=XgHzF-R}6oa|}5agOIx
zAC<E$MQW3cJ57X7&U87)Ql!>z+-Ne{-MDa0=i-?rvp5&eG&z@Y(MKYEQHEM)+9nI(
zqcas|om@DxUSgK#!kGfIPA-_qG0StoOqFvi7kmWLKc%a+8-FwwK0H(8+>-M?9O;MB
z)vD7LrK?q@8CeM17z@rhId|rbnVt`P7}7tP3-6!#<!np7TD9@T*`D`&KBN_y3-6wJ
z<!noyTCwrO*`9ZN9;AIT6W%^^%h{G(wQS?S*`Bw2E~FKi32&~SIpypVE8$Hudn^-`
z%qDM`eB~@lj#|9&$621&eRia6G8JAsGvzExwpzIHMk~(yp1=G)nNQZTQJ1XoeC-|K
zzv-pQ8uiTDlgp-NtWC*QjnRHt)wyhH#axw7DwAfYpS0<G=4m!P<yXfGZ-ZKvkdMmU
z@}5V22)fG`wv<fr(%-pdQvJ?dQ*W$&lBZgu{W7{!{(%>he^KeA*Ph$_4wVXRnB3Ak
zx8;q>LUlpglP^3ye8ZDwq^q`S|J*EeWGaJFbmtxKu=u2xs#D_@{hD-T`htB=zNjwK
z*R17y>FpM;^lQ?E=?(jyd{&*O|FVYjxwppNl+UWO^iS4sKJ(U#H+rc$O@C!gedm(t
z0sB%usZNYj`Z?*ubccOUKB`WL`}9J!PrvZXBscxUFO!Z<*Qw%s>@Bia<)XJ({GuO1
zF8Y>Vgq-y?zX&<$OMVe@)aU#%>Bw}3D$YmV|MoojpjsD~^kdSY>0c^2AA0}TlXBks
z%N~{U-fZzr&sCfBcYYSK*5|C@eBk{r?$P)9N&BXM*qicRwMzeFHRnC=cX3JIC+(Vk
zVQ<Pi)gt}QYR)^}_u?LXo3w5EhP^3oRkQRxt2u9ZpNmWSHfhuJn0TjelQvH8*sJnJ
zH9`MpRp)i@U2%uLPFg!XW3S3<)d>BaRh?J8SA|{LC3J0SPE_ZzsVPyM%cjOeoqXk`
zvv$cPuaNNiNxOuuOr5jtNQvrFZN=D=FT7mBg?0&Dm|C;$NU=hfm(^7^ArH>y4lFnC
zObLiO`OM2Ce9}&#GgD*MrCjpT2@l#S<f*+d=HwGErL`_asuQ&vWl}mm9#>WE*KRbK
zuxqMK(4!qf?p{)BTP6xGUw>?>PS7EX2_6dNQ#8CLW~g?1iPTS3U}EZYI=OJFL{N|i
zr{Z2=FSfNV7rdC(suZd=gf;D$WUp-*(>Z^t#JVK~s<mNGJA~}CZ*CT{)jqj-(!r?@
zB0C>=y<2nSoEK9#(~e2|r~X*GBww{g`(||KJ+Dt;P1`5!o_b^Ll04NC?U~V?cf1~j
zF>Rl;ed><2OLA3nv?HTC>u-5o3TxUnY4g+>YnSAxUY|N)ZAy-6k~U{F=MArYVUM;>
zS~s--l(eI?PeyTG^I8{{v~|*|$y?^Nd{vq4x9FwHOuwd=D%1ToRS9{kuPhO|I(f-F
zmai(y)eUVuUwV4@8&wHioZK>x<%`OE^^Z25&pj>tonETUR=;TD`OMS6zo@=)lBfDc
z8_%bnDsx*tsZ3VyED<_6*<~KfCzXEn#*#_y>V-C)izk~{aW0;0GB4$#r-c8a7b=~8
zn<|8kPFAowxp1<CmFL3A0#+v%Oy;oiTrgQ>9?Jz!0sl|WRoc}*77HDoEHZD&c~1`i
zL(f&J{T4k}sq{0d5VBDhv^hC<@(oMR`Ujp2{-4T)_D}vYx8=P`wfaSC&wHL9{EEtj
zc2B-Cw*^#NPqg;D<N3hvQ<>2A$y?^Oyj96o5485Y<$1xcs7z?{<SBEXR0(aG+%wnZ
zjY^_AqxH$_o_qW*l?tt!oHN(uwMwM=L93HjJ=gdJnNGSkaYJ9qWe<~HmCGImy-zNA
zgw?wzWvWbdTVyrq%ESeIPfAplDQn7dzVvW&SF)OPVPZqylVX*5$}eR&pL=NZrWC8p
zQa&le`OHJl-6&IKn(|7S&LtBA`cjHiCb}tEPC7Bsq3=nd$^^Gh87h6sg(j2SloL%R
z9h;~l#rfDnq*vvlhnV{!3n3R}OA{eyWla+yC*^uc6Cp=sPLoMTCNfBIKJxh2^Q1tf
z&MnDe(xHi8Bsm{?{OCzJ@A0Ka<-7-*dsDhflk!euA!}t$8O{eD|J)v#Pue%}LvKpH
zN|o|SY0i5d@7$8iC+(Vep*JN@rAWC`n)8mwJ-0_@leSIV(3_I0lBMh^&3Vh?oLiFF
zq)iiJ+?~woCvBYA(W{c9lA!!ks`I+XF1JIblh#hm=vB#9iBR4t)p^xpmEEOZg4g<U
zeswJCPx-~MtUu=0iC6AAwM#C!huBa0C3vNOPTi3&%1h-H|DJf^?qV<WOYlN}P2G{t
z%5&u({^3~KpHt`ZS$U@X!9ORSx~tV5`J_Bme&QFwQ~f@5DwpcrRqTa+3ZCe<sbjh1
zE?0ZxqjI0!r5DOQc9(ukbd^v1)3K;u<=2Tv?jrV0FO=Kul3pmc+Bv;YZnjf;q1<RU
z>4%_$yyKq}^ZRA$j+}Sru@Cw&(N13R56AreH$OY(^*{M};(_~z8kY~s<#tKWl^f+5
z|D3q*{>Sdpcfo!AZ)#oM*DF`bAN+mduKOFipznga`p?w5yi+ceZ~T4Yw)-8sOWy>y
z^{=UQd8?c$@A&(~P4_c)LEk36c0XZf^i6PMe@iXP8|8TUkH0*wyYH~u^i^<ee@ZRO
zYvpkHjlVpux<#2E+BMNv`r?*}KGFxbO!StHyxXy~H{@2wE4Lu?rd<;+_s+<xUs9sH
zL|XE0#|t+nbEaJr&-Yg3Eh$!>BfW6T#IwB_c`C)qGo*LkIkBWSB5z5N@+32-ofA*?
zy4>n`;-+NIv~%L|UWZ#J7WZ1@9l7WxmD_UBP0D;zhH{T|;FgIl(vf#M9=QqRKDpq=
zle^@C8%J)+1vi#ll?!e{=1dvN9nwEHPpm)O`{QQELpLt-OX<oj(hoOJw3WWNd7_Q9
z<Q<NAy&QQ@&bhtGQ7KSvkp6kQ<G$N3vqRe_?(Kb%tCFu=A-(f<$6dEqW=`8D?(990
ztCFW&AYFO8<F?x^vqRe^ZtY!>tCFjnA#HiP<EGmwv!c5kH{FhzDS?t=L++Do<v8h=
zw>avryKOUDv~}W|-h|vIS;}G3D|dOkc8$>A^ipY--lUgGGxUmHDy<gZSTfN|JaDha
zE7t)1qAJ15-BaRPz9=mg7u@Ud!qq|lQ>Ebf?vl8c&q`DDHdP9q?M{hf`K&Zud}fK@
z>F$s?mrJf1`bL!#J;W#O@p$5@p#P~t&_lekMDTcby~Qq%#oY$GJRZA>#kPD@>e8F^
zLaAFka1Y0#Zkb&j3%f;jaV+fS+10V2n`M{BBUgr4mXAvHdPNn2_Tq+nJRZ9KifQ?v
zRHL`)xl)zhq~}V_;s=Wb4|d<#*)g}9C648TQoZ=c-5&Q{f9P#07u?(ZB$nm9Qn~oX
z-5z&cU+5W?3)b)KJ`&6FPAOl!aJR>8*Bg49$^^G|FNtM&tCTKoxZC5V>pF48-6w9i
z9?=sj6Wq{U6MN*fQmpvHT_>)&Zqb`mD!8UQCiciHrBLC_xg4)u%zRxgyBPVhTz1j(
zO(|8HrWTZ`v`W}>F2^euKlLQ5iI+Mj_@$I6EfVIO%kjd+PW@56<-~KH1%54;T+GxL
zSx!9Dnc(-NNNKWKlI6rxogT9|p1P>13uP)z67HPC@x(<=ohehPU+s~Fpu2FUiJ+Ts
z=A09YIt~0>F1iT$rW7i52|JohbQU%=ndl^}Xfn}JSkPpmgRtiujs=|xeoxN3@Tosa
zS85a1oZ~USQ^2p~yvw(GAD8nkpL|jZlq%GeEGAkDZ#15Gpp(JxNxo8@@XOg8_g%iJ
zEi#|Dr}KgDlRTv|;gz#F?z%ivQ!<~pqw|38lU$`d;mp|_w_UEOEi#+9rE`JrlN_Zq
zVa?emN|jQCC1-csa5<#LWHxbqXNB*QY^50Co3lEuxolEvGM%`(Gs1Vtt9r$?{E4+E
zmbGV8c`R#Bs5-H<eU06bOU_!hE?*T_@;la^c<JmTAM{J$QhSe`%NNCk{EW3Ho;%yf
zU-~I<u05dY#4~3T`AI(o&a}tarCf5>kq`PQ;K{$R=EM_cC0mzIiWB)8Yfe0NR<M0?
z(OE|R(F?_1xkE1$d-)^32pntIsPd>^)UIRa@=>uvuIPngn_SQf#TGfE7m7`CLN63M
z`5C_m9BKbkdE%k-ADbf|6kGXUeik^?{-N^3y!IEB9`o9H>{!k_v&a`cS1gkg`XO+j
z{g3UD_lmXr535hybN(VX>AS$5_B*ym-YJ&yFRVUs$N7nz(073y?R#vGyj9HQPpm$1
ztKRvF+@x;;TiWN?9(kjf%D>aD<&9!8zhJe;4d(-LpS}vLZ!fWJd94`Df3eErn)3#^
zqOSt0+e37hlq#;_zPUxfm;2-v0Uz$2TP9p>jfnDC+PXqdrBrbRw`FX{OD8XJr(F{+
zwsz>LlqfFX{u$Hp+{sG(&`tp_?wc_k&zy|Jn|9VuINj<K)$!CxOI#^aaSHd$7>^~b
z9(pQ8iW9giV>p(y+C+6cc9PLua?wdbcS)gQx7eW_0<PSiTP7TB)zD+P=)|Xc<bo5I
zZp#HHHeHtsPE5Kgg^CSgO*<yob6duA%x{&@TT-A{E9SIAz>fRoW&vC7lba_TY<&>f
z@xbYw&XIHVPE6uVJ0|RJ{h_-gU$KV!W^~6rr%z%{+b8U9y`j4#PqBo1W^~6Lr$=H;
z+b3*q-J!cAS22e>GP>iI(<QN{Z4)-P&d^<wqj<e_f^JHVViGrJG{+66ePWNcPFUAk
zpqrAd7{z@uisPEoI<cg!6IL~Ek!$&?Fq?1DONE(yO)nLu^VM&v67XhUSt4+?d5Ij$
zSB2&5hPECr9X<Gssst`Jx5%-4QJByE(Z=JsqXoayONH6&7i~PAIU4X6RZj3^-)Q6U
z)KNvY<&(l>_RbQ4lg%!2ET0tm*&9nHxU(19bS!Q*vEo?VY$BI((NThb(F=u6zD*SZ
zN1GL_PAqJeu<}^gEKqNCVnH*9mB)f+6*-m*jspCjo-4Goe=HU_+$<uu<h&yX|Dop!
z)qIPdD^&6sRS4Ly3)-BR+kC^)<AEas|EF?+{moxwTiz>FvtP9KxaatRuc%yLck>n5
zmUjxp>=Ug$?l?Z+`&1^dy?Kjl%UgwP_CRZoTaFj_ipm5wH&2m$QYBEoskuki<&8oj
zJEQf9>yCT)E|m(bYtE5%d94u1e$eW~RmU}aL8cR~HE!Tbx$I!Vt8&@FfcMEIhcNb}
zOogdzi>xMGX<Wefq(osEv!*P^O9wZ0C94S+8XNeY6f4YQeksH8+(CmkrC4DW^GO+w
zXAXMoMwtrJm{-blENKkjODR&QpU9?UIpIX31K*QEg$Zn*G8Fol3r!}tF(;Z#IM%2m
z#qrodgjeOFgBbfF3jr5qOA`TSW=#_TCuT_#0Y_#|lL<!}8KgKKIsD^!QlL=BmSi#E
zP~#U#j)x9Ecv8+geBn_!@4&|1l&;Xkywg~~nwe9E<AK9Jwnyd@_BDRsP03fNuVOwa
z&2i7+9b1z5gk6mncvJEeikLg4Iqo>zV|!#aVO!${-jrO0EM`w>j$01r*pkd9Y-)^Q
zcQTu>v9W_!B}XBF`KMIJb%$MShfF7|ZOq_R$ySJ9-YL~_)nV1Yi@*4<)#v<bUsj*;
zi+x#r%&+6G>~;PwzGNTrf8sCxEA?~!9j^Z(zx2Pt-{UXrUH%KcVmTZ&@#^PyigOOT
zeE9O?55rpyzJCmVk3YA!`G4^z|GD~rU&o)>oBW^nlmAS8%)jJI_B#IqfAV|&U-0Mn
z6MLn<&Y$Eb{%`nm{IR{l-^Um2W&S^WA>aG&;0yWQ{}EsKkJW4Za$i)h^UwLCe8<1S
z7xHcY0$<e2xBN4FA>Z^*@P&Nme}*soN9zClJpR!B&!58|<Xiv0_{@K({=?7X^Xgyx
zbe~tx^N;zwJ<I>X=kjI$1b^@!sQ>f#@O$~%{||m2zi0pD-^B0yd+P7}J^W6-^#6k2
z$M4ub`6u|De@FeEzlYz-=l)Olef*aFm46ez@o%Z0^Y`!@`PBbA>i;#rkx%|F@Z0@{
z{egcUzw)oIFZtX2T0Z*!g<tO1>^J-?{K~(&KIHA<Qu#H%Z*1ZB{e5B!zt8U-Tl%lo
zM%;2=TD#(%a;f}^-xhb<U)p(ncih!~v9{x#a*6zc-#_lOKew~`esCwh*Y6v5+Mn4O
zeQ(^^f4bJ^R{K*st?!DN@>8<n>WydLe)G)yu;sa;dB$_aU!PSfo_V$=&1AXd!O0As
zHc!48TJrCyG&skcCzt(s!{&aE-xKb*rz|M<IhR~0KjCx6o#T&fWZp7gvXgszxKO_D
z+r<p|o^Ka-^qr~IxyAn2PGqfeiR_~0_a{E{*|ywc{MKh6-+8Zb`S-rP#dGF4f0db9
ze=adozWcRDZ2PLErEAtTm&$j&Ur}}Zs`Un?waG=YQ(jNl!ndvR$JxjEat)t3?yxVa
z-1GMF1)E3T5;Npmzd2^eP5Lci(tolt;9PQ!-1YK?eac^CreB-5m2XWgM^yV&JEor=
zF~{eZ%DiK~U?=eXW4dg{>x$dQA6hZ3U3|fc@9p9G^L9Mn19$Y>{Z_ccKEL+O&Gvb<
zPi`K6VE5sTbAf#Mx5RY$#@`Hgj^DTY^X=kx{(ZG?-a6;YSN=Y5`}keEH{Sxc^Y5xX
z^VT^}zVLU$?c=xY?tHtrjelG1nzzom@|nLKZXdsCcjjB*w*J?4C%zeO<KI}@@|HPA
zKK}QITkhBGc6{4dzm<P&ZOU8bZ29ot8*aH@wT*gzu&Uqp`-PHzpYI1s`n|tL>}_9K
z9kQ$am2J@b#;X3y)idrb{vx;JyTso17q(9C8LRrwS6AFy{8?_!_XQ>WXR9;rDSwum
z@qNdh<4dX|?k)Z#H|d>YW&g=)mtE~oY?a<KR`wsScGz`%aka(0!xwGqrS3Lgw3T|l
z@r7K^_kfaqm+ujK+8@~p+<kn(mgnx`3$`40lP}n^+*Q6{EA*c6g<Qw?AI1HLtAFfl
ze`w3~{^E1FmhTUW`)$8pDDJoUF0qGwUNy(P$LDO{+)@4@*YN$v?)Lk(zup}z@84Vf
z;;!<0xr*;QcDLWPef7?<ynko)iMz`6@8k-;SL|-TZF}q8!Lt6X)hq5Qzm?1QZn3-l
zrtPVBg?rg=+8(RwxU2j|F5&x+UG3LRcYOQuVi$XO|JqD}d(Nxf!}!-!$J{;qN-oqU
z>iNM_$(L<R&MIHFF}S*7mZRrkk<w$Ta?_t}wBq;vyuyV4YUPr1%%yV6KO4+-e`(|K
z-0)PrbBWwS8;8o8bBBwST-$gwKYa;d*ibAt$40~VaNqGXF1cBsPdsTn`B><vV!!9-
z4Nn43I$z?HoAP<a9QP%a9_N&c<R*Nsn8UuL(q>lsV;h;Xi!a(poLyWf*Zu6E1;6WO
z50n0*l^W-mFWT^(J$%82>umD{8@98~7i^f$Di_K%JgaZC=(qoDF{gcgrNp_#1#-2|
z94+|mKHo6rxBYy=xc^|~gPH9QY~GzYe9ngHIip4Y{>mR`7w5~>e7-Te{hrOIXN~6l
zyDM*;U7ROZ@_EMW_B%F@o-vyDZ?D{Oc5$v;&gY2P?YC?$J!>@U-&{H4?BX1`>y;DE
zCg;c{edd_We#2(pv-*do{p%_V&L(HeMSVUoi~X9-x@U=|{j18i>}&oiJNw?km$EbO
zHNKRcesAM1KJWJ{zVKZwU$T$+tL*ak2DR=ltv&7={^Gk>-m;JRi|qXOA8Op6TU*?B
zd?`Eo{e>F$XVwPy3xD=`zTZ&e{?uA!Z}TVF$?rS9@SQAo*~k1zw*P&@m-;^U_XRcW
zi_1-_*cX?Z>`T6AEpdP03)#+l8-MT}Emx>IzOY=P%6(zEK-KXD<s4P+3(8gYF<-D2
zxc~9FZ2S8UpZN}#i|ku`-kRh7!RNBo_ZB{vt-NRWgU{x@K+W;F<u@wbA6PTo|M;D6
zfBBca&F^Ka-(RS9zi0j7Ug3AX-Q`#I);GVCEq*_t+Wn68gL@yp@og{PvbXuIZ1($r
zYWG{#7w#2)<J(+5W$)u(e4EO9_By|jO?=N#ef+xho_iO+@~tb++3WmTHuC)eP>HqX
zUf|ZgYo#03C118OS*v{6%3$r|OIBgm6EkI}UR$`U?@H-{b&pGAm%Y}AWq)bqc3p8-
z--XiphINmNW#_$q5ySr6N@HzuvFxnZCt}#2S?OIj%#@w>dPPk8lG1>6$wjgguPN^A
zJ5lPe?s1{)gliu&WcywhZ0U1*ov@|vSgB4F`(rDSwaOQ*#I7&g!RPYYVhf-1YmF^@
zPOl}l@HxKb*wS~Tlp%`!k=4I7j|*h$t|jj1J5>55lD+<+)sHpF=dHf1Q9f_QcD*rO
zw(0eb&3x9cIbzr!SpB>9aC_gr(hqBs^JS}EpNM9^XZ7w{;`Y8>r5DyF=gAhm?ucf;
zV|DM^!)<-rN;j-c&Xvu2?Gep>%j(><#BF_>N@K1&ZtL4v+ObwSM>gU0kEr(RR=chp
z+}gLcG-IuDwrs@f9raP|SFKi^yI93{tvJW3eOYme75lQ{7^~y2EOq8CzGNA4eqt5h
zmEt+`4u6qZ`dq>G_zO#y^MX};7m91<9sVpc_xS@G_NB!+^PE4+%zS>p=J-=fwYi5s
z$xMAdp@i>LvCll^OO`6<1uOYZ6x+;WzGNvk_wYxVzH=8}$n>1MSkdSDygtFEeNnNB
z)$vD`BIg@l$h4nJd?C|%&hdpz^Et&AGL7dZR`5AIcd$7=zgTA8;q#U}=L0MH?4B#w
zu+J}kW7$5h_=)B52bLe^IDe2SKbQDirtvw0&GGw|f6iSj=i68OX0G#lnabw}tdHNd
zd~+_aoNrh0nYqsIWD1`*SRcP_dFR~4`ZB(4#cSp|zm>^+?qGfVrsbJ)fn|NKEl->?
zEaTf)+%lK>jZFOW4_5BiEq9#TSjxAyIAt#LYnkxp8?4-~T0|W`Xw~QY_<~8F&*K9o
zecq2FWZRb(hDf!)vIsifXw`SQa7N$a5}75BC1l%QSU4SLwCX!wSkbq*SZ2=S1txuG
z3p48bl#69%Jl-L5d`V$M-{K;fNyi*5`%V_RNVPw)P&&?N*>}9qLF)M8LW{n`7cHcE
zn=e{O9p9KC)AKmMq|fDXgiQM*3xVFp7c6*s7hka8=uN(0!P2XI!9wUbV}?w};~&O-
zhYNp5wm-DsI({)-rseSi<38KR7mWLC9!tou&nx7p?|XdC;!TfoflR~WAJXmjEq)z4
zXx_KC@I|k3zD&jA9n$T0EnXdSH1FG4c%oN1Pp05;g>?IEi(AJIn)PihT+yqXE0giq
zLc0B?#i?V3vg|i4jvZ4p>)TM+(EB)BChqYIDfa6Y+m0<X?ORir(EB(`ChYNwUv96>
zBld56DLrf7#FzEbGxim}lwN&*!<SyK`vHI5UYQ5%FZ{)OIe$uB^B3vG_XYmCy)bv!
z|M4g9`TUZ)=Fieo_HF#hdp19%j`_3n^!qcu@Se^OsdK($uCd?nXRpWo34h$4m@Dl6
z_=DHue#IBw<M|f9+!p5>{BnD2E>_$8QMzm2#23=t_XGa0Ey|bq)mFbSU*s3t!hD`z
zZ42^Qez`p|XQ*ZVC|$p=@CUE`eS<%456yqoG=Gq;*|+hzbk)9z&!wC1ANb6BF#pca
zwz>H%b<7{6>+gT~?RMY%$G(l<dH3c&sbzjIU4DPVZ@0VVFZLOJ=iQlqq?Y-ebpHK<
z-)^_fZ|vLnjdyGQl3M1s(&_gNe%HI*G+%dL;rFo{=12Ake&gMcUsHSdwRG(L2fvP8
zGvBgr;#b}^`7yPJUrC4F&bZ6=+RQB1`LdZ&F7stGz1-wd>1o>nGo@GE_PERT%FJ(j
z;;!CHxfAk|OQaXw<^Zj?w%h)2XYaY(g1qKSW@g(L?(992n~?XoNP6<N#GSpTay@R<
zvpqFa+b)<XJ?VDG9kwTCa@!d*rTe!%+`;R9J7Wv4+wF`y#}?%p<T+n76Ut34l<vCi
zu%*}ew!xNOr`rl!dL3^IZ0U8l?Qw@~L9RmH<MU>G+aIP&x82sb<2FB6Ag}qn*|!|$
z^JbrNk_)6OwkhuDwZ6S!bMJv%hP=o5(sj3A+^%Q4Z}x55!tK3#av$VA&XX>?z2Y|8
zU9)G~6u0;8$UTtzI9EFFcE)YC+h*6cE!@_-C3ivY;~eR<+Zwlzl}e}Fmbl$^!|c#D
z#%;apb1QNeXG_Q2zHzJVn%Smpjaz$H=SJi%ekHZ`dcxjg%d#_exh>01*mZ1a_L{iE
zmrS){oxe)0yk76H_t;BQpY?%NyqB_j;+(%oExgXK_t<k&oAnnfdCz4B>^k<$)MWj{
zO5QWsF>%S4Om)@=R`PmYU$E!c6H}#F=TA}-uQ%*D_SjS*_VGnine`7}NcFBe_(H1p
zdPE8Dv22Z9Zi}*Y;+#K9b*w9VA=S1n@P$;%I>Q%IP3r_-NOfLks4w9?lKp4rv4^IA
zVh(?hYQ6rVnD<cjhn>gfWxv?zHZPkej`_SP%lg9SQf2D|D|ioN|A{^PUaI!`gWbpO
znSNO}v7C2L_MO<n@1#nvFW7zTj_H$ig5|tBviHOuek+xGJz@8;Tc%gmO)TTxl07H(
z@EfVr>pS9_-$*527ufA~!}P$qkM*Uz>$6K@n_o*sU%#-+?V9O^b%mw8tFuFV7ne${
zxqQQf*Z1-X6JDRoJ4|}7W=70%TbjATPq|cT#bt}RZ7)r{mOEPYUd-(9Q!bHOaQVla
zw&x~R%MV)edR@LTr|p@E(eg&i-qV>rv)Z1TXf0RFl$vsR#vHdLnI3-1MN$(kSIl9n
zUy^AvtL?FgjPK%$CKA4j3#Gc39kk$e1x?Z%&D8K?zG%Ydd-#G07wFV~HecrpCQQD{
zg;EX68ZCP5FI&uMo1ZD+x41y6cA29EuifPv#=N$dPZ;+e%zQAj?SaWVpTp-&n3gkI
z^zP66;k!6rs^;>I*=_esJ}qlB@7<kw!*_9go>a-@8ME8&m^@m>Xx_U$bBFKZT&bMP
z5wqKFnOs`dXx6(qbB6EY9I5M>6MU0%q>?Uk%x1e`vTxZ#)82KN1-{AIQc;&r%woG{
zvTj+TY457^Eq2XcC1=lD_)>D_yvCQ3)8}pc#p8W`#TTBd=}YXGze+AYZ&2&@(%56Z
z;V+(x=`Hnk%wHtupZ`$f_T1QFzT->D+2=3RxIHsAm|ys_$MgJ#8n>s$Dz?p^Bqz^a
zp_IZdsAOLAWJQvTC;!UjoSDW-w$4JHqRO5nJQasFm@9vhoN&IPhHXi@O;y`tV;S4U
z7mX!s7k`xOo_FvEk1J>z>1eu!9rHzFKHI|=jJa%^FBsRe**ae^X0lcODA_Qt@kfvS
zd5fC1`RNjNi$6%#&U5_1V|V_>XCB-0CqDNaOn*?>_Q3d^&Ea##O!FCk^z2XnVY~Rf
zWX<^-)ou5TKh10W-m^RXhV9~ak|pP7RJYwRel(Bqd(Zat9kz?#O6Hu8sBXJud}&_e
zx1P=EGi(>Xk-VNh!L~m6jbze!j%v0W#{1?y{MxfFy}&m4wPe)!6IE>2jMvRe{MxfB
zb&FnespRZw3o|8WPHW7RoIY*iE*|gGE4J`lO<khLTq?Qzv_Y)fOCyizhP!w!rncxY
zmq^Y({UOHfxsk<m$4trDr!T~~Ju@<xUbwTz^Yn%ox2Hxby3IwBlTUYSspmPF>Y~S7
zB-wwuVM~wu>4KQH#i=GyY>QJ(^pY<cNlaguA=x=?;|`vqsR~iY7N$x>xh+f;h&r|)
zl_Sb+L8^)#^93V;=^xW2+fRSk%yT$ZL~rqVBaZ0@(<Q5?EliiJoMyO#$L6#^%(1zt
zHzM607%@!$xSeNz>KEPSe97w57ozLk?iqcUR=AyKcj^`0<~+&b(-WfI?if9o_Hi4}
z_S7x9&AF1<rvswhZW&#eR=AC4bLte`$GdnorS|AL=SU`=W{5s^-DuCWi(7ftrRL~5
zXG=z&J`i>6s?nNhfu%jyk~hdDUp6$6RlaO!Ap7`|VOW3SONps{3#)prBrlMA{6%8f
z@p=tgwwH!({fbpR7m^#~9)FgYcl?D7+jBz=+2qd>vyPvzVS8q%*KhbzV%qT)Hf>9i
z1LTrFNlffhtn4|F>>&5}qr`;1k1r(pju({lxE)U@={c6HW5xE^P()VwqM=y-!U`Uj
z;}#`6&c`)Mc$|()l<+tn=P2nplFVSm_Q>#`%;WkG5_Nrv6+MTNzgV(8H2fixeBSVj
zjPiLyw*JQF5>3Z<6!Tag=dfXWVEC`^VR_HK<PWmR?<J~^pRi`TXZWr!vAkzj@&(!C
zcM?U%JFMC67~bo9Sk|*Gd4p{7TZydW9@cEP4A1o?mi25(j_G$S>)DvxA*=jGBH{QC
ztG4TgyZR2+m-ehp&X84pEfH~ihgI8E!&Pk;t$3~_=18?IOH7esTb39jb?lXa4)5Yi
z1|jVet$3~^&fz;;BC+(ag6y#u1}^P_Ry-FHYxoWqOUym|K!$B;Vh*2kvBb>72V{;t
zHBjR{TqH5|@B|Z{Q;9x&%9jjO+666nP9)m!F<&x}<2_s`QQy~gF+-xK?V?4G>)`~M
zwnd35QpX+{h_p9mNVK;lW=OQQIc7*Sw<%^wG`3B&;Bh$YAaiVfq72{R^9DTaffhY>
zhZSVl<|n?9Y@3((MDo}JgAY8;1rp_LiRluJhZ$s!-8cBtcF~+?U*a2H=X{CE!v~~~
z-8FdA7HH11EAb4kbA6sf;o%19W48_Nv|Tjg*_OD5*Ev@r^RR>Tv6}{G+5*jbUK^Zf
zGc@DbnApP0oFfr`_=A+&b%Pyk8%=rECZ_N*XG?@1-XP_6RX?i!;ID4q{TIG;`|LmP
zrQ3Ud#NXDX@gcujU+D+cH~#9r96#gVqA%i0_DlS2eWCAE&-knRe0)XyzeS(L=j>nb
zrTc7r#y_Rc;xqQ|_;Ykge8j&+pTsBCIsWWE8SnC|^@+YxJ>$>r<M9r^jxLV3_;=`{
zzSQ5Qi~3Ua8()a`><{?T?Xo}OPwOLnfxnL~==1ztbU~lvZ_)*QmcL3D^o8mfUx;_?
z|M9u|aQu&-tq=9N>MuSQZ`uFgbGL2%{tKVGZT3t2VVxJx@$b<&{WpJ<K8QE$|M9!^
zzW%SegWtRN#=rQh^j^GT|Bm0SclBS@Iezcn8Gquh(mU~j{T07kZ|mQxJNT`8Yy678
zN^iw8_FMdJy{UhyuJAAGP5onair>07#5eqX^jbV_|BGL&*Y&s6E&STOCO+ZsqgUc#
z`&ZnmcYUoFQNA%#d{)`SOz|0Ig_+{3cW>Cz?X^4LuInqkfbzm!+?QjgylW~EU%XoY
zG->Ei{&6Sw`Ph<oO~v9<$~NxgJ{z0zj;UCD`tBK9xKGE1ymPvwr%`UWv)g0$ggdTJ
z^c2cJ?%?*=U9pAxc&x=O*Tu00w_G3ViM?$q6z?jVSf3%@y*uCz>!MhhTdfOYMQ*V!
zjODr2x*(S2mg^%uhPO<G;`L>PJGkw48{BbysQ2qlQ-OF**~WD7s<Mgc;?27cZ00@~
zd*^2B+*p=(Oa<cgyFc7^y|4G9Y~yzBy|GW;GUbbx@7{3R^{(EFGQ;iMJ7bT4_OIpd
zF1YP_Tkl5M#%=Z7TVt2JWy%##-)(T)^`_ps-3qsl-q1TzCb*4zLu}34L)qf7yC2*-
zdQERj*~G2fYhq*G9?B9A-JY?R^|h|qU8l>sMt7Mm>+0Q2`YJXpFYu+<s_h<oSzqb;
z<tJ8kUy7b^FX@ZeqU{`eSzqYd<v*<KJ{MhZuj!JmS^mPx?laK|_v#;g5}TZtSlN9l
z+G7{%Q(d)u!Ixr_ws-7deWELu&-hZTKks1$xBK>t5^lHc8GDW{iZ;0CbWvC6Zqi4w
zuI&yb-Ok$$O1ho4E0lCQZWk!&cG&K*hjl@;!o5f5b@}oiJ{N1-uCd2;ezd^7rt`Yr
z?l_&-{d6bkgIGnLVnw(0_6^1L-3Ou>?mc=hR=54dZr1y{-|`lgckhXQaQD$Wv9j$e
zcC+5qeU_(K-n}FGz}-i0#qzdi>}I{Kdo6EaS@)Lc1$Q645lh>yvHR#(v6Sr+yIXJQ
z9?D}Z>s}vSad**cv6$^QcC}v9-IUi@+PykD;_jj>v9+5M<{n)ZnK8?CS!BYjqe~;}
z*PJ_aNk{9fQ>oa>%?@*qzSQwa547UG6xnmmsYGnyW`?;(pX=D9U$o>t7a1_?=rbLY
z^of?-XCh<HC0)|dNe{H-_T0Q+&e112N@tyl#3pWTm~-^8j>6eT7j<OPA7+U4rX9=>
z>)jk-!hI}KW0vcpNS$*|g<>6Pg&AUPX@MDHE%j-J8DdRof*E3+n;A^Fk3{~NdGw*q
zpEHLF#9BAMFy=lK`C;bKd66$>y3UK_ImdKfhb6r*U92ok(1QCw<e#&L^2KU5KbU>=
zp3axFiRRpUBJZ3%lqXiYdBN<XcXXbl37T{7h}?7bP_9_+=7iZtZ|Ph~n`p+pC34Q$
zLpfrpn|GXRs?QNi-YhWN^@h%Yw2!9T>my6fHf4)NZ@w_g^_tFxv_ezv)sZ247kw37
zv;M{xF5mShzHs@h-|?mEYIsDI>(cNQ`;@+lu2^qT+xk-5E8g)}*TwLTeM(<M7p(tL
z)B0T7D*oV4F0b`BYFeLZ8^t&N>^dFpQ`P!ZTPt4irRbFPGiqGxmxO!lQ~D%2VSPmn
z>ymJrs@BKaGJ6+Y)Rx%0=%Z+N+`%7QuIoL%bR7-X*vE8Hn{V%-3))<Jn=WXx?RC1K
z&9qnPqi921<Bu-;^%ga)^TQ?fE&3o@8|V0g%WnOR&s?_aPkin=82+HL^?~-gJ%`R|
zGsQFh=-MCtWACE(qBZMpRJYdO)BY6K_`Pd)_>H}b-iem1pHba<NBdD6<M*!Z;XC#&
zdMlc<KBBtymiDE%#&2Dl!)NSW^hWf0_=LSlZ$y*Ub5yh5(B2pK@N3t)@PfTbuSKKQ
zpQvKJroApM@oU$r&@JnlN=0XfEzA_18P=F7Iz4RTE-vrYE4FZ54PCO1sZ@0NYJ>V%
z*Oyuz;fA}oE{3+OV=57yzxqRr>vJuOaK}v1*{d(axIWV|2rt~(<+*x8jO$Y^m9<Sp
zqLWv5Y~eZ?>avchNVI=-!<H`h)dexFi$hJKSQm$ytV_D6B@w<bL$ot&;|{K)p$bt)
z7lukixh@P9h&s9;lq1S@L8!_)rVCmE;UClMMcY?@*vxe}RAk+v^I9C?2h&BX!xpBC
zR)!hw;IdgQ5OZ{H=#5C%2U-l_AGdSu5B;*XDPOdD^@V8Hds-jD3b%9Z4!yFrDNnR`
z^@M2GJ6aFIK5paM9=c_1Q?6+C>VRn1TUr;w3b%1>4xO_0(Jrn{p*?GzazqnXGejS~
zuC*uZ;?{bub)h+How7wES09KvdR1#pSYT<_wcri&k}hkS%vHLqX)yQECCxDZ#Frvd
z{T5brT?t+=@6i{LWy>{eSzl_p`72g+T?lTN_vo|8yyY)!Sf6WZ%uV_%GHdw>8`fu<
zdj5tlMW!uZVbi)KIAC7VCy|MMij`d_f*s~P`Y1BN@8b)RzWU__C0%aI6H2;{1?yO`
zKGqbOt8`IQ%zt48m&<aC5-#WE8YNs#%Oy&<9G7#HbR7w1uws3r`ESmn4<dDbi4|Rk
zg1=a@KGgg%C+WQAmpMx3HQD?dpNljt-%-qEy_~~_^?~L;zlY^r`+`5rO?od<wfuxN
z>pjhPeu?E>yMiywO?p=^Qnb9on)Qz6J->%#UE6{;%uRYLlC|8!n)R0EIlsiRu1&!)
z{*Gl`8-qLMD!ma&SpLJR^}6ORzk{V+YlAc9D!mqoSiZxm^{VD7w~JO>*8+2-T9*Z;
zNU<&pjHx;x+-56M&%+<cFe_`$BNm>P-^LTChA9cR%36z5)_TQsIWOE^Z^h-kcty%0
z0oHk(X2REfW<|Ige(QAWkqQjyJ;c?0IcV}Eb&b@g%4#B!>fc1fCAC=EUK~*rUaY34
zKJkU}!FH!ok(G-bWRJeo@No~c;<^;r)8|wovT!kj?9t~MHi0F5O~oQpd<?Qo8c(n+
zW<TrX;j<(65$~sqE)P09LW=uW)XOAVDhU;>ntUoZ>%yc}YmdBC4Os5rom1rZQ*+5L
zql?EseBgh?act!oO&{T=<_R1t*=AmFK6;#G{{0SjYvFQbgXK=fjqa&wPG?ze-Rd~I
z@~mLb1Gfw>qd>EyEiKD5PaYSXryAy+Aa&5Q)YR<ilBFtF7yA|j<_0y^&H7<9+iPyU
zR{-bPlbYTgHL4EYA$4ti8757WIE{p_3r+S)@RJPE6?}P7S?TE2O-{wC$JAW^q_(#1
zFmcKjoUgpfM&Efw+X@q}T^wGuie84!DSAvkEiY0!eEMf9nK>_bwRKY$!(N`JSx-)!
zPhNV}UtmqFBG<%%C2Lq3SAM>jtZ>X(WVVJ$y{CeeREtuv$P5iVcSFwBry5$0YeJ)3
zCpieTE(r|iODf71h?#C+J2mri@EU(rHZ7B-?dOAA%I58Aey+J``3<J|i>kBq-@nn^
zvi#Dud0LyRU%k_`*|+@Oqj{66U%g`g5prGpm3+|u1yNC*ulX0$bIAT>UOD}lyqV?y
z2a-SA&)L`4tkcYT@}u3ozJcXtYMa{0PyCY&jJbL5K6qk~ne;WFG;?dSijT+qrfLnP
zUZ*0FiHjR#jy~2<=zVliL&p7KhDfj5!3>e!#Stc4#{xBOF-vy2ERK+AeWW39SFu#2
zJwRetvy##|FOgO^#|)8XH$@K4E{DY)E{qu>9gBY$cO9+|{2|%;P=m|;B0K9tjUNkc
z7<1V!K4IK-Fz|t+qD7bW>=RP0a|2oIwstWUh}19sAnhv8wJ-2ZuM@xPJ&g}$g|3C)
zJN!k;G+wzmns@CKT%++s?qH`9AFE8)_P`yzi*iMB7DrTf3AEl)>M{|@SZv{(Xx6nU
zFvi`{tZQRnN3T+Sj!44dA5yK?HFmijH0@d&n9-}0EfTSKhg9oTja7CRe{o*(&-vA|
z%s=H9%QF9%Uq@c4>(nl~q#j~F@fYV6|2cJsz6dX!ukiQC3w0NJ!C#yg{A=nCeHNZO
z|G^)YrT#f}PM?Km&Oh+y$WwK-+C!g&r_P`7h4YlZPo2^wbrpNTpPcn4{B7!(E~(4a
z9{MQUXLs?1aF5-^ADyoA6aKU;@>ltF<dM3Fed7z^cDuwE!mW0WFNB-z6kiB8+D-hy
z=`i2n&yo55GIfW}tMk|g{^+!uukeRuzW<w_E%W@J{5<kN{X>n@2jOzN#OK0|^BMje
zxv&1m?&5dOeg1E1o!$#q&Oh+`Nc~;)H+F&FId}P=sdaiMTsXhs_mSJ`ckC{H<J{)I
zrq=1LaOQl6-$!n$pRo)4*7;ifgq`6x&W-*pwM=h><L7_)<#Jtphuy}noNN74YMEXO
zhtJ>e%jK$Cl=;D3oxZa#Z0YowePBzc_w0zfElYhvZneBp3o>uq)p^->M&6<l;U(n;
z^`Rz)yEp@d7tUt5d*r#Ajrql$oacN4ZXJ21W@0{ZC#R3_bTze^8)S|wc%`Ogu9zu2
zW%i6aE=znp@|22%C(N$6!?MKJ=2pvNHJRK+f-~4{gnJw|%*?oRWRZiKg!#e~E=t1P
zvja>TPaIk3E3s)yr<1m!f#ubmoKCYPOhoU6M9i)i*wX0`oa(!DYv&PPhFdI;LZWB?
zNPbixTxXWJqw|pOmr9l=A$hYEYFwU#6!cG+<MmE(qL%r=bm3~bM9ZspLP~>g`j(oD
z&R@yFnd@|3?URpb#;POk4pm1M`|{*5oexQzEl|UC`N&+~8<i}Nl~sJ7W(YUVW-$3^
zF8U;7YDi;n{mq%L&V@8J&;D@R<-XbvvyJ9g=lQ<KRq8KVWg=V=JlD5%Tjy@y8@Y?}
zgiAtlXV19Za_51v+RTpIEO(TJav$Xi=k+IinWFYw?Uvleot)cz*W^0o3TMuCnC(?0
zoE{wM=W2QNiP{;ti&mkc$&W+AW^3F&QYxG>TcWz_qS_HN!EN=N8+>bgk4jpJzEF0M
z7qk*x>fYhE^=HRL--_HtuLYOPe$e!#!)x}9TP@c@miVURGGz;g_y4%la#h*KJg~I$
zn)?(x)k@B5-Z{HkmaSYTW2-gsrO*t$!k0p;`(M<wJP)3rm-td>s@}q1SCXG7Ys4yj
z6<RUfqV`DA<B$fu#H!AqddKMswJwXjd*YnF2rZn>u=mJwRh#9%v}(9Yv^FgN6SL^E
z(46TDN;=OjcUmqryDMka>yXyEWma>#E~=UY%QvpP6x^mav6AzQcZ{9WWo0wl){KuU
zUHTLD9$DfY5x1yl)zOtgzDqMMs;c-NH4nWXQtvOT6xTFK>$A}0=^Z7UC%x-k;+Q@O
z^-pgo>2#l7u%~6Qx5+M+#oi`yNf%Wm^cTJm>eSm<!FkkMVb_s`-V#<V%LJ=Z`gg3e
zW%9ohI}dabZ&S3(-6-{^9X2ifH!dIlAQ+d>EfMl!LXwi(KKCzwS?vz>Jy2%T4}2li
zqG$L*s7X)og;3{oh7!&r-hXx;d8k_dC+5%xq1Ndyia8H?f7p3sp7)ELF7v#3;+W2>
zvgj8+7b?>etl&K0{U`R&d!gFt4|X59r}{;2Vmap??>n)F-U*dXU$Fbg9n~j#g5{h$
zy!XT&dMlJWJz@8eTdG&|CYEt-@tzZVC}+LPP1SYN6|@b*oZbi}PCVx2D1WiE<BDsG
zo>To7!I<tJ(t%q!b_uNL&aiDs=Lq$l-F{(@NvF_rB`fiVl1#;)1ST)()`&fl%(=n4
zCic*4q1ajN5=TWob31Apv!r*N6_~y(RUzsyOL(xYqjQ60QOlOn<t*1#x9Ke`?OfxX
z5c}wrP}uYpvs_-QM0n=RYFXx)GK*!IXUwc4uT*sEeHUF)2~nSD#d*bZj^CjYp{0`*
z<{o*W;-W5S#d*QAM(&Z}qynLNlV8kXd9I@2n^Y_`OT|dN(URlJs?|C|(<jd`;XLgb
z;^%ZpMMK@tveRRxhlih1k<bJcId#TNp?<Z87M$*rGfX($CTGk!vdGiG&*`FykZ)3<
zP}gJ!lTPQ!^#&%LPLmZ(Ivpnqm~=W!_L#%6z*E8R(Rmd<^@r&~ZId<TxXkzU7iw9g
z^1~;IpVM~o3FFR#S3MsnD(+zS@SHYz$L99ad{bpL_FFBOw86OZfG30BqkN&d$uDNJ
z$an7be4#t(#BOF~P8*?el{adE=A64c&-gm!2^CImn0@57%AI-vVb3kTO}RqZlLKbE
z+)}xqR%ph#*>j5TBP-5Lo;|)!IYNn(8D<~3uChn%qABM(&m3Q;Y@x`>2WB0)s<K8c
z&@@zAG<%u)3ieAY&3u=xTsx_K*Y1@@zO66&UkPy-o0#&KvmJEXYBhD~N-f`|R-vy#
zRs_%WOSKAp8L}j}SITfx{gXz8sV_nn1~>YBwVe7qWNvVwpKIo-v&+MlUz(#;taWCk
z-tt){Q=f)R4fdSXRkZ5lN+n-a%g`qw6M}91STk3-2V43*%~<6YY&dIG;VRc)L%*dN
zt6YM0{gzsYE?UXwo0_r8DOhk;)`gI!Wn3nqk3t%jIn8->KBPVP=gd<Ds}9w#{N>|n
zAv%BMH=m{Ht89XK{aVwt4z7IXlbXKjz)FVszdm1i7*Zen)Ay>m=>3rD;FrEr%|-8p
zln3AR4K)|N8&Vv6(pS`6^iD{A@J`#%pHrTO<OXl_-D)=VR!C;>Lf=xesW(GXgJYK~
z&Cbfv+PL!2yidlWH-g*zMeVMpuUfxyPyMo2v$|eu+WEh&^g16B9h~Vq)l~FaaG$^B
ztXHoz-GU=+VVClngkDvSl0WzhemAeOnXS`hWh2|t7n;uf2fl>7Qr5Fg`YJe0F7Tz`
zs(z1JmRHJt@`=AXE-hD)cl_0H(Y?b?>5Jfk{vS2)+kZLE*SnY4HGN*`-oM~W$O~mN
z`Gr44UML&MH~#E6?e0_6@>E$%Uh$>i6vp-9w_cZitD3ntE_MC&uV0m__a2MW-*{d7
zjo$43O6fEA6BypwsPVo3%2d5~U!4B>>#JXXeN?q~Tb%yd>)Nls9;({AE>2(D(9&Q^
z^TZU(8J_JQt<S_iNPNRkZ{@RS!QnM~<3jVpU*A6Zt1P!_x5?hvnfLU3@~<!5p8fUh
zrY~ioRl7s(ue-9H`>S4M`Az2tj<RhMn~%2W9^=X}tm-}~eK~CFjEg%H9!>J}d6>i_
z{`mOhLc{JOCVFiblcdhJUP`_uci2qAr8!XM%!7naH(qXfskdxy`$wBI&l4T$`Gu>*
zwf7q2XLj$j;#qWfi{7(KNnyM9?Ndq0`Qv>zR_;#RBftB4m$$F}@>Z#Kx7&Tai`%uo
zyk)B0ZFgVq{PxwK-#)6@t+6+DcK-Bdx2OIrOWYSb`JUeC?W;e%o%E$Fa9`}?{O~2)
zy+6I}s@bh@f8Fuz+?Q^Deet%aX1C1V*xq}3^={Rix4Un|9a~p@@V588I0=vT#F81u
z4(nL;EIOQG=l1SxR?Tj)y|F#{(-&>mzIc1<k21}Du^so;Ip<GbxPA47+paI(N_{Eg
ztlG`AH?}ihe8G0^k8gi{E|c9CTX#?I@b=Xo-oE-=Cb%!Q=H5En{OR+yYoEVu`lIY&
z<!+Yy>#Xyq*U#Oq{oyTB&F+8q^!9IG{r>Hv>fPV&>FwRF{r>Ht>fP_|>FwUW`rX?_
z)w`eF)7!aS``z27>fQJ5>22S>`t94K>fP7w>22Mv{r0U>_3m@`^fqr_{pRhieX%L|
z*Kgcb{Z`hxH#Q;v`t{pSzm}Emjg85_E;ik{t5ZY!{ezje_113Je*L!oP}S~rM%z!n
zdb_G>XH>l2wdvWHqk~NM#>|Y<^U=S)bb9vJr<=YMg;wnhiC=eRI``M7R<%2Q;@4f8
z-u>mNRP9ce_;nYibANgIt7fN7{JL|~yFWi&^ra|wU(781@Mou6e-_2=i<um!cY6Bj
zPfsU(DGJ;dGg&`;$#m~ePrGV%D#Wj=Kfd$H!ArkRUyHx;Z_~AJ;@dCm?U?kBHDG~b
zy`s*S&qa<^JLOz=q&(ovdivttuH80;`qLkue){5R)|Vo~s-05t>s<B4AD>?O;;Gk{
zBHev4UHamSroX;$I`zd<tuIA_RXf@C#x%vPbI=cebei?WQ>U7p|MtYR>rbCQ{q%>Y
zS~WX=)$fUE(HEaTJ^TFWrO%(9`dq}jFQy_+@8I;;=T4_Sf67&}lOcZH{^_URKYdlb
z^GDpez0<wlKRs2w^F!Ra-P2FMd%CK6=Zm;?JEwcUd)igK^FiFY?bA=ceVSFh^G4jd
zt<$~VKJ}{Jc_D7y=IN*3Jk_e+xlUjF=4sP!MV)(N67|Jzoc>z>wWxA$Osu~6_0wCw
z7G>^@iPRUrcDnRyQRLp3(Dz}lcOU(gms_>nWN-A$d%8aFuPrUl{(5)Qm%Pxb?IHKq
zUMc7Pde^FUyU+c#m&&`p+?A@`?s9+ag>vpMcYoDvx4FOeTzU8ByNkZ$<?f4~^*-#`
z?$)1qvHPMY-_t!^zWUSMNni5n1NTKwejm1^-22nruA1!%_tzdT=f1T2>x;WZHQQzO
zM)%&+b$cK7c=y&9cav(ii|mc=ytnpfx%bDrPG9n5tG4s(jc&cC>%=pUZOXPANA=qZ
zds1~$l*A=BC5gSiwxHbm!fw$QcfD%1GwhA-cz<nv`RfmNKYh-V+!x*YK5Tw@_lLXn
zk3Q$Ut=#@)PjuzIwFk?&&+k_Kk$1Op`<p${jqk-C?Ed;a@8{m=+V^7jcW?ck_i}G^
z<$JMvyGy_4-P{{p`d;krZqx61C-+7dz8AZ*`|G#7oqMBm-;3Sez4cq(%DvH<@5OHI
zF8!7_b8mF&`)gnCDphYkc29R>x%Qj8Ox4@>-P2uPUcdVF-A7g1x82iSTdw{3?xCvf
z>+b2UE?@oXYF6#mi1@X>>!&RX*S@^E_2t!FU$WNji<%a<)@%K=SF5M~%38QDYWezU
zFIR{D%9^+@YVrDMFIJ2G%4*yfHGloI=c}*&%qrX$H6?EC*>LaAS5MV!HHcq(Iz0Q*
z>eQcEq5GmH#p#|3&;C?@wd+fk=f0?k>&2d|{`w=!v1+T_-l)Fy(-w!XzPS46i>p~R
zTgCQ9^{k(^C|vvE>a9PrH1|by#I1E+KW$<7>I<t~UtE>?lEqoIm1%EO=X$XP;oKju
z{`#CHyDzFPPWN#5>JL|6ea;fx7gZCt)^`20d9rsB*z|WCV5^gQwPLBAL6d#`L9RN3
z7G<6X4BQn5SjwV2rpj98eQ?<1%sEj$bc(>wz|`aYGHwz!yb)WXD&y834Cg+-TJ=ZP
z-O8<R_Cz(V7kjY!>-Vgmd!uUCi``$n^?TOKy-}6x#qO;x{hoDmZ&c}evAe5Hzh|A?
z8&$Yo?9S@1-?Db@jmljwc6;^KZ&@q%MrGEo7rV8(^jp@<y-}&_uYJ9$RK4|BobJYO
z?KfAMs<-Zo(_J6F`t{XERa>{k>8=geetq>&)z)=!x~s!izdD;$yE)?i8sGeB%gnVe
z&u)ErcGs7*wfiEc-CN_8Kke1*slU<|?u%TWKkeo0&|hg2_eCzwpY~$5=&!WKeUbC?
zr#+v2^=DdP{l3U4_tu;>_x^nLRLy3C`)f{{XJ49~`ZFzbU*x2FI;YIDKb`IRlIFQD
za$>&Nli6Q?q&Ze?mfIWImp^T>`Ra?akG?pYRkK-aZ)8vYv_<CH7iVw%k*2vXvg6(w
z=lp34%~xNT?fT-Z)R#2Qs?AJ$BRliO7MOE?Jp1c&n(V&Fx_df@>&;hxIQ!~zn&7_3
zntN+(^QX--*FHbn^hesm%FQhI*I4IIn`^H9;Ve_l=70Be_M5MMfA&%J=5P0O_L^(I
zKYOTp^SgUGyUka>JG-cQ^Rs(8JI%G<oo%Y#eD9vlcJtM5&n8uGzIIP%tGV{uvrg5U
z&)w76Y`*%<*<Jf0Q}VCfn63IPt+jq{WJ3P6>$9JJO)K3S8IynQ+U%uY(^B_FM&w_+
zIy?1iTIk-0wfbVOySKhPdg)72YSl)Ay%E#n)?5`oeW^S2<<X$pjY07`SHxd`Icik9
z(JNl(l6dx)qe8VCo#J&ah`;`P^i$17t9YGr;@y|JxBg7Z+!rxZU+h_T>CYtJs*O7F
zYwAyldtd5y{h8#uFJfYx&Pj3YPe+?-Hp<28c<5hSEPndq(V{O&rd1my_D1yTUt1*J
z{qbnfmn7AyjbiaSN5#1>c9*_5YV;*Zv}z;A-iYQn9Y_7J1>)Ktk1~BpQrs6&AGhYP
z`04ZAsXvlL_eIpk=^PU8KHt6d`O!<Clb%*?WQ*6Sx6z;Wpu6<>(M_L|SocLV=wG|v
z{q%d%*S!%n`q%DtFa4hMbZ<n3{<XW^so#^X?u{tXzjmiv^?TCMy%7ca*KT(|{g$+K
zZ$ys%wOidwza=f*8<C-Z?PhoCx1_0iBd&{gzd0&Zz41ugnhoOIZ;t+|+PEif%{uY!
z*GF$vZQK&KW{o&^{p+K<sy42PTeIr>s;_&KYS)|X4WD&ywa<IeW#3<2s^0o5F0yL9
z*52?{??YczxBiNoyDxm%`_PxwuD{}X_k}NdANr!2^;cZ&zVLbPL!Vb4{TUZnwchN$
z_Sx@RpZ6ZBS+940wdeb(Ppd<J#(7q)*SNp><ae!0)v7<^T=#`fcz^YAbv^6Ly;Wc0
zEcb=?-COPUUUc#IRUh|8eTg&N7v6PmwafdfkE)mch*PXu&$l<c?cQpq_o55GXI-dP
zeX*D8OPuPy@P>QZN4~#0UtRhm?q}tCp8Km0eP4CH+Vw}=$IA8J_Jmj6TW$0H>VxXm
z=X-@}*1y{mUUqNwf$v-&_Wr6~|L5N7ec$W5-tWCtz5dI+)qB2kz2Cd5di|Swt9O0x
zdbf90_4+6GR`2-E^=@xf_4+&aR&V>>^>%Mm_4+IKR&V*v^>(jS_4+gSR&V<L>Q~&v
zz2V94r{1U*{TA1_H$49R)a%t(zs42r4Uc|5^;-4RuW^Zc!^7WCy;>dmHEQd=ur=$i
zF1zk^IeY5Mt@Ve#M8#IE4U5<IULU&jy4TmOUbSlj;#Xh3e(K9st=hF7@vARh_xiGx
zt9GqJ{Oa@9Pkr9{s%EW4ytdc+tIx8R{)|f97dB)4)u-8}FSl;0S*sPV?YUm`X}0Ol
zDA%gBD)FmNT<7|<wW?;V!rrj{IPK%tPhHHu`eJL+mnhSHVcl`s$Lg<pUCf^PVrx{*
zT9J5dm-SZ{UO#mqJM_g?r7uyuRcl%HhBd~mK60JwLU!wqsK1qK`Qo+h*H3+z9r`2c
zYvo#wcx}7&SLa>#I-foD`Btf#wIB9`HLbro_xh=G*`hz9825$Mub+B9`|9_ok9)(a
z*H68dJ@tFk!@XhU>!;q$4*ec=ac_NC@%pKEvPHi~9o!q1zkcfN?5p3RHtr3}UO)9#
z_SA1t3-^Ylub+A|JM>#rY`pfS>sfEM3RSN?6sNu6`m5JlKUJ;W6{o%Kde-Z$m#Wro
ziql?m{ne|jo2u5Xy0_}u_O7pMZ+!{NtXgffH+06mRo?kmUqz?BT)XN^*vfsOEAvHP
zMVJ1n51Y9!bZNfm%V^VIVV(Oz7v_t;i2nLBta4xI+<ejJ(XKDo-l|z`a(~sC?OdPN
z?y6a>wl{QIe(2L^)t74*)vQ*#zse(j>XT^EpJ9&sLi_VYmu!D^G5YC`Fw3gdQhP&t
z?yWkuJ?moh(H~)&RjY;ehIZ#qeH7jLVy)GeFv+UbTzf<7TkfrL%%8eoyViy1sXxLP
zt5yr#UuB<v^<nhW=WC5>R&(87WtT7dF#728wYNTpy{ugQX-{Z#zUaK|ULV%(`W$w%
zay8R^tpnR%y<hvOdiAe+TKl$Vy<dB&diAG!T6?y?dbf5{_3Bsmw03RJdbf5`_3B6W
zw03NN^>%Gh_3B&qw6<-}dRxCXsCxCKds<tzzk0LQsCxCOd#heYyM7Dn-5Z*eA9^F2
z^;=l&-q5)G(Cg7hzlP=R4UNhVy%yd2H7s^-Xjp#e)zYZ-hpXoLUcXo}*XR1dlDXd3
zBlq?#eI2r^?^S8g`sS*+mtW6_TmHpr$#u!SeJ@I#)-zYlJ^#8QZuw`cIoB7K%&kBB
zIwMZ~v(=32JNKMh@;V}J`6sJM>zpg+o_y`HtM5sv(t75~xyN5S>^isjwME?Vi=|Sr
z?H5a>)^C1c)pI?tWUkBg$US|JN(Ew{Unu2?U4EgIBR2g)DNC&Sg;JsQ%rC4uuKz5a
zd-(N_oqZ2Wxz=BPZq;)AVewqs>lcgX+FY01!&g7=HAmd@bER)$)IV4?T>rVd?|$j8
zb%)F6?tT3tR{gzI#r2)L`|g&$TIXCocjxO9vFh)v3a(e~?z>%jYu(|pxm#bah*f`U
zm2us2ci+v@Q|pTN^4%;wwobWh?uOS5vCm&y#a(~7i|=~rwsnh3=dO935c~X<RoL~F
zv;1C{L@eK&X*H{U+2l;C8Ow?@tyW*&XfoI9a^PIQS0w?<i>+iYzntRNUShTQvfy05
z7bOnMKU>P4e_7(!UTigG*=9@GvoBNp*o&>EU!G|qd-`RFpZlc}jpfFcb3HCkoa6VT
zL}B@73t5lLl_s*sUs}xaTl~^smfzzNG2iw=tFC2}GpxEV2hQPJ^s-)NR^P&xBD44w
zzT}zJx8NnqEWbx348H7zR`tt@EoAL48_w~2Sn|uKy}+tw+2(Yss%4YYt(q?%G?qR1
z^3Ke@xi4A#*bA)cFMpivcfaJvvd!kQdtW~BWzV-Nzr1m_-`$cI%Z$xscfLI0%bsVI
zf4OkB-|dnc%Ql<IZhg7Lmp#`iz5cS{Y`>c&>n<zKK6j(!$TDFw*$ppie2-^a#a@0m
z>)f@HEz2gG%C31C<9j^ID)fA2E#K>6Gh6q|#YVR5my7jm)4y6yn-~1ja@BdyTE17s
ze)E%m&AIe^f?fI-%SGooYx!Oj+s%LcbI!Tv1$OP1ip}OP{yFE&^8~x+pDZWOOa3|M
zRQ+?0D!!-1YV(C(T24COS;P0FSZ+S^OUwRwkAKLxpU?av<90r?=G>y^26pZji-l~{
zKU#L3cl<KP`MlwmIZo#lzszwwFZgAS!+Fmdz6H+}?4F-5=9~Zcxn<jV%^JV?&jsw-
z&li8QaX(-D$tL}SWyL(@A9JkFZ~Q#xz;gz>=kG1+>d(Kd=DT0~ZQkPVbM`!cVEg=?
zW!d?a)qHo0pUqSLK4-`C1GdlKTIQY4tmeC2d~M$1Z*#UhUts(Ejb++-&FXVsEmO`*
zR`=Z~J~WT{+nn{!D{Pm)wv0J{v#Re}@uqprU+1iT9$~vY%X01M#MpDoo@PY(Eqj^}
zb#Cd?HG0P{6=~_Zm)2XZJna~J?q!kB^x$1Gm!9_MxtCZjJk1z;?s<{T^vgSC&OHr?
zI`^!|WcuWtGH0H~=%rsO(wQE-Q^xc3!kBYUij;KSi!3LeZj3qixJW_w`Nblc>5nrk
zd#4@Fu<Sh@xkcvKQ;jIUMNf6~+zTx`rWI#cwoMDpuxy!ToMG8CO*q4{zVkHW7MUYY
z|3sd9SoBBdc!6c>>6e>j4n6%4d2Zg*7m<GRp7Q9ipD$vWUYu@OHcfbk%z>wWbdTp-
z)}DSCeePb-muZu?%j|i2NB4N1W$EdK(dX_IJ((uFU1rDAJ-WwpEptyNMxVP?bY<G)
zZ8BS)&e1)dW0`t-r(S!GW%6mkXulgp^#`VX-YT>HX^C!owq^9`i&1{piZ)Cu-YT>D
zX^8CduNG^L-z<^wJ$|x8#^?CXk~vo&M_BnSeY`?W{j0@_<CeC4FAKf;ovY?teB2?Y
z{>5U!@t-z*&kL>k4_C@~9lvSQ_pH#UzqxYG>Bl}+eNPLu`juZ=OgTQ&#&5}E4>|Qu
z788!wSK9C`d2D0V_qb3-cKO9Z3EAZzExP*-SID>?_bi!n^s$B<`^7>&+2a=qxn$cf
z6tc;>UnpdfRsU$w(AQis$NspbP2c>-5^~EwSk(48SIF2Mzga9}d;DbaoP&=aSoS?A
zd?$1KTp?3GbH$wfkAKK6e{WH9{HAr^y~0m@&E<1;Kd!$ayZoI+$?=)ieRm2U^)Z*v
z+5UKk?DDr3ImaWd`)(Cp>T52Wv-$B1+2wC6u0Nh2oBqZk={Tn~-;Kh3eUD4$tb1G_
zoBrA&>i9`3zH5c+`jSiMta`YGuf5b_cH81ii<xcBnHJOAHe1PfA6{u9bM@g8KK4?J
z<%bPr{azM$v>RK=)L(qq!pB}>G5_#K8NcTR7VXZN7PAjul<|93V9;J{Imh$xMj5}S
z1uDGlMHZ6}cbdqYeCWc*US!dKxY1;e`{6>FzQqqsr1%y;G~r9XSRm29IK!f|ZL@{U
z(T56B=N3Mckn&sjP(bS3f`=SZehVI|@UdSg5NQ9LZqa`Dqp{54ha&ZS%g+~Zv>#5l
zsBT-FZc*80Y$0QFSWxEN+=n+L{T>uBw0}02+5hkhZ+pH)_2G-se)kGKv=y7n?0$HK
zw>{6I`0zw&zdHpF+CH1fY=5|gw>{S)`*5JN->rfRZN+9Xn;%Z$eQqVQ>0uAAdyYlo
zVMgh5*9-QvT{e|j_b`XoJ=-F({_sJmb5{%2v;}{ieeM2+e`%NVP5!D~&Nuk`>{5PM
zeez55sdbBg&AxJf!M|r;%$M!g{LA|?->qKx*X#@T8~#1}Y(8)Q%Rju&^ELjaeKw!9
z|KuOuXZd>d#xKpM?O*w)cgg*Le`%l0C)O$doPFZH!@p-A%_r1-eqr9Xzwpa!xBZD<
zX4fCPuk(xdalXi3wTt;;^^1Q<yX?38BJI3i^NY08e#tM=j{7;k%sz6T;TP|t{C|I*
zeK4=9Oa3wY(ETqzc^~Hg_>*=%|H~h>^Z9J`&Cku7_V4^GZM~oK5ATEge|3+)&)#?c
z!{4;`=2iPo{^q@x|E@0i`|Mr!FZ@k=XI`|w^EdCE{Co9vkH5{{c7Ma)w72G2`#pd2
z-pW5$m;7z^ru#AV&fjKlyx;Lx?TvZD{-3{kujlWoJN$L_+WQ%Q)j&I#cK+(Un!l>-
z@-FFXcXMv_F1wp@i+9=Gm|JIG<>|a#b}28UeDW^oD|hF-J62-8bhqN&voG>o%7u4H
zU$|TI?pU$;+}#iF@Gh;toAb`C*nH;hgLlq8%~N}OtjK)o?ulEZPu=x-r*<h%rCfNY
z^ohGR@7ONo$-O;RXx>+LIm5iC?DCG;uDcWO^e(!qa_j7)JdyI|4D<G~<P7uHGUp8Q
z<}&3B^Tx8tJER?UJKi}v|E|otW9RdD%7b^zw%e_Ehj;$nH#d9d-F<TN?1TEe4{zKG
z%*)G?)6E-qGu}CSKkrZ3<?Yh@?!I~JmTz9U`{3=fck|wq1#g$$b@$9$w><O0-Ho@;
z-p;#Ic6pohw!3TIy5*W@?smL=_GaFhvfypAU+0}DGu|e>@ovjowjA^L-5+oHUeDW6
zwt1`c+Pf)l*|N>UcW=Dqdo?#I|8Ui8-|h7mOJ@6QKUgx`dwb;G-lexgcJ;o>4a#q>
zntl29jC;$zm@U~ZxwrR4u2Vj9)$H@PEAB1(Y&K{6!jjo%Z)e<7`)oF2`_4UQm)wrH
zx9pSIq&(-!*(Yzi?CO1ztCY`NIs5o+hh1kE-?q4S>|(Ce-L{LlQu&)-nDuNAESc@H
zJ#tU)qg;XdyU#A<^4wi^A(!KB+J#(}yJ{D5h4PtSn00LbSv>pj?H@aPALer9Uw&@Z
zvi)K4Y}@S@i)Y(xm)yfU?>5K1XXkR?+)?{r*0BBO?%w;kzw!>3&)$3c#a*@cW)<6a
z?(V&t`zp`5eD==UC+@1fGb`9$xx4pv?ybDTWwW>5UU66Lty#wQdduCtH*-(r74PM}
znR_fxxoq}^+YNW0y*7*6{&E-Z_1tZFi%Vy(xt(zL*(<ZK?JH;bzRrnA-<)YSD{XS7
z*^IQ}OtaOSH=4}$+8j97_f<|nda;%C<(pH^wUwAH-YhuR_eG9F`e#e&^EXS*wH2F9
zN!x5GefDO`IksZ6>6>SoNT0r0A9Bv^QjSKtvE^)!%@gPNKFLu?|7;=cvANPj`uI(Y
zS-y*J8qD&2oFjI&t<bD1ZE}WL_vXMkyo+wi%<5fuQ)CwJ!kav^dKcVendSQ^hv6(+
zp;>)ev4yn#X2UtY4|9H<X)7?RN!y%mR+Tn6-K=@@L1XEIH}A~soqLnz99w}|{pR|Q
zvwiR9{7BnuF1`2Wle293X62hV&i1{V^CHdITzcotBWKz2%<?xE&i1{Xb0clDne^71
zOU|<8nx$_xob7uvXWeGS*=KL$97z*4liqN%=IpU-v)IiKXPv#4vn6e^sq~tgF=vlu
znT4*;tmS>3ZMN6#a<<W4w#(Uid(*y}PKyhEX<EN(y=N`&t8Bmc<X^KcU7xTo?ThK6
z^_;c5FS708AOD<n?s~z#woBP&@r!@XI&(c?-?LAqljD+q&N_A7ql))wwpzUKOVdf~
zJ8O8KWXr`fzclTSd;CMneSPK^DYx~RHD?!HH`wQPF<WSF+DFr_^^RX=Ij=YTGRtYb
z;+I*D>jl5ea;RVLS;M>Fy28F^=d=0ZA3ry3Td!H;JO8@CzP9t(-}bnj&;GP0?SpAW
zobr!Z*6TNZo^{|l!@g(lP3zXbtmeI+{Vi_s_gQ<cKiK>1ooU(nmDRj=v!BH&f1kDE
z`hmUA-kRpE&#dOXoqa8C@wZu9t}od8?2T#Kdd=#yUrkfiOIG*Z$Uamb$NX*9`s)>Y
zm%TQPS%0&t_geO*xaP03R$q_UyDZCe?drtXv&*h#MENeenh<q%>D4vsj$O*qTI*J7
zx^lH+?Ae!DKH<T;q%K|US?5+_x^OjP?Ahm8HsP0dN}anJ5OwxhmPz>Jol<A6#;i-b
zl%*3Myi>|^^}?95PqLKOx)qsDT-{h7bM|qT!rEsSvt+^_XPEYe9nLWAT^+ea>ey9{
zDBnd_b=J8Rns$U0XPCBy1!tJHgc)a;HiZdin0Bsa+#+@4>YvE753~NPIaXlWy87j2
zsY6#kM4p{@^+lxbysJFx*v@CMgcqlqmW2uLkUDVn&)Q@8rnRddMxVWx^(AcbcBwsA
z@6@k7mS<YJdSUe0J6TV{gttrWxVmTUv0T&K)rrw(Z)IHxo4ieG%hfq+kL8%AuHLz>
zEypx@wP3XGjjRJ<pSMb_zgn`kE!#AD^~EUPYgrq@inmIwz8W%j*;kV_%WsxQ`7S?M
zBIUDuXUVLqmm{ovmtI~mPwlJ8ishEJy)QGp{GF?2U97*{F;DG_$%5rSZF-+)TKONY
zl=51B)28=XrjdVh<*d_}eXM$)W@`B>zciV$e5Q@>lFJ_R)IOO^SYBzvyX3NsRqx|W
znYqg@W=hOm_R*x<?{I~b>vGSMSw}Bx%wxNl$v5}dg-ouwZ5J}x=DJ<TWSXn?(WJqz
zxnh?6a!Z@u`IjZ;)i3*CQtRhjA!WDxX0ep*@{`504qkp>+4~^#-JE0RGMW6DD`xG#
z{A2F2_a-&VZ(8@>%lzcmTs~{}<r{OCy)!9UKGVAQPUa&&=JHwFFYlPU?5#=8@<{96
zTbY;qn#*QwzC2^@vNtBzFHe}8_QoV>Ij1%6jm&+1k4tB*yIe3g?X^kN^7@ljyw@_<
z`6ZXmT6J+tUt6ikY`4XkCNtfdGfk$uZMKr~UcAyo>gvTMeQc#B%NHBU`o7HYa5uJ+
zx_GgrkFCUH{^E}^zRxo(+?_K`W-q=d<NGYbz`fXVmgnM)GQLkURC?QrOeQbxG?6-a
z(WQ^A$fSR9qsc7y#f37xi!Yi;@h+~vXwsK<F+;+AafV5!+hz-?qZbvV&Mv$tA?3U9
zqJY%d1s6G_d>33)>0`T)A>jTw-K2f-M`NkO7e)G(ozLKKKb&q-?Y21Gq|(jULds^b
zpv>917jH=VKFDBj|7<R`|KgY4wtSQ7#TTW0?`3>&D>j$feep_fTb@br;)&9}cQPKh
z)qggV+J13MZ(FWO_ToTk-&+|M+=|VlHea05`^-ve)5V@%w;Yqi#f;KtuV?IWyKE}8
z?qW`_TeeB$;)7CWuV$=q3;sIu+W8H2X_wPYYSk{M8`M6#lpbcE{L*-;-Qr&}ubf{{
z_w0-DviX{Sd0wWw*(?8=dEtCR-Luce^X9+&!}C17UZXbcv+=C?C;#v~OV_hEerY^y
z{>nc+OU?(>rF}A<Xs7&h=85wTb<aK;Pq6#^!nkjK;g^|i^Ao?!Ja%5^7tiB#ky^Ej
z>0<Vae@ME_xBMdMJYVyRq|<!KFOrV)Ils(2a-QKA&!hBzHP1d6*V!fin0e^@m!CWj
z(|^>YolpN#qjo;Mp3T1bxpC9{ou4JG=X3tyd653k?(z4T`_6x;O?z)#HUH#qo_p!<
z?2^CF+;#p!ZQ48IqWPV_dG4g&vwQq)=C<=2YSZ2tXU+Hg&2uaLoL%y_nVZhX*gJol
zx$%5Qt=b#og!w;z^;}QiWq0`N%(dq;YSmsFN6g>(tLJL^DznSGB(I&#slU~;>}<*{
zo@HlaZk>6RrjxtuQd)@l<Xw_i&d$j@R${z#w&LA0FVbAhg?C9_I9ro<tk`(&?1y)F
zmY&VYb1OEUIs4$9Gf&gha*q`mPn|t+i{z=ZK6z@F(p1cacS@c(Ym>)zDNQc-SfO#B
z+2su59<$3kX1dN!ywkJjtjeu3kJ3cU>zgx-+s%?Qj9bl|GmM+flrxMQ%_i@VbeQdU
z=gj=GGI__&r}3Bv@0e*fTk#Ih{IhRv_RKr`<mQ<NX&-Xj3XIFmlGBYFXEWY8b3g5m
z+2!q$`_8_}b;~!doPF^2nY(Fk%!0Q|?mBxW*DcSuaCYPEGq=<3m|fl`x$W$lT(?}~
z%-N3hx6j;6J7X5SZRYE=6K2NSBsZRI$z{tij-UPUme2LH9cG)iO0GSdlFOED96o#F
zEuX8YQTm6gX8KOQSTfUR`oWT!-qR!Z_AEUeva9D+YLI?&)y&JMXT&Z0Vzgws<ldea
zsZRRLRWr|@u83Rq*=Wx6g(Wl3p3aC<`)o90`p!LPmYj~Lk6ZT1Xp)|D<;;_(U3T?6
zNmbHkuAF)Nw8O45i%(m`9lMw+729?(RZ4&J3!|Rtfh99trbq7Sd6X&;`|Lt0PwcV_
zsT{Fs7gAYb)h?t8=`+7D>X`nsc;?~LKX&#!Oy$zQ{M@Kz`orRxw$m>b&$O8?xrb-o
zX^yyO=ThIqsC_VMnEtbVchCLQUwVhjXYM`yB3A9aQN{F~yL;}YzS46ppSkn&iCDFF
zMg`L=clX>*y`^`!Z06R}D`M5&8f8qk+}(3C^^{)mUY?t&$MlrTW^Op$5c}-4QQY*G
zyLhgrZqr*_I&;nGgxF`VjKZd`oaOU6B|?33rqL|5$(cqo)QU5WR!`n&GSjPma^PH_
zS1AGN#a5D+Pfqb`D=}I;S#Yk;ixda-&z6$sPnP(#6&p=a+iWR$_GF45Td~pf$umtP
zPoE6&bGwwHp>AwB(_`|)IX+KP6x2UkNP0}JG?6@h(qfj+;*$omd>*HW`L-1rb*W9x
zFzTKhIEQD^Ntsza3r~v7;#qi-XI9UGll3gKd>*AR__7rm)vFa-NZL;}oa6H_<(E%e
zfl-ax=5(VfwaMv5&65urOCCIVXJ*gblPrF01xEFgKhE~KpYlU(v$^EnlTUov@{P(T
zZ=CIOH|2$zvAN{VlSh2n@{IB)7tZ#%opM8Mvzg@9lS_Qra*fg_8_xE*nX+!O;_Ndw
zQjVzA3!6!9I9cO+EZZn{^21qYuBB{In`|n%=46cTu`Hv|{>)mQ*U4tKZkLmdY}qa+
z>)ED#HJm0F{L*k$zh^Dat7Jd<<X<x`9iL#A_Qh~fKW8n^i)1_b$3JJBJ6>Sdb}89R
ze(}#4XO1V>J^N%hSuXkKj8n%ws(79ztH}$$G@R7mSyRvRBw0?L`K4jM+~Xe-?){lx
zB;5KlYtAe>ZeZtjF<Hnq?W18=zvGt~&i#g8W;pdLewpFeFZgAKL%(MY&w}F$cF)cy
z^T|JcZrIkZS>rSRxPV>T`Q&dlZs(If*`$3itdLXwF~hol<L4O%jx*Rjdv93R|FW9r
ze)2cD#ouS_IsU-5{@FXjvi_CTJa?0y$ti!IvE%pw+h=bL^ZGNZd2T0PlUw|4#+Ks?
zY@fX`OzYRIKJ(QurC+kT=SK1&Ip%LO)*r91UG~~ArvGMD&$Z-Da?M|7tUexLyDZCa
zZFgeqnPo>aqI{MeO^7<P^ynJBW0#V&blpk~S9Uwbo_U$%BObg<;?mLj9zC}b!-d_9
zv1gtq*@$1>DRJ&-K-8IMNhacxcS@W&8l#tXDM?2>c&CJC_rjPnPm+{$-HHq+b~nbH
zd7Px6`|M(pjQHaW!(Oq&8HT;xky|8=9o2~PS#(rK&#lm~L##N%uuUvD!>~onIK!|>
zOgO`^vzu{?#F3+aBF{Wb`lEBKzQC}x`{ibdLq|VEo|$*_MWoNXqda<S=aX2(i_;Cu
z#DsT9960(%_gKDRZTG|IGobB!lebIkIeJI;Se{{N_rmBicaoln32&F!adeOFv0TI4
z?!@Rbx00@iP2MK4<>(yUV>yPY-8=Q#atxEZ1*3g#Bpnd@yj5cT(GuOZY{Tg8i}g`H
z*OE4f6>pVTeKbUN*;j)#?Kev#eA`c!NcgnxESYijaD<i5(!(p{)V><5Xt%WOd70?N
z?_4$G;^7WCwJ!z>+JD;gJWsUZKU^u{)qc~a=UJi=e{<!G(}#VmdY&d~@hiVHn9@Ge
z#%IZ44>`3@1{2yVZFrU(wz2AYoG2r^>|$cQgzU192HkvzD<oXoJxgXBJ**+eb}^Ap
z_Sl6)F4?vViEOfN7ZRCd)jk?D@HJP=uy41t>6w36LT=dygIYf43JJURo5d2g?I(+8
z96bEMvgbkKJDFqW5}Ej!D`xCJ{6luxdxM(xo7O$|5<l@Zm(SRJ_=fDVcLpWxGp&2>
zBtGI}t}mal{qPRiWp52~+9R!dZY5sgYc89y`S1+cWp50wAD$qa_QoKoozt4<M&drc
z$E7pY9WIbfdu<TaeiF1xdL3VK>5NqexA3)<8q8)}oM|wVtvS<RI@@L|3Ge2WCK6W<
zF5zP<HCWzkDC_ew!Gqn{O5)<d7CyETgZa%LWqh6|Sk$vSXBy0Iz9{4KEWv=i*m8zv
z^F|q;rwJ;&ZAAu?n>$S;P9AjOV=FS~Z*DZ1;oe**)3f-Xi4@P`gC=}w7ZW7d7iSoB
zvTe4IIC@Y)>deA}5>h@34+=<~S#Xd;%4fkr6+X5L2?Fe&(+%32KN?FMJ}APs?0f<T
z`{8thYPQAc29@<}#ugGb&4My#<{rEu>GL3gf&H_&#QuX{c-!&~s+%uL``k<Tz*cN7
zvHRc^-nKl0;^v9cK6erxuzfa@*nV&eZ(FWGc5|S#&#i<DY{h00n-5OmeP$)G>0l49
zTaH0uGo$pG>j`_<E}KfMJD9`kmTeH(d{FAl)r2){!C$9e+rQyoed^_SlfSB$;|=~k
zy%ZnzKk23Z)PIY9O~0~#!M~?p^q19Z{^fod@AhBm*Ypef8~#20tUs^*<sa_n@fv?q
zKkLt`Klz9IS-jqVqnG;A>R0~hUa~*nU+O3QiT{*-PCv2V;osAb`V;<rdZFJ}U-)IZ
zTYch}>Bsi#{NjEbFY;IQV!YV@MfE?#UFt2rh&$J7ei3)7m;55`SkL)o`jPz%zqlX8
z|NHawgMQt=q#x4{?f>$V`(gZ#KdI;Azx+`>AJ6u`>A8MW{m#$g*7cl!xF5v-`}gSk
z^nLq3{7rqYUsZqdH}}2xcmI;UPv5ow!r#<)`bG7fzq#+k-~0FI+w^VwH~dX~tDjZx
z`MaL`R{XhtN#CY#+8^`Z>D%;;`#b)szR^#p|M{!?di<_`hrUi<yFcTv>TCUo`klYJ
zug0(Xc4?RRwY@pFx|i)uxy8L~Z_KUJui|vxF1-{N@_o`S@hf}hygOQ=zqDHM?&%kC
zF5iWAiC@@T^X_P|{@m(^cet1C&3WfqtUt5*;GNS?<J8{PA1%_KT0L=#_^G`<?^G|v
zseBjODSl$F%{$ghadK~u7V7tXyOg2d^X<}(>8{m@ce)qtRk?NgQJl#4rVRb|Z%G;Y
zt>2t7^qapaW#~74o3umRq1y4z>G^wQ-W@$3$MZdC$8@`D#XH>d_rAH=J#X)mo2MVd
zeR$(qpkMwiDP6y@n(<Ek>HBeizFpcbzHjfFx32m6mDLAtpS~OS=3CHq@m+h*ymigf
zFRX67efoCXoo|=6iErDx=B;b4erC1f?bA2o&U_2nHvM(niEl>R#5eA3dCQukA7B0P
zmiP6z9p5%>6<@nI<t=Nret7l9Ti#b=quw8?n(kYEv1GbW`N5Lu-sO>dyO-{+582iI
zDmLhSQ`Pj#yJy^6`bBR^x#ZsN7qL$7nX0Cr-(7KU>1Vw;<qJ!upWU5tPxZ6jjPjj(
zPA}OVac}7-y-Dw!DyN^^?Xs);NvzU)rpoEZcRTDly?D39y`vXnrS7&~jFo!7>4jcT
zd0@$Om-5Ix-H&1g?moQ`%X4?>g;<WesTX2d>hG#vh!uLz^g^$r{Acm>!@Ga%?0y)_
z_5RXxy_WKa#nWxeFBVU?DVN;CJ#RP1y{G45-`r9Cpx03Tb9eXs*kA7sl~3Qh`^8<=
z_j(oOJ9l^AjeYgbseJm*-6!s<zSAoxuiV{zJNDMQLuJ#q?p|?M^{rk;x#jNeo3W?f
z747A|8GG!VQhnL<4Z9oeK7FkhSN?Jr_x0Fq?-rF#U$Z;m?$cL#VdX1ldB2W{c)lr9
zZ`QL(nR+vx6=mwJF5YM|-K#iouJ@~$fagV4;+J<$IoDdEx42kvuJ?-=hv%Ox#n107
zIoDdOH|5zTOYyTiQ_is#>rF47X(E1lXUI9%OEDVHjVz~o6i=MvUH>FT;rS;EagX9k
z6Y=9aEoONy-f1w)`*Doe+15h6u4j`n^ty`!=Ws9DDKo2k;ZBiR+zWT|%<5jSlVz6o
zqZo#>tc7~@&x$O>?TZcPct4E!b*8mIujbjNbiJx)lhXB?iw_!$AKZCoX7}8kEazAY
z^y-U0&i1|^^W)hjbMd`9pPXf_&(|w2-Z<O)Zp@2kM&{x>cOE&*nx~gvTsYhNcFc`u
zo6N+w?p$(~HCHda*l@P@&6st?inCAOh&l31$V`00&YH7Fv-M(&AI>^`EoRHJNv7g!
zcE+4Nnxz+-pIOWOI@)Zn>*Z*py{wm`_4cNI)tz=P=%wzee9v0$SJ8g=lYULRw0%PT
zzSJ+ei}E>ZxnD%v-GB6R+PUoo`&utWo84dZbK05h3HzRYlC>|A(EPBZHK1dHstb#a
z;0>dfy3_Jk)^sn~9<VR<lkUWON}5UDPofp>fBGTjkze^m?6|J(lo`6)EULU0Z#Ss&
zejF{fxAmiL*S$$Ebi4BdYq%F}m#OMrxLu@*dtv={o~rHz+gYl-A4N0lW&NmIf3N6=
zn0>xsjrYUoUwc|V=+@lZ^jx><-lXTc&G`pEiyhp4r?PwQb{5d>mi74`tG(|>|G2m5
zyV&0CPxi9D*DcTASnYi``o%q??_xW*AKA<LPB%Zlu-f}}^o@I)zKLz!zGN@!Tix`0
z!)ouF(d+UR>#I-Sh(2;p=$qJv?KOLkzSfP+e^_<;TJ)BCla4MZ;=UHW?q1T@X{#is
zoI6m$HX|zP`k`IZe6ufZndXyyaLY9B?8w;erCURyx?e>FU2obo?ef+c>z0=2F3FaR
z?S2vEbe(C}wDVgl)-5g8os+$A%e1pwGuEjVvrUOIxL&k#nrHULn0oK0JRVy;qPU+%
zscm(M>V6WXbe(DEwBuVHqE0W~YO(I<#VDz@trw%Du5ZfF?a2<@GR-ABGN$`cl)&1j
z7ovF9F1--Nu{QNW6w6xG3sFMXnKE=cvVU%#c6jTL$nJ+xT-Pt9>$YS++&s-T`{L$l
zHrbLf-1D|_tb2Mc>dhL}0^Nq}`k&F=_oIGYJG6b;-mNdzs^;rfWbcgbz8m%Gn$z}a
zJGY)#tD2`<kX;$weLL#bwL{ycZQZ(Jt!l1rMz&>i_sytN*NS4fZ$=%vrnGI^hOG^2
zpJwaEWxtH#z8<yh+M=z~)@)5!`!q{8EPJJu_v^@r^P67k%sMyerOu3VMK5($r*ABo
zR_~P_XzTqdGT?ksmDuIYQ|7gP(OH}>XzTqV(&7B4O0n~sOXjtH)|ql{Q>ED1%_;L(
zKkH0SpIIVydUMD;*GrKa=Zz|-d8ALY@qQAiaQ;(;m`8eLiP-VY7FOPiHyc=aKaLce
z+xk(b>)fOlI^F4kHr$Ig%UE?U+$>_ny>K&+RriAW%`8^lk0Kf7vVPR5KUY*CW}j|o
z<NYx5*PPZ5IyL7uJ=du^H|e=fbNazzv4flMSa#3d%rcMlgHC<=M{Do<kw4CDDi_<k
z`N>??_d4b28?C+XM!q;_R4%r2^O3o%?{xCh3$49xN8UKMsZ4C^<|T7k-|D2N8(Mqc
zj9izlXnp!d<dOPwLS<qbHrLEO`dTM8{h`(AYmr;dO)3>zvpHt&(N{X5$(gd;uOrNQ
zT`xx%^|D@$(CbYt)tPoIC{t%uvZpNfs|dg2NmkP?ZJf}TTB5TknNybgMTFh)N0!sh
zZ7k?(y%b?~e39j}GaD27o)+m$K9*!T?bJpODek8cYR82#btWZu*2{1|iI6+al&RBy
z?2(0-dvd0Um|JqD%;`lN4f<R!MhNw$7V2~*JDN;$PBt`|=9H{xGR-kr&}5oJvZoC9
zf{hA&PtQm29e<Rr)0V6$<2`?)Kws<mh;Kcv=OaG#q!#E@98<EGW}Upzc-n!D41G`Y
zb?TB|N^{?j_;zfO`LsP7AJq3geJAWX<&Q#8ibvCObvH$S|G=1q5xdrHnKf-voaNC+
zUq!F3->`A@&2SBERz)Y9FYQPD*5&5vyv<TOmfHI1wc({Zi&t;9E$S|44h=edWX*)$
z(2GYRJufP`^~u?~xoNq42wCu@E9*tL!}=vzoA#W!^W=}$^W_>VRj#aCFH^Tvpq_ux
zLYpRmpFdB{_w=-DsVQ%$tB>FLdFsyf7jCXySnK-sjdo^y_<?m5kteszvS9bTki0}m
zdwKX8t=J|jF{>@tzNM|`(n^2!T;O89q2PSGuJR8BkvpS4-jdqvR3;kgJ3DG^L}I9;
z?ba*Ly%s!FOj@Mi)FvSK%wbYW`y_RXDKZuHS_NH8dvbJ=k{PAFuZA1dwqCsy&a(fK
zdw=4ia4A_$^~|!mr=PVKFOT>9>$P-!LtkpPPGmSs;>zD#3)gGN{pwn@p5f8k+NXTn
zcO#x1Q!<~nWBq|%);yj3<U(oh+YvX8Z88(vx^YP_Ypzau^u&~zJ5N>~ebsgPwAX^m
z)>S$i-_>XCopkiX#RXS=!nUj`=xR+(TGusc)+#@?Pr@r-<el@?^-qYud3iE}lTKQ)
zru6Ajos?ur>Fyg5hmJ9sO<TXQqIYSwPE7JmsqSkLn~pV^PFuY(qIc;l?X~fVe@`u2
zpYh9U+4_WEr<Sf?Q+M=IxK^#}SM8PYj(<<R4ENa|^h@;8`ud(a*Du-&;~D>+dLC}G
z|I$y<bL#_soq85-vVYP~(KG8~>QXO->+BEuDe4)&@Xx6y;YzixpR^~&H~u;GI9#Fj
z>BVrF{f}N~_wGCNLc2FU@{8!P^%}pt7OmH*bN#5@v9IWbcH6$77uqfRj9zFr?Gt*T
z-5JmLMfAw}KR-`B4F6MewEly3Yy8X4qKDRh_<3sH`WHXF=B?+cV?7_vvcKrLcG*6m
zAEF1=|EWFtUb{B_;qO!T!oTdB^j&n%`a88p-)Wb|FZ_M#PWY33Lf=Jqtlv|6^sRPo
zeB$p@x5BUNoAga|%lbLBN8f0t#_z0aeWRTmFZkQ*M)-k!pT3H&Utdz&`dT|W{$l+v
zuWR8O_7!~<UA;aecWJ5in&_KbM17-AZV~l~-nnJ!)wL0~yq2zAk*8X!y&~H3Zr95&
zukB8|re0jz0lL&`LG;f%UC+a;wjbIl>J@$SPS>+AqwP&Qr=DKxbF1rVnAUcsOzkPr
zGw*mUS?iIfTBJQ8y7CU!lC?Isx*mtg<SxA!Rxgpev{1Wy+o2tzuF;-brXF3Zk;i&5
zj4$`-g)pw%)(c^5xvm$&m~vGMwHvlI?U-sGZF#3_{#uE=r3Kox+njcY+C|^oENUBl
za`V)KYaiU~dJy(5=jgdGrtM5SrtV++BX?=Oc1`rn+g<m<K5c8-K6Urn8@WsKv`eCA
z-tM{+_GlYZ{r0Kb*Y3z&nyZ}?9eKO!R@kL&P1~k!UOOXqX^!^wwG(nvbF`D9Id5~_
z2-~;q(blQ!))wTZW@|@9pS;C&Eo|Mkq^(m|t=<yX`c-T8x<xOwX0B^`sWpAwrYceI
z@RcQ^S645IWBsbNJlt@v*UM0k^+r{q7gx8$v3}8-AO3NV*Yi+|`t?pPwPuH3+~f5u
z)L?y4<y6n`jeESFhN{H2e$tv8-dQ4ga<xkw>nE-L@Wzs<?%{=dx)!fC*~PVZwMks+
z#ZZa$i(Y7TuG>^0dUUnIu2TzFOYHJmxLRP>sRgS!c6lvWtrEw2Ayi=fr{`Mj;U9}d
z53d%9TY5f}WBsA$TGi_oJ=dzNUuRSyY7;KF=hWQQH+FhG2xVCRsa$mb>Myaa@3pGK
zFYflb7y4mcQMu^u)mLI$-)R+xPu%TwC-lL(Pi3OpS8s`JeXErn9=O}<R_KLwMP;I!
zS5M)T{E*^shTEr`YngB8IxpX9fu9qXa9x?)t95<#gxJ(KT1nxYySZ+J?pyb$bn3eL
z)djJsueGAWPwwKn7P@X-Qt8xHE4TQymTJvjwkT6;=CY<tt?A1)S&4cFuQU<8x^jsh
zYpK@qV8gjyFGD<*8(E273|?{|@JYJ>lYf@LYLTA{A8<0<nR0n~kDqFZ)`H-lbGn{~
zSS>%~*?KA1YWXHh(X%U4{8)>%rcdzioO5c4n}2=bksniByZr-IUR+3Au$*C2v-*Y)
znr*dp{trJ-*}rZ1mAgl6kG|C`%FoJ7OHTD?6#o5bR@c)It>sFYT2q2&&hc8Z(nIfQ
zk=DfE#yO`RhbZ_yy%-|1{85Hh@3KP~TD`%MCZfkyYRvLlv{J{<wNR^L$p*cr#hO#S
zAI5YoS?a?STi^9`>C%KKuVqU;^i+kWItLq?Om*^RG!b<QVe@sp5W?iETBy~qtjS`k
zeX!-6uK6n^{FWAI)h=_g5ViBpjPY8qlq2fYf~6{cspmt!_^6%_VO!pmuGQqNxMhly
z_fO-g*4~9%M2;_&(R+F^NMLzVx>jQ_<D66XL;k#4cFA0H-^%(ozOMONmB9zQm87yj
z$6pw24(+-cXtLNQsCn}iPVa8-9-UKaNskm=-Hsn~3%a(bVprodr%7{`6q$?eUU|j0
zHBYNJc;alYJ0TC2eKHf>zH*CiYpzyyaNumOTOk*g6`6@{UOC10sg>xal|8<$Z#1eo
zxc>%l8aoFsW-^<)eq}|y@6v3onBbeUx~_$6TGnJbb@j>!-=(iK*ZL>U-Skp(*1SnC
zHD}B#da1eEf8&=aUjBi#UavfsEwFp~MRS?IW-ZstV7K{7zouMR-eC9iv*tYimo;3^
zgEeeZKWonNKUu@|ELd;8(M!!~{wr&`mMjmjOZ}udah}r8DJPaY*ggHIIbmM?rx%)i
z{)JzrxcMi3nR0BoP8HYVU=dr@i@{>^7yS@%@wfaU;_R>aMa0Qp@{5S0Kj)VzN0u{G
zaXkwDXY=%fX5GA`A5#u3|5C~IF!+Z}>iOU=Hmc`?+2%Jr*KG3N`B}u;pR<PRLGZtM
zkG@aYxBP=`>U+&9|C7~R_k!QeOZq-#*Yf%cwyEzli~KvQx$XqtoA>D3lx@p5*rvYK
z%<}iF=DHPpZeG&2DVvtZ%y;@WW#jS=Th%w33I0E;x~>QBns?~yl(ow<Y*k-tM)>cn
z>be@dYTBh;BG;DYM0G7&ni9peY-vo?saHWdx=Swwg-oBcOXSMZIeJG+G?#iS#-4f+
z<T71omq`7Er8Rm-i#6wZKaAm8x->`6wODhe_raJ`PlMESj}~c8^`5vz<kV6hJ=IG=
zD$|8_ikw(#qsMwFNKW@?p=RH-OBtFy(=P3p;_96k)3s=+O4O-GK_b(eGBn$#C1q%~
zPIJo8Y@Vi+q1iZX(hd;^Z^xKZ^Owr#9X%h!Gd*a>6gzLl`WUYHOW#Cx&0G2;^3;Q%
z4?3;|n&s1y(lr~s8Dmb}5Bf9h(sq%3OW)|a=4)1ZAB;YAH|Wi@pzR{NmY&gd&C@LO
zZj3&4JLt}|OWQ=YEnTDQnyZ=V?HGOPX3&{wLEEOh4mvT-Xq(8!r7gOwIhyg_AEUgk
z2kn@)X{*TEr760s*_z??-W#L5t_DW+AF7(->wd9hijVujk}2Nqk+xk+7l&ANy$THK
zZ>pMddGQRnrC&6bxJ%l0y$E#bXR4ZVesP7|($5-m+!vNiIlDMRPW7|K4ELQjr<N>^
zkX!mmV^W_}<&=|)U97sE1S<72RZcm+*um=5;>8wnM=u6S$+lh$l<ME~LZiONJ+Neo
zi+iL^*P}oI*{2r*d1RMf2;`7Wy%5MEt9l_&sGsSDMu+>);wgt0|FG<O7|7Ls>A6OW
z`@`ZXw(b{;r`Whl^5iGXGcWkSd*m}4*S^N`dk)t52@j-yd}sT$x3M-~;lA{a?`*I3
zHdf{<+>@^O&UR~WV`;v^U1^K&Y^V0tHx}kA+>!qAjcwQ7#@u{`+tNF}v8~$Mn3=C|
zOS<A4+pN8fsre7S@~TubAHV0Y(cIt-FH1G^{(BDV%{RQ}eNx4|{hq^GbA#8sN2-|D
z-*Z@PzTp*XPAy|(`~hG61<S+@E^~LhWZm<HY2ChtX>kX<^cTG1p7D!m(Y}V|`U_ri
zNBpX1nzXNBvHpS=+!DW-n)Wr!*I)3Q`^HbEqJ0fh;tre@5BSV_riRfl{=jMRf=k>P
zKbgYzHB5?gI3-^2iM8holh?k6iTVmpxPSa$a;jpK-`miqzhJTWhKt-MUa;oWFpBSO
z=+R%WNZjBe_l_S-TKgJ0;tn|LFIXtP;R3hE3s#vgO!Zt<jLdr*I`tJ6hzopV{qvbg
zZeK%PoWo)94IfzFd}b2b*H9C8z*c|3JaL2b+!jBW9#t~3#vicOUocnP-~%g54ddUq
z1N+1$yl1^r&G<F$z#egd_pE!W8Q;bo*d;#U9qXKG#;0)yc8CkSW38!Xyc>65oA`vc
ztTEM$SK|(B5f^w{&uUZ6csB09Ch-rym?rIQNY-C)gInSoQ`6ptc>M*}xo>=BD%#r+
zt-s(J_l&PhNqZZ@^%q>_j`;d->%RIm@9!`B?tl6B^p}4RfB6?%^*`*sz4!b0rQiL(
z{`Ic?A8>#F<?rXe{MD}g?{R<s#qa)K{&Ls;ceua*{P**p|GuvIZ*kw=tN#7{XTO*K
z{Fl0~e#ZOzPk)=g{JXj4zt(+w&-e0Af1CgO=UVk&<^KK?-}yiNt*-g6u(!Vdp8fIf
z=P&-g{^D=)mw%@F>bvjRAN%fq@%Qu>f1_*ui`=(&d4GT5_wyHihrjr%{N*2S)qj?~
z^^N!TANkII;dlFwe}60g^WC?%e?R}>@9-b>|GrlK=eTcg_x}F8@BZh1Pk;Vby5|3f
zJ@rlR@6Y{y{@iczAO9Hl)z`m|zyJIA_kVBq)>plczxTWS`@g$;>&xE9-~H|W{omQW
z^+oUF@BC)}{%`Nz`n>n?w|^i1_HXUp`mFcyw|=*O`!{!QecJo@o4?Cz|DU+Gf8%%m
zH-EXS{~x%we|`P;^RNHDuKK^@-u|`U{a^n*UG;y%z5T1dpMUjtb=BXfc>8PD^Dn<%
z{_^YTFTWD2{_5?mTfKh%((CIly<Yz7*V27;E7sqC`8xgAuc`a$maM=3;<fs(U#<J<
z7ObED{Pp#pzY6!&O^Mro_PYP)ucvGN8pQ8EeLeru>-3+$Lig28inBj;J-`0b*X}RB
zJonX2TrdCR_4gmY9IO7y?XBxuKY#J{^%q|sfAKZD=C9b^x}Np(7hTuC_<H+~Uz+>s
zI^y;_ub;p0`uYp6-Cul_{_=~n>Mv7c=iZd$@U!JPlRwM8{!??@!S(*ei<ipJh<ugx
zUi+mla@UtQ{rem38<=>jj&n#>9p}^Bm)u=H!(?yr?5Oxnt5#3vd98Z-R@KQJd*p2Q
zg?pSl`Q0nh@};h_cJ=8q7p~mfv~}C|GraF~yJw%iQ?q`GmHmC~6&f$Ab!~lX*RPs(
z@AaZZ-%s7R@nY|`y?av%ib~4#Z^qt}Tk>Ry%IVW*&hDFjhW|p}1MfEV^Kyp{O+WKN
z;3CgkRqyEP`jaAilY4sKODMa)pP{^@{Egu!*~xQij%#S&H#~jX_PxY>ySDZ@^A;@X
z)Q?=?*vhA=mXwq8gu`=k(DU>c880(efjYFhTA#dY{xa;X>sWt({`L1CzJC7vOLAXb
z>-zZl*ZV(wef;^?+seOR_S99z?LX+XdWM9w^xnk2dj`kN-(0MhW_!t7U2|CB{)Q9g
zKA(8IzqI-8OPrEFV~P2iPrRFJ4y)}=oSrZ7OnTbSCfBO<(eJ0e-dnaeeEs)dU*itm
z%f3-9TD?B`{nR&m<L+nQtTwG)pZ;F-?cQa3!?%9l^)2q^z3ki7rPb^6^>4oLcKbDH
z>b{g^`Zr&CxBZ&5bYIFU{m7;D+k4*WuC6})=H8~=+j-vWo~}Oq<=*R8)qB6h8ScIA
z^FH))_1!OVk@vG-M~8i#7P&VyJYVu!^t7+jGWVuN=SyCXzV>xm<=)ize90TpV&A59
z?oCa8ANqPPU)B1Sd)W)WXT8`fSGB(5-s(ryt<~!b--o{2dv0&|uJ2Lb<KEtTeYg5(
zef9dv_o46izS|qV@B6Lqaewc<zF*B+v%c~D)pON*KgY4&*Pi#?>POqh%9A>KQ&#KW
zTsocSviG!?s+Ye^%B?yX5x?o$_o%ONYwx|jT79%?edK%7^VL^B$9>-uZufrbhrQn_
z*SEgE`eE;{%JuE<zn-slty$l2FZ)q7@0U2%y>%6F_Vov^zd!dn{rOk!n!gP3`}bcz
z|NiUi>c2nY_V2y!|NiUg>c1c2_V2!a{@vHr)qh{a?caIb|J~Q_>c0=-_HVy_{_WT7
z>c2PQ_HVuJ|MshQ_1_C|`!`=d|K_W9_1|^t<!`<=|MshMZ(ZVg`5UjlfBjXtw=Q<Q
z{Pow{zy8YHTNk-r{@UyE`mett_tu5x$G^UP{MWbKs^2DiYiHiG^U1%zbbJ2Sx0}Cw
z3$6Mca(~~I?fhTgTG#&exxeqy_Wmz#rE7n?+~0R$JO7urziWQm+~0R@d;jORi@$u!
z-B&v+KmOV6_MhKk_tj3mXLox0`cH2sfB6=;uXb{N{F3edpWb%Y{8qTX@A!89OSkL4
zzj#|*^IK+bZSOrhxBU3Wx3|A|n_Tl-WN&Tfy?sZw`+t1v{N<Z$)o-4?wXOH;obvB4
z*zSMfw)l&;-Zj4&_SSaf-=Dwz{fD=oKYx?lSKFE&KYx4whqsSEe|uZ``^%o%%6t0`
zZs$LLTm8qkyOqD+?5S<cmw#~k`}c1@_tw_t%iq6Uzy15SmwRg~^X2c|F8}`R=HA-U
zeEGY#&A)#;xwp13U;fVR@87=d+*_NQFMs>?_HW--?yb$tm%nwp{M)ygduvnk?|*%(
zT>bmlJ-dzD_20Z@uKvC6p56NG>tDZpT=jd~J-fBr^<Td|T=je1J-gN0*S~t2UHda4
zexI-Y{AJViFQ0CI`LurbmrrZ=)l7@q=cPaY)#>TKJ}ul=vs{1v%hTb%K26+Lvsi!r
zi__x2J~i&EnXf<p`RVIFKNaq)nG(0}>~#OnPfyqUG>G4KdV2n))9F7yh3>1F6lZs8
zdj6-U-CsU=?yH%oFaPB9_aC1etA5Jut?ARBzj*rki>Hsjc$!`FQ*3WdkN*5c_0#n)
zp5FfBljgpfj<|i!`tuh~Uw`4W`-`X2Up{eG{bbr()2T1NU^@TDr@udclHFHR7iV{P
z`uYz~Uw{52xUZ%rZlA6G{CU&$&!0B`@#$gZPnP(7*8213PS^kNl)2{Tzc{=7)7QU$
z`ndY%w>Z1K)Aip!JzV|sU7X$S>FeJ;U0nV1SzNu{&guH^o;FwiyccJ;efs*hPm`;E
zUW>EaI$i(mQ|IcR=i=-(PhbD$>F#|sDf;(soL2w#sdaBng8u#Mr=NfQRJyk&M*se`
z)62hpO5Ix%p@0AC>GZFkLibj$eJ}TV_x6`}FMoNLTJ_yvZ}s$hd#{$CzqC92<=x=g
z??Lx%uav+4a@V-F{=3(G+e_v7U+xOmes{WWd!hXO=ewV4zFXb5Jy+g;Y4`S@?=tsQ
z&wMZUY<Kz3cfM8Mb?)yyRqlUjxBJg`zWb^t-m^VfuK($7bIo_T`?enM?=3Dr|M70|
zmv^RB-zD}|_rAZksJ#E<-QX|pRI9#=-M2kj&VO-t`HQ>8U*3sUedpL)UEh4q*71GZ
zf^z+jcbUJuQ`}cwe{b*M^7H3+r~h~-y05zSp6#LX{`0%HKfinV^Sh^&-`Vcl+Pt6l
zV0ZcRyPH41W8GKX@c!QY-Os<j`?|Nf=KZ~UyO)1|_jGS{#ru1Acc*`UcXe-d$@_bE
zcB_AXcXV%c!TWo+cR&C3ZtLFaocH%`?Oy(^{@v2O)fw;a-Q1o2?cLP9)z{1W-`th1
z{(j`%-VNpaZ|?rC`o8Dh-gV{uukYTj`o87f-Zka?ukY@z`o8Ag-c{l2zg|tQ{c5(i
zYF6A{pY?Lf!rxz7z5Um#$f~bed#hHhk9)Pc{nx9x`>K|$k9)b={nxABeN~Ir$Guq1
z{_9okzN&fa<DS>AKK}DnVAWT%c-yn#`k${JuKB7Lzt?m9yr-+ff4=gp`l=DX_hh*K
zrPb;`U%Bq9ny~)f<JIghuU3C~Wx21aFK(~fdb!2n>pxzN{_@IjUsYG!UYGUv9<5&f
z<CS97SH8VfZE<^@*2^sn&%dx*{l!)8FRxVhRW-!f9tnSces%eeS3fK3zw*TIJrutF
z{A%|fuRd0O{kEs7DsHdM`g;#nw?DrsT=Vtao~p9Ay$8biKV1D?{q;}W-hJWy@2}pj
z{`w_u@1Ah}_g8mUe|;0TcUO4-yQ{OSzdniEyCa<c-PP*quXp11ZVT^!do{ZH>y@~@
zTf+I@UbU|NdM0k~rttT_UQOIvmAro5jr!H%-(EHDt%_ei@A~TNUtbmOt%_bh@7n6=
zUtcBetqNa1@9OICug|vbt6Y<RZ<)FO<=N9;o<02KS!~tku=_UN`Eg6l{lA{|uKgTv
zf6ry}^Iy(t*M9c6zvrU4|Ch7ewVxgC?>TRN{`1+_HJ>f++j!;Qdp3Ld&u6LoDre;1
zdpg_v<=M^kHJ`Qa+j!>7J)Ld-^O<YaXO;VVPMGt5I$K@ySz&Kw|2>=I=I1ZYzW(BD
z@|S0(`zpKd*&H+Xzc_pPi?h)+pGEH5xa8kkXny{}?C=+7mA^dWt@_Nex3clxo+IY`
z7iPErc=osQGv9q1`}}ziXNUiI_O<de$9)^S{Co4v{m;*y{`{<Tea+_&dn%jq@69zo
ze{Qz;k7tbgD(my--JgB^`?HUGE35P8-J3oA`?H68E6ele-JKo&{n^F6mBsn<?#ved
z{_No1%KZF!w`X7f_H5(c%Iy4kw`NcO_H5za%JlqsH)n@`dlq}&W|MjTo3p~zpAX%$
z*<k+u_1Vu=pLgA}S!bUA`t0SZ&ztJ+*{m^t|LW}Ks?V$9_FNP1|9bTHmq(dZAC2}_
z%!u3Lt$*)TclyhttG_&2xvyfSzTB(s@?Vc;?yFd;FZZ(B{MVz-eH9D!<z94u|M{qL
zU&UN~x#!*PFOS}?`DhZq=ZrZ2=cBu8KC11ln5G~1v|Ih<(Zw|%)#CSf=+Ar7E&lV7
z<Gzaeeto$m;_okZKmYN_vg)JM-in^MJ;%iJFLodQ@kq1kqtM=pZvA<Wy4znIwf^!*
zvg#w(-insEJ&yYG7KrO#=$`)L5o6Uyf%rZ4`u84oKYxDIxaK2Q{2n`fxrg1ypC7&b
z`O(YDkDvBbH0#UF6Zijcbob{+H!D9f#oHVZfB*jI=jxC3zv686iRZsRdb#@Jr#PEE
z;_u%b-CX_gRh-Q(@%(p3Cs%)b6lb$T{QcXb#nm5g#o25V&wqO~xccLzIGZiv@829X
zuKsu`ZqMs(_ivAS_f{n7$KB{=|MsYMZ$+Gb-1Y9`UmxY}t%%Z(yVl+Q^-=8JiZK1S
ztJT+k-P^dYeD*zS-}kZg%f9nnt}cHGI^#!YZ~4@F)>psleckI^``+)q_2uvDzU)=5
zeeZVP`r>!JFMFA5-`m}{KL35)=e>_>-fQeFpZ$LBv+C(T?<MXlpZ@;tlJDm}?Ok2-
zUS)6jl=pX^RExjdJGth)(tYa_-`{=Q+x+F8ZPk03`_}I7V;6t#yI6hw$35Mu`u7s|
ztzF;8F8ZGLac^+Vdx5>>?f0ym-^VWe-glwe{Ka13FZWoh-V5Egc6cxQsQUZ!z1B7F
z|LiGmdmsC-`ug*|$~EtQ>?yClXKnjFcHZ~A4|@-PzQ?(*y!@WE_50Wd)$Bj+{oPw$
z_dfQ1_3`ib-tH~0dLMhQy8ZjTyL-#a-pAgpu6O@_@9f_4qW7_Ps@cEa+q<_s?|tm;
z>f_(;t=(Im^*;7ib^Et_bN7~~y^p<FU0(bC#J$}czx%z}%U%8cz`fn;zn^=(_jT3#
z9rt#x{qFaA@9C=d8}991{r%jly{oI<M#WoSyPkJBd-=<)r@!1vta_`rw`}$Lxl6CF
zyOh2B*R7@d%2uqezxy&f{nxFj`^uKAzxyIv{nxG5ePs*Q-+i9_{O7IGePwgj%Pzg1
z_j&8(nzu&r)@QE2`?PiTms^qh%BHTLyX1P`r>(PV-YV@ao3uXmNjCe>TekbkCdBP_
zUqAP;`Xm)Yr3abZU$33Jn0@`l*5og@O!t*_$5|h{?sqYJ`irg6HE%`Yt?OOZ-(7h9
z+=cA$7h9FT+~Tcz%d)quF>d#f>wFio+kf2pTltnR-r9cs+=toWKW=@ke9IAUZMXjJ
zyz74Fv!_4bDqZvT!=AFH^>^o9KX)!${KqZEeP#9Q=ibl0{{7a+y=B$w=ibYn{{7a&
zy=CR==ibc@|9<P@-m>ELbMIt}f4_BbZ&`l*`nk8WuYbF>ac^1n`nk8Vr+>S(aBo@q
z`nfl=!@u2%jkn%(J@3s{;p(@C;;c7ZfA@Op=c>26;;h$Q&wIV~a@E^San@_Dzk9WH
zbJg2b_jX;|-uHFw?Jw6dt6m%JEuC?1mv{c%SJCM&*RK9@ZRNhwmHD!-qRW3>o4K!a
zX};{sX!Bp!I_vk9F3gvG5&iw=waR^^bMs}NN4vjVd%Nbf$^Bhtw)1^nySwJK+TPM>
z`LR!<)nBe%T=QD({w|OFxlf|Se_nIkSK6O1yJY*ji_y=2T(hitEw#6_=iaVk+w(3)
zAOCSpv+A|b-qP;;xsRgTU#zwMa!s=8HP_zKmV3J#^XD$uu6H4N`j7f+j8(4%?(eeC
zzxy!y`SZ2LHLtnu@3PC6eHeZG`P$o`uf42%{b^5WbH41n?S3ED?*4r3X60+9`&I|G
zzk9#-bM@<A_pJ79&wIc2a`o#^_pJ78fA?<f=IYn4?pf{Hp7(C;<m%Ut?pf{F{_gGC
z;_BD8?pbZyp7(ZbaP{j;_pG*TfA?msaeejcQ}=egj&}cct#@x}Qhw}>X!dW{YWJ4L
z<;Px+KK}Ju?%vX<{Mc*J?O(6O?kx?=kG<-B{nw?9`$}fVS^4V6E}PDG*}MGZ(&*Zk
zI(ti|##vpRuJ?7RbL~sNc&p3P*L_*4T>H{3-s<9Xy)R3dYhT*MTb-Z2?(@>eH7_;x
zmdw_lTmQ^^`p-*=`%0$k-(52O+^40hYhJ4CEt#T!_ldXo%cYZRUMj^~otXaa<I?6Y
zmu#zE%EVi_>&Gsh-gnXa`j1PxRWBvttz7kE7fsLmxHP!trNG{j_BbnN{n&-m`!0B!
zzgQ~#<q~VvOQCox2YuN`-rt`uwXS*jXHQ9+e(Xc<>(7@e*VnxKv8SXu&dOFlcHZ>7
z4@(b!zQnn&q&&{bT0i!IH~WuEfA^Nu>BrvpKK}jE+r1@K`my)C+rMABySJoFKlZM-
z`}a#{_m&jt$KLT~|9)xj-jY21*xTO6zg=3pw<JqH_Lg`1w@Y*PmZa&&-t;c7eR(2o
z*T(67Z<cabzdR7PYyI?d^{<z{u6ns6Zr9rBey^9Fu6ns4ZrAGR=Uy#cUG+TbzU8&@
zyvx?hU(P-K<y>ObbG^OAtKZLATE6a*_3~fmmhLNF@&3+B>-1mertT|V^8U^XYxQ5}
zTK5$%cz@@)_4A+SO7|7dc`viHJn!?|%Qer9?pvNIfA?wb>@Vjc_Z3flKW9mK->13t
zvumC!?Jb`4KIVxv`_FT>`-&&r+v)y(&SUH6FXm={IcHe)T<ZQ#*Y`4yt(U);>;2`N
z?!Mx#_cDvh-(9dye=%44%Q?ZS=WKh6o9^v&cpvk~n*GIG=bGpL_7u0jpEJMw+=sc^
zHP3(TDQ<Z$Grv6Vy!G<ub5DOh$Gfk%;-2Nf@^|N~>(igl<*s?oaDV6i@^kO!zOH`$
z<KE7_<$mwyp00lW;oi>O<>%hbU0wbB#l4+7%l+QX?XG_Q;NH&d<>%hc&8~ia<KE7#
z<$iDHdRITcaBt`4@^f$IYF9sB_g?0vwfVPmoqLND-^<*v{{Hn`<=*1h_cGV5w|_mC
zxwkm-z05W1@~`J2_ZHWOu8(=Gef-y{+^VN0dy8hqS^BKMvot*K>(tF(PK8!I4T;}*
zC7kc;RO{NOKJhy*h4+1#DqZ{3C4T3HaK0~7f7d*<iQjoHyzleW#a~Y4?kk$LKIWNr
z`_EIc`-&#VS)LAG_i5_nFQ)?c6-{0rvn1T_)70*orwZ{qkB9SJ(*FKpYH>~dQ<=R*
zy>XUq>th~kZ+|g0x#p?J-lER9okzp{K2CN1a!R)9DbL=b);LS2^>-G8`(4l$e=*g&
z<|)J8qK@@<=7+!gF!l52Q<D3NTGz+S5AXXh_3`IZZ!4dE*;7;*xAR~)-+68IAE)kC
zK7F&NsByi_1MTnMPyO6mRJ&g0zV`O-r(W)@FRENGb5Fbc`>C6Ii%Qqa+|@S!e(L1j
zqQdnuceKBMJGFCfQSN$~+uGZ|om#oKD098cE$#Aer)KUgN?m{F>s00Hr^n(fH-_uI
znaW)KbYGn1`tWtHr#`NFx-HIfZMfd+sfVkcu8Xr=9lq{WZ+7kDi2FNy^XDux*Sjp;
z{<3%Xmt$-9)fY~?x5F!c&MWEZzm6^3SGYWX&P(a=U&kiyD_opE=Y_QRuVan-3g_p~
zc`kkZ=dr?lg;VbBIBV|rx%YI<V}tuUPMhall1~45EOcMtq<a>p%=13=c7HkMxvy|y
zzRVNp?>~+?Ry~&6TiBOBXR-OZi_*tm^k&yQ7Ta6clRsyXx!y(T?e#y7Y3?iRxVOVO
zf6hYlbr+=FU-U|UImTJ_m}zfeXTHn=bH0zgzds+7-B(z5&*HH8x(~gtKOYm^S6Fjz
zhi(3xdFFcOrOkgFdsz9H<^B%q{5f;Y^*;16*F64r&tkv%y7#@0s~>;6XR+5@?|tv#
z>c{WyS?o4n_pWzw_2XyvEOwgfy{qqSu6}&)p2c?ab#Hr<s~=yxXR*~>?`^Mh_2YB*
zEH<03d(*pnUtvoAog32X-;TBJElkM2b6xuR*JGu73uE%{T$5h@^;qiO!ifAkSEbXx
z9t+)DuvTB@HTU+Ht(U(XO09Zmu(x1(+>Wc_=Pq%FzibVzeHavPaYg*ymsaE2hhFg(
zm+HmyzO)M0K6Hw=xFG)SbL;1thgR_x=fwLiac}>5D05%IOnsSW+~q$H`BpvDiQjQb
z-0u>%`_DtZ`wAw;S)3Ht`_$T8^H46{!bAVgV)1hyTZ_LOGOc<jvA3XC|IQ-uzK^ZJ
zUk<5OJrs+#I4aI}k-PjwtMQjZqE!z$_7*h9Svcy~$1D)n``F6-<&fgOg8H}}hsDpG
z=T84|NOWI8ZJfm+@xJri+n={y{(R_Z<wLf33mg4854g*pw{HG?h;?5<gZ`cS+|R!s
z`ntEEM*q$|?&aSPJ>6SSp?~Ktcl!53SN9f_=-;`+t^WPc(Y*x)`gd+~KmT@U>)wJK
z{X4g~mw!97bZ<dMz5bn>-09yAP2F2?UA*s2t913lBXK)6i1WQ^{ay8NPuz}m;(f1M
zZ&y9s61QWGIN$5m-Bl0Q#O+x1eeKuU<l6gYd-G@A+wSvTdfE54mws>iwJ);jzSiFS
zRqvx;{ciiUZ|=VQW$&Y3{&xGduXkVmqW94+ezX1BSGzBN-uvk1zmNUg7g%3)-|W8m
z+3&iaYY*4l*So*n^Zo3nzr%j+^Q^kBaew>C@4A<MtNq;Px-Wmi``eFyv%RdX{<6<<
zUw+@c?QZX-7k^*-u{Qe4KEr+aUH7)TyubbE_p%@R6szv@?agnyx83Qz^uq7C7k;a~
zsOA2$Pjz2@!#(pO-`}49UG`(&&&vBe_qQLa|GxJ8Z?_-&K33lUwkN;p-gcY!w;%j&
zdtNJCbN}6*{IYx74}9nSQ2V?3{-1l>_kHhuUwgay{+D~(_k8DlU%R{d{+oN-cYW`D
zS3A4<{*!y#cYNo4S6f|u|IWSb+rIa{t&Og}f92lxE#G<H)>>EJKXY&Urtfcm?VGqa
zKl%Oa8^6WA?Q5*xn;-vv_VwS_zV0jBn;-pt_O;*BzV1uhn;-su_SN5EUw3WYm$zp9
z?Pb?}FTbAlvh?tmU9nYn!{W`o*GDhC?)$aWyY_BC{PxS&&weS@uD$CKzy0EM-!G-y
zwRaujx1Ya$_H*g$n!6V9=3eV>KYP9G=dRR!c{A4Ee)`(%W$EUcyIS$)^`7gcpT0Kx
zxy!Zcu1fs&6W4h^l~&i>RoI)?A7_62`q_)Gue~Tu{<6z-UtV{d`LXN17hg|%Q5s!y
zS0vutW&Q1i*Uw&f9rmJB`O7Zes=F+E^BUu}AGywZ;dR@OU4JX@^2M9mub=(!b=Z$x
zUn}o&#GBi#zdi4|@A=o$o|j73-2Jd8ufA#h?YY;_o_j6!V;AGTy!!RC@4vqGeb>jm
zdDZJ@-+Mjn`>uz3^UBxHzWX}t`>u<7^NQEczVlk_`>um~^YYivzWw^zw_O|e=4G#+
zee3nKZ@U)m%}ZZD`{wJgZ@XgS%{N`oeN!r2efLnD`G)InUzdKay1OgReBJfj*QJ-M
z?rw@RUvvF!{j1W=Rd-k2+jebx@7LVhU$$jd-8R~rJLBFq@BG`ZZl}G>UHxU-%6+*j
z^QB+iF8j4@=Dyse`O+_MoBi6>xi5ENzVwUR-+peZ+?P8yU;6oNx0kuMYi^s|-*#p@
z@8{gzHMiCF=1$9xetKK&W$xmd+iLf>dF0Q2a$D@@HphLr{rS>Mw%5PCc>CFpZI)HH
zrS|6b+}n0+d+x>C$9`<nthz0<H@7=~_M_WvFLJHFY?G|I&9yhT<=!^O{Mie(>t47$
z?Z-C8s@nqhx7p|4et7%Y^IYSa+g$gz+2u<=ynXC>?(NUpURK`zv?sSYUwYnl-w(OF
zKX1EPd7J6J*@5kE-{*d=zWwW-*}m=dx$ko?SKt10&uq{3x9@T{SKoeh&urKB+;_Q?
zt8YKLXSQSe+qb#J)wgfmGuyU3_ib))_3cad%(iTQ`zF`8`u3@N+g{&x`?jrjZ*Ee4
z^o`qW-?r86&5g^CzJB}I*KN6bbEER3uib9@x-E8ZZdiWw)zjC0ZQ8gmXLg*KuYUBh
z>AaUu*O$Fajjp|^vo~jIoY~dsx?fYBYj66+n_Zs1_DiaA?M=6Mvy0PpzoatP-n5H1
zJ3oEx=hVkFH#PR=%+{a%?DVvsn-cfsOxM4?Wct}psjF*ls_e~~qJR6zX|b27lWT4&
z#haa&{`O;P^OsGwRX1hg&D`~)7f<iKc>3CpO}bS#CF0Fo>-D1-P0#(98eDTzU~f))
zoSCzJ^up=A7fzeKNEQCFiM8scP`sIgzVxHh-=3#h*WCQGC#Ow6`r+wo&r_9aZvNPl
zQyph!s~<gYdhUnR!=E>C?#n5UGqcu@esG%Y$ELq~bL#Y?@1H*Qebd{$IaT`6_fEHc
z-*k6xPMLo6-P3O0H=W&EpHrkCedjdW_f32E=H%%|-#&fp+orX9bF%cKZ=G)YwrTF(
zoHYIDo2ScaZ=Q(TwsE@en^f-Vn+M{yt)G7Ob?WP?n>*sRt)1@sI`wqb%?)weR!=|s
zDs^?$^{D%%*UED*?_TyY_Vkx^iB;G2_GYhsKWl0E+Dp5a{aUwlU-pXkw_ff}`&GYg
z>b~qH?{B@>t@dkO>%Qy-?{7Wd{p{zu(tX);-b*bl&;1;Gx#qgjebY1LZ$HJ({<1D|
zU-s1ZvzC<ieu|x4b6sg~_N4bwPj<8YTxYv4d&0e~?(b(k-u>)FZ1$IRhE><4?r(K{
zFZFo$vKO)5U)JgF%kFwFwW$2<h23c{Vzs}l6Rf&k&$c(a>E2d{_fd~_v%QFQuDSki
zPj>tJS@X-!eu&ksx&CWUcFTLI`Q^FicQ1P$d;0S_-hJ5>_e>9#zdg4*?RhMB&2@(R
zTlbfreINT8G@!C|Z@KUL*wfY5Kiu28yZr3C*wxk7U)<Zev)uPxY<Knb2luvaFF*S>
zHoN-zjeA?SmixY~kM*v;e&OEM&E;p`#A;VxU-w?>=5DiZ>pJ&lC%%`uvHRQCb(MRw
zW8X_%-@WbYy3D=Vk?*Ch?JoPeE^=>n==!MFtB?I!m0NYyWN+5YI8&eXx0Z(IehuCH
zWmRa^)sXnDSHgL}hFaHN^@-nlDZKYfsC4aBm-ww0!g;@h{;s)d6TkIbeR%KZ(8XU?
z<?hRxwLa?E>b9S&V)tcDjx#+SzV=h-<S(lN_hn69AGIXh_fu$h%~gf?t;fT8FRlLe
zBDA>Xs?6T3-Z)dY^-+&kZ+j7%Tys@qZ&qjA)}!IRA48qLtdgy|%Ck4CHO|y&{jCMz
zz86-Dy$JQLxyrCNt7HAG`QdLrgns^9ze;jnR_pqx`Qg1ELLYx#^|tcrmpxgPaa#|D
z^PXR=_G8uE%ByeoWHqjrda(N2_f<dlX4S5jy1#nc_f;?VW>v12y0^OQ`>LCJvr5-X
z-Cb?=ebvdmS%vGR?yUayZPm`bS-I<_Zm-_<ZPm)XS()plZmll+wrb|ytkm_lzJ@AS
zUp*FQy0Jc7_f05s_0@fGrt8Dkz7Bm{b#+^u>DqAJ*P(~2uC9wST^+vmm2Y<K<%s)R
zeDh~5GuOR5yX~d#?k~&M?#rBZZ;My{tXH$A{aUtgU*_`sSubaY{aQA0U*_WcSubXb
z{aV(zFLQqWtmm_@{ajYKFLTPhEoaSrKl`4pxomKM%W3o6OS9AJe=ZB%mpSR4$tm;P
zPrlt>mU-^WoR}~5WcIfo%N(mN%k9nV%b&H_eC@^A$6okm*IX9co7s~;YmvF`#o60_
zEYsYV*>P`+bN;M_=4&s^c6;F~{bd<v)n%r=nVtDk3(R>x`u_gBOm<&p-93}T=4(Ir
zzW%&Sa9?K4y)Cx+v*wxWo}X=2|6|$1%F8VGw^--Tnrp86!I!z_^1pi~`_0$B_kCP_
z`P)5{z2>^_eGgY(es|AgxB1$4zKg3bKf7nL(_HtRZ*%qKd-qJXo3DNAn_PYQ+C7u4
z=DKfvovSaOyJxc5eC-?G-TN|A@^9Ukt@dqM>)y<S{9D&&Kl{3@bZ=%%{;g}XmwjE9
zTE90lBLCLa*=b*wh3?H*t1tDsd)rIb%U>3yR$VmMn=w6Z%T@8Sm%77Vx(3%?42n0o
zBL4P^t8wi`uXvM7;<;a3g=;T5#hY9ZfBV_>bInDoc$0JDy_dST{alo}FJq>@)U)og
zpNo8}F6zW@IVJ9UsoU-6BHw)(6XQ%yitB!IZLYakFBfm(p?_<!_}P!H#a|YgR$Y|X
zo6)O(Yms>GN7vvli&U#Fip85873aO!UG~D&_{$>Es*4<ZGn(T}9QC6Xi0giIW&W~A
zabHG#+?K=QXU})1{a7TrFQYcj<dAsp`R;AcT`zxL^tAFKTfB*l{;UVxWzStVe_q78
zFQY;K*8T2h-xt+?-J4OPf9qcNvhRzY?#-yszje1e?fasudoxP(Z{6uu`@ZPt-i!kM
zTerKPeOt73Z$^&(ty|s8zAak1HzPy;*3Is;Z;Phx&A2Y!`^Hte`r?teEgQsn-?;v+
zy0|B9%R2Gi*RHp#E^dk2vPPWuwd?Mxi)-Sxtopv@t8H@ad9%Igv+ixK_jxb5?E9Nb
z)!Tl}i>x}YwKsj$`^Z<-ZNKKt-Iu=XedNn(w_o#m_oXj-ANiu1?bp28ed+VwM?SAU
z_H$lf)p@h~#%I6le6~GYb6)TMX3zICpH_$coab3}UgQ4elizhNRjd7+=ejR_!uy+#
ztJz-KR)3jixi7u%-e$M=l8e8u`Dh#crGB2_zVxnpn_b@Dd{n*c$2`TV^L%^L+wN_4
zdM~-~d(MSwwHLPBU*@UqOK-SmeB}F^^VMZP=KZWZ&vSqCq3>(XSG)a~_p$Q)w>{}q
z_cq(SzxklL?YXUR&G~nG(#!5`KJcCAgYEC?^MCGb-uJ!dz3uJl^Iz_5-t(R3z3uMm
z^Kb5L-u1n{=bi2B>hn+TZQk*n=bdeJ_4zyZHgEgh^VT-H`uvr9o40)Dd24H3eg4e7
z&6~cz`899i-t^@6GjCLjeVf;~H$DFS%<I+HzRoM$n;!js=C$f+U*{$6O%H!R^J;b2
z*I8TlrL9?ibJ=yD%h}UjnjZc#E4J!vSiG_K`pBi%eZHD{*PacCuit$6`k61L+O=mr
z;x}Ks?(@ZzyY{R@{O0r5&wMt0U31nV-q>sX&1c!me$GnWmo{Vl&8OLBFHJYsoYjgq
z_FOOdG~4XwEZ3^DD)F07T<7^@T3vHiVQ*T0obmDNXD()6dtsXVWtQo_wC*_LW7mBy
zW>0%z8eMZ%B;MF%{mq5f&s@k3tAAmt{ACtz)mfIkX^nB4k6h=uklprU*5AsreDTKi
z>t{a94*N0dYvoyvcw@WuH|JgVIiEf4xv6x`*$;ctn%3W(d;QG0Y_T7+826>sub+88
z``Y(eANQtJub+7@d)oI|5BH{(ub+80JM8<ci+j_G*U!9@E%trZ!M$nu>u281zV>a_
z#=Z4v+3RQC%AWRZ*22AM>Fa0S%ntiDD>mME)AgJ;roz={55*a8xc=s~>F27myW))3
zUC()Kdb#TCra0p@*WbJ{-CT8c)xAyEw)cG1z5QicX4Pq<y{R+qZSu~)`6@c?rS9r4
z(^l?FU70WWD!S~~w3+)-m*z{pj5hl<t#e=M!hFdW(cga7PpjOQIyYbPd9>S0-P<*%
zP3~_xvz_O&?(Uk?YI{?s<wrh^R(q+txaPFl{Y@VEGoM6@{ha2wFSS2ka>@2L7o(s3
zm}XgZT54}<&%I5@w&z@oKK5gpX4Pq-y{X;#Gap5_z0kG(GEK7TG}qqLmV28V^JgyD
zu5%%J+K*|BRi_2+Z?ey?zxgow*>hdvn$ukOH`(P&K8!y0T=(|pX)h~Jf7+AUoG&?V
zyUz#R-Jhr3tUS$h-{`>hH}7>nSD*fM&uHKFocFqyt51KrXS8Sgn|HdKt53hWXS8d3
z&O6=7)u$ibGupBJ&0F2#>eILG8ExC1^Hw*w`t+rHMq9SOd82DwefreBO|PTfzSU3b
z-J6<}A9*91?c224y{U2ek=LV-eVvxOH#I6h@>+D;*J-hPQ^WEjuU6;Qu3z)M^m6sp
zFLB0u!+qX=y;L1ryMEfe?4{pV{fY~|ul=gpws!rp_gla0owV=z#qV0b;+*emzo`CO
zvwq(Dt)KT6?F;vMU;24(*1qd!zIXkM)4%_E$@luKpK;pvS1<YQ^)pWWe)f{@T0i5I
z?`uD)uB}-=;oj@T-(UTRlihpW?fuk?)wwn6yYFc~t`7YYC%pIi(eJB%#0l=b?)?7h
zg=*a|ah!XvJH8LS09slkd|!LP_pTpt|My%!{QcGQy;A$GANqdl`Cg%Y;dbv!!3&f=
z@40^Pd)M>5^<4X|TZ7g*@z$(wc>neN-d}sK@B4o0d))VXtM65xtzKX8{_DHFxAtD&
z_1)`x-1B>@?^O3zuP=E2_3hqWd#`W%e(GD?^?R#tRr^-2&v^g!&E8piuW$NZRl7dr
zed(LMU3;%@_|Ek$ZvVa2*Q?)Ft&e$M`g(8H-s@|=cYTdpe{c2G>b3P%C!^wxu1(Lm
z?7i%z>gg|&601(?fficLTsnQtCGTb6g<>l}YsS*R%g2_0R+6dxn$)^4Wr6<9=ibkL
zPAc7(GDlx>>GYh>s+Vg{8pRu(nf~UJ>g+F*BKM_C)t|X!de0}-*)=DX_NGkIk9^|I
z_H&Z$zLW`Zo80whKK8DE_ChuL%Ou09lTz`UT=gX%doO#T>iuPs?!J^ReaS`B-(2uc
zd!efRWs+dkNw&QyO>vtX^dld6v%OGtt~vQ{PfEM~%=y#Ld{EV{Ir(c(N{hba{OLL8
zy_Y>#J^gtS@4l3ZIHQBp-<<PKd#=h|bCMx`)Bfpa-mAW@KKUbV)86Sm?^WwhSD*Y4
zw`uqEGw)PaSD$<lw`u2epLeR=)h8dsZQ4Hl%v;s$>XSF(Hf^2m^H$Zn`s9VUO`E5m
zd84XbeR7??<V|n0Z<9LrrX=c1-thkRbyDTtlvsVq>)zYGPRiVy5~(kF&AaUDq{zJ~
zq3<JJTOa$?ms@q*WN-4!dxk#mZ!9g(`6^q#`Ac7D)$x$~8?Th}e3iAXJ??XV<E8SR
zFS63L$6fAkyim^bMfP{iahv-a&z1LlmR<a%FLz(^toISmtlNI}#qLX<e9!Q7`I=9%
zlfU!@?n|EhK4M9^&nMaLn&S%hHy$tNxn%wAg=}%nahbizz4r{=-bXyP-u6N^x#qaY
z-sH}E8;{nP`+Ssj{?aE~b)08!a_c=qr}sA&l>1z;7JDJ<U2~jaZ*s@`8}rNGe31S8
zxleLma_jqu`Q<$yWFLR-ds}(@%bw)Qdm9gy^PIO<`_Xr|^7xxQ$&K$N9$0_--uH8F
zaxG|bciZ>AmwS^d-%H%HF8khhb8m9#dx^W&X5afx?oBRyUoUaT`rEg@oqLmW-%H%K
z-uA6;<=*7X_Y$|P%f9u^+?$;G{>E2X<?7?d?ip?@*Lfq$Tz!1sJ;U|oYhKGft~$Q$
zp5fYZo!7F5tB$X`XSlk2%`4ID+M^Ni8-3T$SQf5xS-b6}=<Y9FYxgBhi`(e6e#R^9
zX}`J_?n_#}e#T4fuwPvh_thsYUO(f7w%D((#(hci*UxyaeeGvg;l89PaU0Ku`+OEX
zU31hRe&gxzoJ-niKf6NrB~6MmJQbevNwoV*m*>8uiR&evXn*_B<ydu8Zf{cG`WcJE
z*Id*-_Chqf=BU`-q@MLN7KQ6v)ZX@^OLJdRN8Co|^)nWRueqS@_Ci$pOBZL=(R!x6
zNuBE@7KHPB6#f0VOLkvUU7X?J@HHPqUw`fr+?P}nx6yX}jCtWY=e5m#bUmy*$`ZfP
zdi{*K;W{5gnQM;zi!<CGzUIB?<LaZ|;tcnO>%12|Tz&LioZ;^9HSa_hS08;AXSg$5
z=bdPC_0fBAhTFr}ycJEZK6)+AaBH~ETT$og`lILK3^#|bc_X@eUsB5Y8#lDozIC<k
zO-fjQ<GS{<uU(~klVaB2xTd}AYgg*tq=@x5u4<=!?F!wSxHeznwe+@^yqCYUrB)p_
z*qb>0-iE8@XD&&Hz2pt9Jsfo3;EMU1FTBRJhrR9_Tr$u3!Yf>R*y+B(1@kwbc|X@2
zwz_X{&b;SRz4W%9ZJGNLXM)zami=t=tval8f5RzrpG(qiKihowB~H9&aME1o6K`|P
zVY&MT9{D#Go1gi}Tl}TXwCb?L-o)Pg8;i_)KJo^CX;ZB_EOy`Es5#F?>9QBR#$Vb*
zs}6JQO>Dkr;Fuq=z+C4eFY}i+#eIqO_ck0hKXYC>?MIvFzWT)4dj^Nhd(KO5d(M0L
zbKBF(!)*5rZ1QJ3kS=@9yZLh)>%PQ>{2TYBpM7upx;L>V|HeJ(W#8ML?oF)7zj0SO
z?R(qRy@@6HH||KQeQ!IuH?bi9#%<|m-`ckBP0Y!^aZ7sHx3;Bw6EpH}+>}oH);4u-
z;&t<$H@wo-hmYLbu)&<?O+D}Ls>6HkZCGdC^P2Z|)!{AoHmot{dCj}K>hPL-8?K4>
zd}Y1;r75%OpuygR>2U_$`VmXTeZI1K*B%Ur-*8#{%okSe+JheP8!n3bd|~CTJ!lti
za9(`PXV%9x2Q~I4%+{arjC<P8ro?>-)AesG5kK>Zb#=`_mAwg5^lv=j7JJD$xxVJ0
zQoO+l@i!k?o4+*KRvnayH*nXFSS;Rik$c+<*5sOlLVFXs^=CZdZhOIM{iR8=>LAzN
zgqFAsj<Y=`9qDLL*K8B>xzN4!R}*X1L7{j92Yrc0v!{Mfd$>2UK2FC|U+n4Z(jQGf
zD>tvZr?c98^($86nuA>N8|?HY9&#Uh&U(52bJNqxgKY6S7sPqabF2Mmx?6eh&7R1{
z{A>5Q#eOs~?hEg}r+w_Z*G2Ac-=j9}3!5FM?Ylm7nfRIaTcv8(y2P)(aGh(*d)CL*
zn<MV)tPan9zt^Z{{f|B2)o})U#dY}K@6D<?_%6<1xA>ZOtc$A;K8rKhDX#O5wYmD>
zy*PvI;%n;PvL;s_ycTD$Rb1ySt8?|ib8!Zn#n-%H-Mud%MgPVPZnbYst$Py^^lx0}
ze)hGgbZ<h8{*7zg%f2?H?oEi$zj2j2?Q2u$-uSia#a{p3`tt9kFLkL^n-%Wsc%C-T
zzO>r(M^@+FsO0P4Utj8Oefc-4cE8Tv_^J2wuYTA5`q!y;zhC`*{mb81fBCCayWj2p
z`U~H=zx@4Gv)|_a`g7mAKmT3yr7m}0{H*uk&wjW5tc%?jKlz^i>F=vQ{hjosE^uG`
z<oDrAzI%WA+f}n);r{yL-?=aS{`%r?QO$mtz45*G^xfWvKmNV-#owfw{UUqgJMXPO
z`rZ5EU#Bm1vQ_(e_Qto~(|3Abe|^Ds?+d@D{-|TD+AnZ_z5V;^4}U*>{@18xKiB>B
zcJIX>{yzHr@2$^uFDv(d+7sXWUVPqn?+<@>eXhG%xu5C2{(<kW-~atoz5mxe{e9oF
z-~YW-z5mla{XO4bzx%tXdjG3?`n$eozxz9>djF%iHAnP!e1HA+Z&CIBTle(0eb0XT
zH>kRP|D}8STfV=3^Vg_)|EYWHUnAWXBYyL@>9@Mhz43|f#c%xn`n9fdZ+z@~@$0|0
zeyz*g8z1>z{Mzr*uXT~2&=-IGdh5%tm%db`R_!&|8#g^}{nhKIFTD<Z`8B9^Z&1Ac
zmFuU!eATMm>k+^H;&tyYU%BQ&u9>L+Rn@pJZvOh|&tG5tSyi|%Zc5zxv)8>pe?3*R
z*C2lV>Fe2-UZ?)73f&hvIX`sCcCR9<S<5zOTCKU9x!3P%smk8CDeJF4c`f?#>!g~!
zO7Z$9uD|~HwdqThZPi|xczyTv;ft?#UwnP_N0n~XUWs^p*Y)9xUdP0;zqX2uz8<*y
z+^y{TRLdVxPb=55?G0;*TkW)d>cZ=<E<|tr5vH0{vs!F#X!$*@gWI{zN1Of#ds?~L
z@4nWR?OIzeMYH}4bB$vM-SHv(!m4Rqak=cC*H2>I-&<9KZk8$i4!UHf5_I3p(XUaZ
zd&A-qI^(om*NZ;R-uhzes+x@(;&ir%Yrj1jRa3w5TAa?&>)aP#m%jLF^rcF)YA?s$
zxaK&0$MxY0u4{k%%Jij5abH}0-1@`UPoICC`lCv8UtDdR{-NvL=U;Dq{`J!5s;8BE
z+2ZwW)=z)%y7c+iO`oe+_r*1=zka_v^=ne--ncsbu=}r%ey@7FH?C@Z_`TPq->Yu!
zjVoO*e)qNM_p17nd*ce%i{E+u^;^}>y>Yqg#c#h}`mJgyYe(h^k>?V=3lB@mxEOFH
z3OrC)B2dJoIg5?qvH$~v1P2!j+u`Gn*L1RrFle8%S?!^+(57RdNSmRX;NlKP1_=fR
zB?AT%W}e#&4><Jl7(9e`)I9EHeB<@PTzcmI_EY)~KblVdEkC2Se#OPADob0<mVLE3
zb@?H7Q3oEs*Q_%-n9jZTdGGD%({7xPsGa%b@7bBv>zcMr)=NG9v&wi<oV?84<`<V8
zUR3-!!}7&P-R&Lolfd@lksCi6HxycFJ!?NRf#I1|R9xPg80J&|4?PKxnCaHyqhyn3
zopSHs>5Ge=E-ub!dMM75=klOlv48)|2eVoB-d22LyRUE2YwMi3Eo*K*&f*K5p7hF+
z`JK_Ny5qNgI^J4hAkBXBtm3y_3}yZ6{F7c=JV>*;vy3;pyzxr7*D-6OkKLC)m^^;4
zN2q|~X1VUyIH8a0CV#Bgl5;xpa>7g=gYAc(+D7ylHSfFlT;<c5c~-?ocB<sgoBXU^
zD7DUc#^;imEjq#T7zH?)7Bv1}{AK@?zx<Q_bA8_*@ZaN^zyF`ad-0RLt&gbh{c``o
zH_tTxQ?GZf+1Gseyx}i{`TvtXTdwk-|B~5dfAe$xOMm7(y+8SnL*IGVkDP7yeLoz(
z^n2c&_~73WN&YUcGh_DmzsfiMJL^ULr#}(1&Y%2LZ>X~W(8uRpEDIz#G_3d<_!1iq
z1u&Q}GIAYaxWLM!A;Q!k#^AvFkNsi2=C62`|DtdHJ8OO6SANYBBI|S|+oaaAVm+s7
z&5OEc(M+oLt*!-ins1IXnX@fux$@N5_};(nqbFx6*yPUT{raN%OwalEZ>|6Q@c-Pp
zIpxLr-Aa=iHq{@sU7fLyIh#92wdl|^?ShN3!Dsk_JN?!vy1I6L;i*VlG|RJm#t$`}
z*6H7NKQYU0I`pdaY2}u{_Uj8abi{q$<`!eT-l9(Y;Q`}~9T$_cjVpI9@_U<fZoirT
znnbPDSEnBEJ^f;dbgQe>sV{SvF4jB8<}}O2Vdokno9kX>QGH(z)MuInN$uUFD8DiO
z#U$2exy6^S&ieOgf?#(4EO{oMm`~R#9$Np?>^&lA$6tGv&4218CKaD&rxN3Coz{%z
zpLxyV$R{rDg;lddE6yp%c`pmeUUes7TWpBUj%z|Hv(+u`9BBE$`Z{{*dlRm7!GE()
zKAEGuS@^L8|KnwPbJE{E>$|qBUNlAO`<#;!>X}paG;WGGWw>4=`dwDJm^<s5IrCP2
zo^~lrH#hG6jSs;q&$WiHYgnVTw?fEe?U!A@uVxt<_G^aeN&lF<P|NG%qAfctZmFJ}
z<*n=<y|1~qYWwlxMvGim!3Dw`V(-Nq=KagDUM2MS_RAgYyDx7%p;EqUpSyMJc}?s3
zxktTg*IwSHx{HBzM%99*D?x3`dAgM^2zwqo!*BNH+hMzCdATa?JzS?t8Q4B5xY(|+
zsNofLFt+BASkc${ZNrBIz3lrpnqU7|Ez?os99OhsSL99Sg2=aw9F>_r45PPlq+XCS
z@)cw0OvrO{yZPf@v)t1IhaW$3xWTrdo53I}sNVfp$ddk$?bGK^wmu#Bxc7r!UlFrP
zrR0MRjhA*XT1xz9+k9NpGkCeN?Bm7q*HcfX&B!|9F!9&SM$RV@FQq-EGxOe#F;&Y9
zI{#(H-$@T8gZ&m9NnZ1ML+!z4-l&`z)=XPZ#^^je#3q&d@fPn%$0~=S+9z8BcZ!)m
z5|Io$b$r2*dhzGof!lsWWn~$@-D&Mz_EwiWl0o6~nbNzTH!+4*zp~!B>d}jDduGmG
zS9-2#L(*Yufoa({&&Nv!MWoz%CwQ&ETZ%*K#ztd}jKuHrw;E^emW*HOYcKY@>iwtg
zlR<0K4hSz_^zPC5i5i()Vvl3|w}fx}QNlm>i&*jczEc||R43Qgo7>MwW-H$iogZMe
z%4y5Y%_~jMRIfkNopa&QGm+0zk4Bt5uxH<))u$!Gx_y%$wN354(y6JxIHz8=FZ_6T
z?UyNgZ#)tZOZi`V)%)_%JpHKZjWe_}O<QLbKW#gq=ALeyI+G=3v95Gq@a*@en1h{D
zh0K0TG&U-Xw2(R+kTtJ`)1-c``Hk3#iNB^RFNwT#NZnjnX5X)x;<k@f>{~2$@-69>
z%`@PCo-20AU@g1B!Oc7Z=Qgf=z+#iORZwEt_qmG>O<7u~a_(rNugpwm<u|pn<T>|Q
z$R|85ny+){t8LjI1*<Kr2A@ygiht9P=)6I2@4OYdpI8i=%a&+rT5HN4>ImvuRIidX
z>y4VyNt0t8s%r9+7oI$;cH!l@WeX<1Uee^ZsG#N)!_ssw{U@glRy=uW@v8SoY{!)Y
z_W~zyJbK~J62c$kwtKO#=0f+y%Q+0S)HJ)9C++U>Z+^2zXt(h3{}*~gk1b66vf;u3
zqkv82DU!b>wdJn2TrPYZFZ0;Y@Zb9x`j2l))gR}0X*%!GkEYrjqbZf?4fczVmp<+a
zGqdZS%lKS!lShBMYGn8$YYWyI^FE2^hfi;q^+9|ML;QC6mlk&yuya4Tw3bDB+MdO~
zIv#uUOo&b9Ikfx0!rC6GfHr2PN$ji%?SGq0nU1h(c$%tw$vC-V;`iSHOs2|xKDiz@
zLPT{}8u-`io2pH}!M9RzrPpoy(8LPQcHZZzRja*YkGyQLh*@gG=*KU2=+=x&suxz3
zl%Cw?_wrTsly#f-DJ?y|;>2g!-lZXJD(5R2<yfRI-Tk|G_Od(s<W=w5Ml%E+{I=6~
z?b#gfrrdwuHm~K%E&Xt=*WXO)!gSN1Q#PN~avwV?NYu66ZL1G_SR4@*!I>DxzoVm}
z(BkFu;#WyCg1>7;yqf2{bN5D%b-UlO`JCJHzO(s2^>l&K<30;^y>e@O94pJE^e}es
z(%0paH97WN<uulpPHmiSp7}=V?-RxRmZnL&^QN%fGn6@X?S}e9_Q3xpWeP?j=PjAM
z8Cw_bGnu^mtd;VzeG^*x>Q{s<+4r$Ja{IxJwXa^B+~!ft5NW;T+=_<<_U^9}e>h0r
zmHF4Bmm6UxC8H(DdON^<RceQcys3<rTj)`noaq0S3D%Pzyql-KWw-L=#MqO$3kr;G
z*3R4T_K~W?Uy+mD(>cFgW$wH!uyN}Q>G$!hf!a&j&Q1RD?XJ-Jg|9rNY^P7D4=mph
z%xXU=?19YPMYnjT?Y*CI@6KC2R;f8TnX{fItjL~|-r~M{&%N!tjE+r84|~;lrvI?*
zzFYbyC-3F`xU9v0(pOG*p$5AKjwxLKAE$iqJ$~a$TSLnKuDBz)U-}N)we>7s+tc0~
zcTe^U&+P&RQ-eI#?A?X!uI~ja>KUXOQ<v5s;jNx~gt5S(;-+xfx>s#~oz&0l{u96d
zN7(Fg|C#YUGs+K7k7v<)?=~%7K>K}{=Kd4w!{_g@ezEw0_`bgSa($&w%*MU5UcEZ&
zVG{eB<*q{c^iNiIGgB%~CVTh)zR>i1;qRceJKsEu+@=@&EpMOOpK7mS8`c|fx}AaH
z`!mm){;p@pvZy-6`goJa)2L{*P03%ToOLd^>1;dIAmHn~<u2>C^_;u?;O->%%>|bg
zY7Z|=GP{*}J+thJQl9yZlXH0=GOaZC@!I@c-#bm_;kmlVv(MDdn^$dJ;-IE;;GO)o
ziL3WVEKjM@+v4~2<)RPo>^u#s1#aIoi9b^(^t#1OTy1a6*ZLD~-z)CLB+pG;&a}$=
z&+hnAGv^0om)z&9n^0f%aM8X0mkrJI_WN8HxPNh}+!MWX)yA8Ti(N|GS(>>eZ_SJL
z=c?X!L#mCwb(J1bTORIF?=)ZQ@=lFXZ3h?I`UB2WcL{v0s%zet?HwDxp1u51_kz*`
zouxlx?*469{-P?a_I26C`l>#$^FO@i>IHs}e<kEsd+FBnhK2uT`W_7`U;iNL%E1M{
zM1GhqohN>F$<~-#s?QIx1m+p0*zs+<bR*~g^j%X|ynmCD^tRNC$M4qqO`9fIr)cqN
zzS=i^*XgzIcl)o8xS|`o_t|oDrz;n?bOrgZlMmQ`cy^6NzRI2DSLIIM&TV|Yv;Iou
z(iNANch4{RyHK68a??xO73YO(tqwl8WqH40rP;~QKN=4-81<A^)FiZ-P2gi@KCIj<
z+@Sv}&Ncssp?T9!l}X_fxhK8Ovrz5)ZV<!VeEt5OglCJUUH3dXdGpToCeyV)ot||4
z&yLeQ&nH!wS}pRQ^-C__?EmVgT~F@X+)J3FR{!GZmAjVr+wE+%UU3E520u65BRfBK
z>V4mbe>vYO265hAar&b{fy@5&2Wq%ZCYt3<sOWxp^g&-i)#o!6|DIda-+Q|Av{r4+
zzQ}nmnraRdUwQb~hj+@ue_QX=JY8xwZ{?#UHy(+d?DO)hefccI<m_b2zQuQfCjMSE
z-G1*k(`i*#_SahlP8VBL^-;`W`HJkAz}T9mu*hZqtNhkXtxD>y+7}q>bv$JCmD^ub
zI9$6{@PB`KM^V94@26baue!e~KbR-IUYz#p*mCjZ?2-LO#kRZFeS2wr_}-FB)t9mZ
z+3R;rozkUS`)GOe_n%RI{-*v3FL&ABHTkv8M?dho(M#I~{w#~w`Uctie?yn07Z!<J
z{CYyjFtXq1>G#X*OI>0w*!4VL_5bv?)qK6Lo-r@_c=T$2)BifgrcJLFdhPGqVy7l6
zyX(!*)hP!KB<>0Q&cAB5M`PUkkMBwwCCgmDKKkP2vE62#!Mt$U8-J9a@XdUe^w;Cc
z+KqJ{ua90Ueg388f-uuuyLVG|)o-zQf6n;tp)FT_Ke`-SF!P?R=+b*#8V^sGynW?%
z#eM_3mFvpOuP2L&mTGzb{q>7WX3^g-8c%h)e@U%)_sZ|s5{?B`976r!R}RYl(c5CT
z{##p|xbe$WoAno7tazH__ipt)mFF9u<mvwWvh9^(nqTxQHix*)vht_Dn5@f_{4D&s
zs{U~m$5*Sb_om(ZYZJE2`p~7tcdD*bJovDxSI=?kue!b3yM4E+Uw<+4@tI?(TBTc`
zSAL$Pb|`r-Gm{dpfk;9p^O3H-s;js=bU&0kmd^G5D-#fVr`z1UwE30QOU1jEtNzDw
zgtP~|I+S<Upr`t3wQcpB{-4iQsP6ueW4xV_v9w}K{hWXN*IxYi@UrX$SL|H@hWF;n
z)m7|e-x|lgV%!~Zmyv(B;KN^$3mYGWy$BGL4gc;n=ghs`OAS|4vOJ5?>hIoD{^RH3
zwb@@d_uhT@Wl#Q*+7<4HD&jbN8Ree%I9@xE5_!aJPSjkJ{B;4<jk7ZZ*9J!!*iZ53
zU`h*#>Yn{qB=COy!DSko9hoDQ&mVVs`%t?^ZD#*F`H9jO?#Y{|UVdenvBqc_Z(Q_S
znRAv;OMhuCKBB&7_AA{<ZJlN5K67vD`D#x2;o>+eE8IqR@kA*m_0LLkWe&}mzS24C
z-3v~Yr#JRLs5CT^DZjss?aAvq67OrSX9Y@Tt$1C?|L($yE*DKX!5j4*SNr$RP+iI=
zmTh3CJIQda660_E-?t=+u2|T(#;!<u@+5qYL(-=?m)f#3FPzj^E>Qlnt!ehj+9+3t
zPTR#TBAMkc7#z3{F$JiF<r=OzDSBz?dYh%6X8)=dWcnT@xAog9&y-xwhTtVa*NlI+
zT=mb{GEMsEixWcARrO15&SEg{u75k{%})JEKCVkTJm+{9C;nw|KOuA>&92LOkz>2J
z#L_7WMiXZoGH?n~(e^p=VAm#nmZZLWSN`2uGIi1=PPNCcr!_Y+uiX$)vyx$M+!n{G
zPxB)uy63T8YLorC?Q;uzvEKe4uWuaO<kFsWbHZd5rkY90Z$2^@$uMh1pVl~<akRF(
ze%JKPmE1FmVmI<XiTtq0D^^C}Lw&b9!}Vigw&vXvCi<&{2*e!y=ek}cG-QUTmFsW0
z`}d_2L`2I!JrxUj(x*G?PfUH%oNI?zVy9MEoSbz`uckD2t-FX-1YarRlPaf{Ya4kt
z2rcyZE&TIL`izF!3kI_`e$VtMy&|Ia;@K<Z_J;ZkOW4GAnHj3YaD-_vbrn0ZF^H{W
z%hQ$FwQhS(V0?R0or>lYsfEGka-XqRmCAX1PT9Ro_w2JH8{gl%d+g4aN!5>jxAD(>
znA();QTgx1%^xx12W|#GQ+qs7`pLqT>#LSN-@ZD$oIQ-?cI1H~CAo`Jr|7Z=TDeZU
zJZ(pp@V;dZUG-Z_O?%GXZ`%9Z<lK%+$qg&NG+11G(O&e`@<>+oiK_PHF^A{W$jRJt
zQ;43{Cli;=s{OO0_iM^G%^MpeT;d*X391ZBS<Q7MD8+X}!s=NG*LMZ358cc5Dkx(8
zwYG5mWp**IQ{H-REq`-tZI;IFv}4bY{9h&aCUfV^sLj#lJF=hV)m#4#yfJy3+3H*K
z)DEOyai7g@dvE9Z<(AjymtKpHtGC}5d@t?0;q~C`Ct=~6qHoq+cH3N<6B8Hhzj=q>
z>K`#L-<X!qyr1#S-Tb0xQSO}(8Gipmmk(%e`u+G?-s7#61$-8H$4W12<?H<7(yb4h
zmwE0|+We}24?i=jz2N@6XWcfl`ZZtQp4^suOXJHA<4<#X<W662dp&vUsTt3{l^Eo{
z7TS7^Z`P~NucmhY64Mc#7F7JjbK4bzWzPGbF4<o(^TDLkS={_@HQoz;2t4$;^H+6R
zLHC|@BK+s3YO|lIFFZ4KUFd#!-J;7oblaC75dYTqgm2sFH!N?OocXVwecAYhv$mqn
ztls`e@>1pdQ+8JDJpNFuaK@8lHIbCnr_v5?s#v4AOq=7a?e9}Qr{#=`-{kP9OxBkA
zn^UBA;rzFon{Os@ZVZ>;T=s9#&g-tzRu{i{(|^)3{Af_c(>Zn1lH%5HWf3qm+xw_Z
z;2_TvmId*f6&fqN4>~49Nhx>s9b_-uH1$E(|IeCt>)98vE)i+oUckn+YVU$KtdX~8
zo>5b6zjowON$mgQ7H7`O$|vtHjb-?`;be_e*f)O<%Ua*Jhn6nx%DT^|!eaNkO1|!_
zb<35RYG(TwSMoW(n%21DpwvqL-@@H1U*z2U;FhUzV&=ye5%+d?mrXuXbWQxfK(T^w
z<m(oLq`=}v4a<UhkJ$1R%m+4F91wWww@lx3sdDN?ktoAkJPAGrh06b*P<vYt6*p<g
z7A3=l+}}c3L>Je-ShPk))!kL?-z1fbg*}47OBTu;+UO{9^2Pn6<qO)ap2mB9$$RtU
zvb}Iu$rmB{vvSTg;!RIgFQ@L5)%tQgNpni()&8rUyXy)kiTTPexmeH1+g#J){Mh!+
z{nr}FS+1o%ha41Ken!ZDl)GoB_V~6zs#c$o`x)=$Jb&+~79D!@DD9Ka&tK|0d%T(V
zh)#T5`RIw>ivaFhw*wS=7iY*QYhLDgaBD@wTE`m?(w?Pg81fo?x~hKRv*x17{9d&t
zN8}^}Pc2caOpZ`m?W(f=gRt<Xdfo?Ct2QpK@=<XApY`d<BEH#u+fRCLKKXa!!cAPI
zeYLL7{v<4Fy4T{s$h?SGA^#emQK`$Lb^0lqL2_z0HI82PK4hYDG>*xKedR>Owc=iT
zmandmTR+=tc1i4EzsF9G&!tsaFT5I<?ssW5bNhlVQVAQ_+_J=eHmP!5VtFh-&2nXZ
zqL9<n2lINYE>+B(`SaM3Mni`^OU|;W&S`pJ(orv#v{z{-=SjvzYVv=r4lQBX>7L@{
zGCzVXOjFObPvc-g&;g;8G-tC{tgco|l~qN%wpRvK$av2b;kBC_`X^RUUgmVRm~7-4
zrJALwsx!E*ifols7Sp^gtNQ=QvnA478ZHS=so(IvtEAAbQ0F^K#^Sb$f2_0qubteZ
zs48~Vg!$c#c4iU33tA4v#{UDp*-!b)f0frNg;!wHl8(B=S*JDMnyu-n_`yEswOhpc
zy9*dDfmEcjg_<<qv2DHE*l|11Dk4$#p2V~&iKTK`4=wsqpG^yUCtNCQA#AF(O)WEf
z!nS7__1Qh!o^3aEjdtE*x^J!IEvbcTId9Fnca0&JH$S^9dhzX9cdk9y_H3K!wzWTR
zO3h!pGS~O~wF}$Y(zDZ|m2c0QyS6izHzzwTdhupc%jo9Krt8*b=AJ!!ZNs)>Y1v`X
zi*L=ka_veE@7Ze$wmsWoY8<V++0-yP`PQsU*AC?PcCB^XSZ}Jn?OAGe%(i8z*%8~0
z9l18;hLljWaZ<KRj&H}>$eU7A*D`K2)r@Z5WU3yWyvbBG+If?y{I+9<ua(@8;)~v#
zm~E2d+qU-L22=5E#|~W!xgo_BZJd~`lH=R5wsC_g|F&ZXubJGCVvF9KkS&tq+qBej
zlZo53WhX8PM9xz5R!*tUY|`PKu=M9f6T4~4j$ir^F-z8aadKvn4sYMmof}QerY$>m
z=|aRTNpI!k%p@J&o~4x=P4uQMJ9=qD#4J(o#Yvfyrm<ya_DwsMky+;*yv4-D`*HNF
zg-ZpaW-VCyQkVC_r4Q56wwvr)dQz7+FEh_OIeOOor5___-MaKehc`E~Vp@ILHj_<D
zZ${3#ap}OcXIo9IyeDrqvG9HzHS1c}R{6Yd&e`@mzB#w3PTZg(T=MO3w*7%`&OY1Z
z(|$Lm+g}KJow!vlC-0hbw(X9RYlpLK4_tH3v3;|K{btpSvl0A8*PMI4GB}^8lL$(&
zm$=FAK23$OSv7Nm3g5IR2PZk~h?Kf^ss4t}*{sYE@5xb8vz9vQp3Tfmo9335nKbR#
z7L%n*8zX0lcsD0y`stiKeQAe|@99e$bb33NYHl=9nU;3sQb)ur0q@P5Otie2lQM&*
zv887EO*@v7={2n_CDTr)w|(i$4JI<v(hgtBh?vFW-JF=Ir_<ZIbmayUk!fj%E_p=E
z;_$9#PRx|k>1|$`xxs{ITH3)&8WFQtx~(>8D0gdZ(opJ_+N7b_&9zBGq5IcH4f*a@
z8#Uy*Z*A0&?LM_pL#BJzMh)riRU0*=x@T?FknHZ-s3Fl^wNXR7J8PqcSa;M$4bg6|
zD3MEx`ovPVthm3ZOU!kP#{ETYVyatKEO7lQ#=1qL{@$Xp?n}B_+coYi%In^w`zk%q
zu6vd4s`S7ut{-)>wrSj4R3;`GEs_(s-u0!9R(haCcaiR@tt)1`ZWK%1yyEJjkZv#C
zRcV1MT<40JZq}ITdQ|7smKB#4C5TN;3e*rQO$yZSE{Y5}y(mH~H7QV4%rz-cSxhx4
zP*H5_CXK1Cjr9>Brxpc>m2T3Q>^fDaD<x1~>}g`4tk}`SKxwh1iGh-0t%-r+VyTIN
zqGGOzfx=>{iGhM*tcijAVowtSdBu(<1agZlO$g-tR+8fH_AMmE-{qT0ioer0kraQ2
zZ(oxA?Y><}_P6=ACE4HV+mvK~i*F^#{$}4olKoA-nI!ug)qfL7_BZ(UCCOj!+m$4L
zoo`!`{I$MK*`mJ0R`%`54FCPMqHjAh{P)@NzV*!TcdUN(mNUbDkL}xUOKu0}`R}m3
z`z_`6<@57uzJ=TlK0hy`de&RdZOiA{9{t90`*OCwRrRTx!sq72RA;??ndZO7_U4<+
zt?F0j1y!%QDSUQbK(*D|de1a}!)l|qE4Qf6uw8mXxXX6#jmxL!#eBQ6Nqw5_%p1X-
zwi9n$?y&8=5!_+B_Kjz%zrr=<310<LY&jzNox9esE5CktqRwN3yvUn&*58c>>oPXT
z%Q)QN7xdGtcDi}_<UF5mA)C}E+1B2e+-_TXL%7{G_r~Nl+teGvZMLyDCb!nxhTafv
zwe`I*xy9D?hH#6m?TyLJwx&0Pn{9P(Om4DOy)jeSIyoi1>kZGuxsscVoyycsoMX5-
zQ{MV<a(dMpp8mNvHyT@&sU1J};Kod8>*VD0tT#Npb7yWeHY!s)cJ9E9nc~)ulhUK!
zoaveyxY5|tx_OJSyLIvwV^?eEEynxi)=S=!TsW8W*35h77~b;ar<YkTzCH8Kxd&y>
zwi$1m`}3yc{JAUN`kX&^p{y-EJ<VGA_RP6+JKyr;q{mq=-fV1X-Mra&-Q3K#XU?A6
zP<AXWJ<NLXt(jNOU3tTE_S}N9XIqSot%WxmFPp3Rmgmg5B{yc?SoS8TD<?Q@_My$1
zTW&4;kyC%_{IVNnPq%6A@ICp~taZDlpjb-_t6`#JK!kv(SWBza?4;!2nAuI6H7(cs
zHs+q{lbii0Ik+x|Yl1K5CQT=^)Dz1DEH^0#>2R=eCmn1`*q|V#!@<t|=wQ>0jT$xv
zIvqURPKTRrY*4V!>EPo&bhzn9z$OJBu_Gs&93llYxtZ#ZGzCNoXmdY0w(P-;DKfJc
zDSMopHYbPEt5<d7MiujEEbV=&H#e%-Oj~k%(hr7BDvr}!PE6v76jE|Cb3L-G=Z1*T
z>_-XSaygtWx}5=u-a0uaTXhd^P?g-~a(G(K4I#ehOAilEn{Z*<l63E^=trAX?W2=!
zPr5yAMb61n(^_r_3D&D@TXJODj2lAJbTcb&P7;o8O7iZ@>1@)CypZ4>6us!yq^r|z
z+{y9G_Kt~e+N^3By=b%Qdfmoc&m8ZvZ7$nXH@hlEO<CmnQ@88lA{kZPt}fTejT$;)
zOOGzv5iv#FP|Wq%qB9X9Qr$txfjK&-dS$v7B?ngNboIOb6if+h(K%H=!BugShMU;a
z6U$U?h_KGyln^YD<JIh2xIvTOjJ2u7cjg975woXh!BMk=5`%SePPO_TT#y)Smc!NV
z`*MS(oY~XE%O=doIdyW`f*h|?%U0x^>gx1Oyg6l>Z=vNzO${^EqstEDcx49r%oZ}<
zthwCRF_-J?vMV`WS-~M@vkzU;t#7|mbRe^1En5UTkA>M0UO}!fmnPoA6laN>0<LB(
z6L}3&oH<@@P_pQmut8br4F`wyqsCKh3>y`LT&{$<obOt&mSdi%VYHx;fP<LJ1Xjfq
zN1jLl7crNKtcfX(GLchRRxfHexXL6#lzsK5giwVwUM-;$H)sh5tzHzxuYb+CwLWy?
z1}*V0*2Ak(B1CytZ%PccsEC-#zuIY&mg?%HO<L-!n>J}_YOUV1NlP*8>G4%x)_CO}
zSv4VIs?h4Bq|k`4qp6{BVNZ{&S`aZ+WHr-ft)-!cC)b=hvuaKl>sBq3*9(tUC2TZS
zFMF1n9#gjLXj*8*>Y~kBYeNgya-CcCWK9=eeNJf7>P?%qHigby%XNO$g(5SSb8Q8^
z7v6T<={xZDO~;MChPNHp`!3uRw6soQ-=enHlru_rp{Zn)aQwwtf36+L@a?<ClIc6?
zn#<8yYa%9Jp0(gwOPX)iHI=QKRgC7TF4XlrKk3S}BgeO?Y*#&~>zU_S;=L()@~v4v
zZd`k^O>L)X{l&MO&9<2v)cL+WIXKVZ#$=9ar9^+VH=L~8)kTT^25&suY%gw5m$rJ-
z*>0M+QBC*Sk)yNrL<r9|O<a5O?5r)<j!fOGW|jRZO8CaCBiB;Wx2f4=J4H{PXR5hL
z&F$Kg6Z2GV2(wmiO7KjY=91=_Htoq4l@(Vet(cawRmIHvkXB>8VQ%M{X=idyW_kyh
zdOICDGHHX3=jlm1bWU=2sd{cyG4Kv@ikh@UHE^Sf_OzDPZq>?-DhAV3j!inTV55q;
z_oXPI>ysYnc%IAlOz;+p7807QY8fdsMb$G>NI=_LD9O`B=VXWKLtV}blfFz-$?$Bc
z@pjsx;_Ce=T1a`4sb-{b{p7i#g3*E-W|{_WP~*PVa&VSOgfM&drvzVxHJ&Y;*-nYR
zT5CF6O?PfklQ3Cxvd#421~u7hM-F#Q*r24ehJ!=<(ZQ~Q4N6jLIM}q496Nh-XKqwA
z*|y}^v>OLDs#<PyIX>;p4I#PcOUd3fIVbyd88@jqZgV*?jpybhrRby-@4osqo=v(3
z*K+olPTZ(we68i!tSb@1(%D7I$7j8Wm@J!pDA~7aO((xXwor<1%bJrDOcjeZscB?S
z+N7p%%_Y@0?3&7vSsf9R1+$$F9htRZjpylEJJy^$J!_7~Mm2-%peW(XvyS9==6RPy
zZ@MiMm+#%Q%_YOTZQGG>lf#>g)ykF~sXsU2#!NwL<)n0{H)l?rTk(dcW3J~-$tiO!
zZ%R&{t9es$(%hdLjOEIf9X?lZV<xZl;>2{LH#}`~cWy8iD_eHxT)>T)oYu;T=}K>S
zTIN=6Fy<>;cJQ3RjhU?0ixbj?)|{C*)o_!MYgpTfQ#_H9irT^{sSRt+^iTb`(a1Ke
z?f9uT^%0V?+LM!03)Y<Jow{+Ok!e`lu~TOvBqg<llT#DcoavrgxY0;AtnKKjH4&1c
z+LN~!d1x1JF>=%X96fW<RK+OC`=@x;_FOo{veqX*wIQr+yV35c7uWjar50!xM@!y5
z^=D1b`BPWImZhg=Xdm8ew0Y{pwP((qIugdV&1n79i;*+y=S)2qDS7?Wmau1OsS(=A
zn~hda4P5J!m6{dSmX;czT^u!Y=G2Lil9x|S31dr34O+v~HPv#Xk-qlhO-8!fhc_9i
zg)KXBYC^<JL2c!vRHrp(PMun@hNokyXQbqmsg{wFlc#D%N=};kbAypw*s{Z?3L<9m
zYA;SqHCn^dHg)F)BeD9hWrt1$M9k#WR!&S+TEo*awQ_?IU)ZvPrwk%yvT83*NEOOC
zGqKljlcB3w+lga5HzgFMg;SCna?bSke%xqiYu0xB*qa*?veJ{2lM8ar^!9GtXlQEI
zcI?=h8xoSz!pX@AIcK_i3pX0-nzbE0w&sR}sPyD5h91(zTMXT#Ki{5Fzo=L7mc;#I
zJh?p=j<MwW<R>?nwQV=t-Fq?DCoj1`y7;!l?PGs(dd?raVzw+jIYavJX2Z?B6LZg;
zJ9fm3ZJXix-itS9%;`ONQ{wuuEoRTsk|U&(Hyf_*4b1h)O3pHCOG^%rF1|HmX79wC
zGiLN^=JK35w&aF{h;(sMa-7+*)a3dov$oV^pB$ggUd4@u%4Tjyj<wvFF|{}Fri6g>
z<V}Ve(#4w$)ue+r8LCKsPE5AR@oDdUxWQ1`%<b^8lp7K}(#46%IypYAy$d%O3Y)nd
zI_7dif<yXqLb6PbPjheL219N$w}Z!2A}&ufm5dCYXet<ad4eftWbg!2#>mV4ra$W=
zg8NNBMqKVQeHjtlXZkSWa<A#lh~Qq+ixHQ5OixAx_n01xxZG{JGa|U#bYsNjF4L6}
z!Ix%DxK^@7ZL#U!Yav_I7McFMX0k<Xp(*RymD|-8n0~yrC3<q6?@rT)*Gi%%=la@b
ze~Jvw^|j4jw6-(dceClqYg;xiyD=*vyK1dxdcCh@_Mynh*}iK{@2=_Gsy5qn?X@LQ
z!CAhh*^45DvwWAEo?YXa=4+U3w3ai?SMyp+lCS!;FPqe+n{Hjxnd+-{EhNcT<(f&7
zuhKP<Bwy|9ph)2k)1_-TQ+?I5jUt0j&hohSCDB*z+Lc6KnQL1TeWk8VN%WPtR+8u|
zb}b~)SET-$NusaNHIYPLfooq9eEF_jN$};lwk5%r>)MnAZ})8}Dc-KzTvEK9x2dFf
zJ8ol1@wVUgB-z_`+mU2%>upPty)C!3Bzv20OG)-N-R6?)ZM;n-+1qd%OR~5AwkJv6
zy4#K<d24T5lH~0f?R4wX#c4g;zT6JFIIUybliQarOl#RzFS2Fny=e{Gj@-VK=e<k!
z>9&^JmvX(g>Hf_*neM$+_iIk)Hr08$XSb=`zI1L{Qgl=9%dM(&b)V*NrhBi`-MZ~c
zl7Gw_&K}#&jp{}jZ#;W#H*Qon|Hg8B-jf@`vNqqA9G~~&#$@?wquavsY!|-uJU{Qs
zw<E{5sc*ME_|`MezeN69eM^eJ*S8}qss2IVSdPqF^TxBoR?soY-|J0hr)}cR$<u5L
zEjOxbd{a3(@4%ar7w0Lw^}IB1#f{0L)lA93NjY6TzB4y!n)sDfAA0@P^TIriw<j;m
z`|+*i#dh_*zMQv27Wzuw5{bXK?2p;ejNm>q*395ZX0EK=zL^^}^~|0gohMO$bFy;x
zqK1QuOd>?syFVoaD(HB%xK4CP4Aj!;YIWVYK|@09=~j&muARDFIe}?gTwg{`xwYtr
z&Z+Z@ZiuNKZgYLOK|@yT=;1{*5h9aZH7z4Wrnq`WiU@Qs+N7b~EtGU*(S(R8LfuJ8
zfe~U$Qv+kZv7DI4BDqQ3<=c`Ii#CWotxpS#>V6a@GUw8~87bdV()|6Zn{EluvRxVY
z_GFfS&bOAW>gLs#ZV88<oA=_)$!!0GYN6Yc=eq8ED(1RfW4G%=U9Jm@zKE%2WCYfz
zi?JSEv?M}ghO4G-muFgFn%L7V8Y^5EMoyXK>bX|z=&>W~rrZz}(psZ?GbeMa>eXpM
z(W`FO3!R-75N(y4ndWW8eduUY#zut*oeoiMr=v|Ct8_c&u{uVJ-dpu1%yqlg&d`Hv
zPvwT@tp2olQxfa(b$4!vO79Lz4$pXVswZ~hMs33~)?@4T+z=JteJLqC;*D2Ucve|!
zT6n<jqFYmE#!kFBbw;e=Td&OUgfi8m>lWOYD!lvCChdB?-Iq3L>+D{X6h5hpH8Z@g
z>}W=K-R__*+Ag~v-JZHIR`Ax;1+g#Ra$Q*Wp)7T~_O95IZ@Kcq^L8iQo~p2$DJ8t_
zO;>;H%Z=JLWvR#4y|^(|Cc5k9q)hLnx(9PKk4_87d3j`7+_op1mQK^%m~-;TG_Rb_
zBh%b+JdaFs%E?Ui)~b(Y+PHLz?!ugxDc)+)S~rDGPP5B7d3c&tPUqoiW;vdRry1pN
z9-gL`bMnwMt(?w7)6{Z24^30b;XE`=F6ZRIX;L|z2d9bUcpjW4q;qCstKlXCSFyGe
zhj=0-6uE^{5*u{R^tXQ8XkaVWcKpzr2nkv4$;pWYI%j%YH*PdA6>B?If9Onvge13c
za$<tcneNuYjRv}6ZATBSiI5QGp1j4tgS&W(fgAVd=oyPz6{95XAL7yNxp0U@*C#)*
zL9A`N!S2?Jx;}Y{1>D8a61NZi(djvV=!)2~^u!GA!<!8@w@%bObMDX)F}7_6>sv2I
z&Y07BFjC_Bp)F$1(h?)MlQ$czZmkd0^~p-i5^GCK3}D<Os4cv4lYn+~<jhm29M<Th
zrfO(gN6tKX$}7xm(<XlH&l@)JX+PeuiC6pbhD|)$hc|5E*515f6PNbl4VyT%CvVuq
zq20V;6T5cthD~hR$s0DYY6oxF#In0MrM%~j-^9C$o2;G7+)un?xhbo#`*U)6%^Sb|
z`nwM|T3eU7AAk4chOEr);^gw2H-5c$7jCpRE^|Nj?#K;UiQS)*%46R6b>B_gXsuo5
ze)OHnTfR&0WZw2&eD|kpc}96h+41ex&byVjSUc{%d|P(G-G^__<&~H0o_t&O&bvEh
z$G2JA?|!`5dh6YnH)U_V`|##mPI=1i&6}+^-fet)u0FfGq)a`%yrAs(R_nEQcixn}
z_U^`;b6MpfyC>h8JL~Sko3dBl%_&PyD^Dp?Pb>G?9ehi6#$C^i)*5BckG$LSrtkE-
zEpPZvzngJmuF!7hq;j`6eI0i>H(G1&UcAX#p-ersJfQ4(O1V$j@|1Fqvge22Ros}%
zxBGBnx!Ie(w!0@c)LToGJwNm=;>KLA-Oh>SYH#{l?sjgl7ASju@SVktxoo=+CzOl5
z>1(>{xnZNSwDG2mO47=kHY!RBZ`!CJ&Ae%&y!7Xd8|9=QZ`>#=eR<<X8R^3tH%d!y
z-ndapdhy1MlG2kmZj_L2-nda*x_IM8G3n%u8%3pqH*Q?gtC;&HBiT*5{&()0jAR$-
z&$&4n$xhPTw<7YB9i$)U=4>~-d#p(MaPFIJhPRK^nLXRQ@z${_Gq>9k=Z~dHPtMIr
zPu|#j(Cpi-8Rw1_nYC>;ynZZ7diPC<>|`_P=v<$z8?PM8GIQH(c;%R%^z556&KyfJ
zOS=`3nQS2Kz0pua+Ir*0>AgF1)}+=a>qr}K+$bciym6zTwD87_0@BepXPi3bkfW2D
ztRZcEbH>SIUS@8aHu6h<-msBR`tgR1ywaC9Y~+zXykR4^^yUp4xuh3w*vKh8dBa8y
z>E;a^*`<p&Y-E#8-msBXI(WlI7H;cJ2Fl#pn+%k=r8gNUa&vDoP~iT((LkR2^+p3Z
z?)uvs4P?1bZ#0nM-o4R4ntSy|11av=8x176yEht0a93|M5a-U`XduQNz0p9F+dE3)
z(xE=Fv@IL%AL<fw+hTD4P@9<AmJJJ9zlyPKF}QcAjQg^#&US-4hw`{L>%K`(wBuf_
zyCywxOY29SoNWd-50#0DMN8x)u5W#*qm!O!!ChRhyJqW#*{vJJ(l&3ndMJe3TX#)b
zq6xRN?wc(eX11Qx(Md~O);d*;ZSw{ZZs&~~gt@CDXPiD1C3b9+fiSoBMgt*k>5T@0
z+}s;COl@7M!*k?NkXYNM4U=1E>a0mgRN?-;!GNFp^#%hz?%Nv-c)3q+FyP_dy}^K+
zd-VnbF7DYI4C*<#yEhnca93|IVCT->V8F&5y}^K$+k3-$<=;k|)+_y1+O%Hrx6r2b
z3cr~)t(X7(Y2$ji-;Xw~m;HTd<9eCjhc>R4{=I4Ada2)wHm;ZaJ!#{5iQi2d*Nguy
z+PGfqchbi7qQ8SSu3u8C`1W;1yxZ?zZ&zo;yZrw2HajEU={MJ{@cjCChu@FhW^dQO
zyRYc?p|`KM>EGU0_wDKC^|$s_eRI7netuut?@4d7)8jYR9{l$8*7S4xioUgO*1x_l
z>i4dj;@R<LzoXuIZ(V<7U)DF*&H7jN`Td@CbNZQmN#9a$g=fYa{Px<YFY?=J<NE2f
zJKwBMjo0~Yv~j)AZ>5dv1%C@|TrcpuKI-Q5Q~Ml1x3p>ewz@g}<UX%&uAA2L|NgXL
zJ>Ty~8`kswzO-RI&+kJU)^q>fv|&Bh??oHdbN-&RVLiw1rVZ=ae-~|7&-OcM!+O@=
zK^xYytS(L|?OEeD@v7n`E9Wrx6R%hzWffL`PA;uk<JW)n;YKU#F!$rHo<zvXtS(M2
z%~|7D-+Oi8Ml0hm_hYY)M9504{+v`Av&OIcYT`yK?J)PFuT<9ZU3w+6w(sJrKVi!=
zN;|@iZ?|$@t-Qs`arNbB*#%c0u05AmTC#d_wCtT%cfyWuv$9|Pc(c{kt1lyEZ@v1k
z=3Gu`%IeLVtu|h5Tzf9Nv?NSDy|f_g_*Sd6S9eCrUVC+;e$Bb8(va1Yqvp=Kx-e4q
z%BwkH>1m}YVd`n6KC6SHWM^FU+-Ri{_Wa1JJ!|?-zuK~f@ARt~5p#uBJ13R8t?BEy
z%DK@>d-dW?RtjP2sigs7&r?c$!j`9$dW1bc{Hh{iF5l|IiKS+1`r59Z++ZaU_WaPR
zh={pdtDO@|)z<X2T<xsiU?mXt{NO8#h`DU54=0q0t?6sJ>bb$v-R$^@XCgOclx7>J
z6u0E~O*qTA$<p5J`0;07Zpg^Z-ke-qlH=ER_TWZKbF<^eo?W>iBQ@JNxi}@qujg#z
zMoWFO<42!uxgjGqdvj88NRHp7X9~IJE<TgUJ(pkHW!9ciTr=Bvi>1?S;rcC>d(Sf7
zlDYTnjhXv)%bjNr=AO$f&YAsrv!&ha;M;TNon4sAcmCNKGxu$l*0Y6g%iMUj$ILyw
zxX6q>y*Os}<y&)RpH0l|JNs;nnfq4Dm1kGpl$mwbG51_%ahjQXT5*!u@hz51&o<ti
zBQm==sn{>)-05dKa{Nv|+mO@Oc~*0yWxa}7`jKZHH|7Y;-n_|DYc_LIagZ5%YO$Z$
z@swgOv-Xr?yPUrEvoAMT%9y1eewJ}#4$ti7#A3aizSgrVH&}|8r5}3cabph0Z05vb
zxtzY{vzZ$#dCbxeKGV1{ho!qXrLafGZ{ktKO%~2#?k66xM9L_1e@-r}(edj)`f#I#
zwOGCT@kdW0WMsOFlM8cn{CbZr+-PAe=6>wakq8-y?$1euF*<(TM-w+%Xp6ZYeWaqx
zcj=LgZr{a6f5et&6n2Om-)`aDt-Qs;vHNng%z~p2b<gD$mUK^!mbvrjj@a>S7WUnb
zH(P8y`Z7}H)}s$P=W+^Dx;JmO*m$&2_gr>iiI{qNePMyv@vRnXkM4|=x%TLW&bh3@
zknYJ*b7mb~7%6k*(Hyb#w89iI^|V5t?%*hy8Am-gT4;zpKk{ggPT%QATXgtNKbjFS
zN2uF5snAWQuj44^Mhork#hWY?#MDy@1H_)E6#9rQPbu^edw%#)MZ_Gw?!$?NW;%Us
zM^A3BkPv%*=uv${#2l_}=fpxaoxYZ%of|9!#GW5~WDzlkt^067p_ooz(^1b2>y%#`
zZCa=FT4~cd#n(cc)+xMZ+O$so^{0*N<X%78xK8%<rH$)kULV@HPWttxjq9XdFWR_H
z^7W*R>m*(`ZCoe*x@hA%vDZl(*NMIk+PH2>sp8t#8L@7!f301e5$jU_`qSF%j991F
zTv6fqu@0{vt<B!9cXwCO>qBc_Z_~TItM1y<&FgOMs=DSHEq;Di+UrScv(sZYmL9zJ
zHEQ~~T}9VgH|t&B74>>oq<D6$+3Tpa-dopQ*_Cz8b+g`;U4E};MNU7nE9qKlRCs2r
z!E3LLdLpl_Hm;jqx^vCy)L5O@MjO}F3%yp_xK8l3(8hHFucIQTpW5ZHMmsfD<F!@f
z^pm^1uDNbn$N&1%hIM?eA8lC2`})#`bv&;RZCJ<sdeeq=T(1{xSjYK#(uQ>$ubVck
zV}D(=VIAA+qz&s>Uk7bi$8y_hldke@txdX0x1~1eD&FSWq^ofI*G66W+pjk2%H6)T
zQCIf%srrq&GPiea)Rn%yYNM{y?O7XjC2x0a)RnkhwNY36cGgB+vD;A_bwzJ`-4eUB
zt#4cEmbLe{b!~IqqI-W^+cwoLYZv5x-Nw2__ujU$+m~{+x9i^7mUnwo?(6huyW6XB
zSEomB$^Dp<y-oM#wz6%ax5aXz*XO>>(N2%HxLuUHdh6QRx%C^jrEXq(bz8`7uiVvX
z(I&T@a$j#*J2UrWj&@q~vfQcLSU0Z~x$U%Zt?=!to6}Bji`sT{ldkY>t&O@ux1~1f
z3f|`0xOQsp${g+^+k&>WZdyAzcV^D&lxUUPzc%Rd-+r}0m+$ti4Z6IyPi@fUxxH(H
zF8A$K8+5sD&)T5NdAn<aE=T?CstvmAx3f0rvfYl_pv!vOYlFG_w__*niQJS{sy0r^
zZ+YW8VK3t*bNg?{j^F!oLt3tSb8>#k8{fXY2RE9Ve>-;U-jy5DQrc-TWy@0Y)86#<
z?CsoWZt(5d(R({?%oeLYoRlB-rnhUa=Pl_ado6ECFW&p}t?$Kq0&jcs^V`0;Wz^?4
zeOtEO+@bpL?b&zlz4)fK-F(O1oo{<{^XtAHOV7`$zP#Cd%if1KXWzVc;#*pJeo{5_
z?b+Awy?En$?%snpz1jIu)y135*X-SRbN1DHE5501H8-tx-fX^NujSjbXYQSN<9p`b
zjBm@fm>X12PRb8>bGCDD<xT17doyoJPupv_(Ok9uTicO)JvXEUtA&&D!@jwt<~zLc
zJ#}xvo3khH&3NN`^4^3uXWRFF++Z&It?lr=oEy@-)sqwR4c?q>-Mevvx#+jHL-%}c
zNOM*TC*~`>IorIqaDzGTx3+`#bZ$tqR!>fdbf1=*66re4H6_w{nrcd<<22TkNc(9|
zlOt`X9Zin3o>sp!Inr`kYjULdwAAEC(`l~Bk;c<hlOqkMu_j0APkWjasXOgxQl$2@
zrAd*Vr=6m%UEI_&?Q3+{#Z4X4o<?80u&HI5=$1A2HZ@E;8htG<a#!lpX|2)MawE5;
z{?$319=SF3t4{Yeoq4Her>RC?JGUw6bd&DutvYj4pXzX@N3KiVT0gCHv(B|mIn%aA
zP0Na0nR->HJ1ufW>fC9jn{{TU9@RO$WzD5c3Dc$~MQThdO^Vb%T@)F1dQ-%-)TBt&
zX|73;%F|SnA{D1?-J~-$wJ{>>)TV%GrJHmnr%u)BPKlJC_B1h4cG}UzNa<-y6C)+3
zwI)W2PfJaV6rJXp7%4nWH8HYYa2ji3B>%Lh36Z?hjwVEMPg|N0$$9PBiCYqpvz4-)
zQ*ztZ^iJ5yxyj7o+Oy-geniZc%RZc(TehaRZ|lj8W)|0;9lLcSVzyMab8>Fln%<tR
zog2*zu03OI<Fxabkx_Y*M?GjtQd-HLc@y%r1^&vp+~5&1JKS>CA<;PG!hwU#C+kli
z?^HY5lo2kh6?0-kqH6N7L##cqM>iC1G}FD-cJ$Vo2x-ym$tFsSmu|_d?Y(&G&$VS4
zxgFPzZ8vkyR^DRfn0+}~dcoF*YtNo@+itdV>%p~Wb8~aDKW{d(%MOm7J#Xv6wY=wV
zow??=&CEJmI9mF~tv%P=(sPThv8Ct6WM7V&T|awk;@aM`x7J*9+iJFQ>&i&!Sz8^~
zp3TfnyXKabn{@5i7PF;W8zX0nWH%?}`mH&8`qqv$zNc?(Skv3NRdb`6%C)p3w>lzb
z3uJHJWTutPoRk}MjV(3T@7l4HT(4_wDY<rQdfT_Y++ZeiE$#5FjELDh+0BW$dTV-H
zx31h^CUPyU{?ILth}j(3%!#>jYkHfvW^OR!xt4bDmPW*EmfcpHw3T;jZPHfSEwxEo
zaW~f{ZH3*xHfqc7ezj3sZuhN?+OoS(ZPb?8y=$Yk^zKy~wWW5?+Gyn&$Z5Ff$T~5T
z3W3CMA(;)0yeSS0>>pbB!;Q*Blfw<lz9xn1m0e8=*D2eY6ke}YHg${kl33Zdr!vC#
z$BMq~$_U>V%lp<VBiwQKtG8Sk;d^4=mMy&<nisw!_HJ3~?W^b4)s%(a4n4mvWA~$*
zS98KQ#lC%`wN3lRy13n!Zib#)S5Wr!R%mv(`R=5*r_#b#$F3}!dMotox{R{cTURr~
zjdxGF8G2@&&u*=^TxsE&W%aE|;p$~yH)&6g-TJ00HC(MMG$~xA%rq%nsZ2B}TzhxW
zP0^0nrEj=W!_{{i-3&ds&ZF#WVz^w{)x>a_vaN~XQe{&U!zIc}6T`*ILKDM9%1jf(
zg~~(|!v)H|CWP~qT}=q*DchP5&Q&%wA=EuAH6_$F%rzy{IZQPr)G>^;J|)yX>}hhS
zZP?M|Q0uUz$)T2Et;wP0VX4WXreUthp~hjV$)Sc}tjVGJVNa7nb;FJ(g=&W_O$zl~
z?G$zO;;NpouhF3wS9OFvjlOzeRZE!YmR0vwHH00FzM2=hEA(ktYxLFJ&~2fA*PKcZ
z-5UCJP1iQ9d7)>+RHLt+Ta~oBsebLNty*(KpRVCb4_z0!HLP^A*0ohRVOyi7W`(W{
zy}G6=Ep$cb+%VJ4S~EkBt~s@3)umMlVN;VrHNr}hLiJY{MTVYU6%m%26sj8LniQ%W
zrkWJ07`Anj*3{6(h|p830>Vl+X-y8Dx~3~7R6gu!VyJA`(Zo>cu%(Hil3}fhq2l#n
zsfnSYVXldx!eOe3p@LzoiJ|;qPZL6U!;U6|a)&KV2<0>@O$l~03rz`jF*8jGb}|!9
z33f31njCCrb~QQJ#%ybHu$9@=<X{W4(&S(>v(V&V6EoA~U?Vfp<X{7{uSvmrW>=Gf
zb<DOV1#6j2-J-d~S2p)lM(}=L(cG?#`rv)Oyt!T(!H%<E<#J^N@9}+Ww)A#LUhodz
zyJo4kubf|2V-|Wl<ovRX*^h2s$qC-%`!+{wo92yWakDSo3^})~!0hR*knCXd*-5#l
z(t=m}t~8r^E9C65471i-S2BZ*XHU8ra%P#&Y^_|bv|vrM)}&x{v#*;pr~7Wr=}HY&
zGYhRx3RW>QO$t^r6HN-%o*i^kq{DY<4p(Zh`fQ_{At#r4n0-wQmNUDW7%XG9H8EJq
zY-(b#gjs1~u$WnBVz7vrX=1RDnP_6LfZ5lCU_P^}3Bf#OTN8r0%%&z}_vQ3XT&uas
z)MZ=RiEA7;XDLK8r)1aV^!BfPxzW^STiWq!FK*P&l8J6k&d$r}?OnTaqp8WZv}4y!
z+?XX1&77Pam($z5Hglt?&bG9pY!1$19w(SsBNY_5za%%-=mhk$KHBJDE#`5Y>1l+5
z40lO#V~$QhFYBU>4#r|0$C!>rC`fRBNotJI3Fu}`+UTGy=5drsRaf8=ldSH9i%fsT
zR%A4Gh@Gh4?%>R=vc<uX`%1LJ0@g>m7xEfQxTi!b++n&ac4C`@J@=E%4qI7YMJn84
z`lxdur!j?l%Vvj-tWCNXvKvdpG}0Rj#7=B=Sj)OAQsElYO`Qu_jUn7qq87|zT@<Ns
zg=wx>Mp|Qvm_}No4|hnE!VFfgjSd=OFOD$n)tPXbX{(Mv{b{C|5etO4U6LBzbS8AL
za&2_b=3cVNK|xF-wJ|{KMM|TO*ou@!53v`AnJOa|@NpkWY&6rE(8hXdgM)<Fi$hG2
z5evAuT@oABbSAX0c5QGF5PNZu$ueRA8~2ffMlqcUO{`uU_9&k=-n2*QwDP7sil>D)
z?NK<*ylId8>CYSY$km^Iym620>B}4U$ecdBagX%r%^UYfonE|gkL2me8}~?@Zr->@
z{B-fgJz}SmH|`NV9lUYRlBbHg?=vdhPXE?jpHb;@`m=6+My1nf?x?u@N{7>rb@R8|
z-2GH^`mpZ%Z8o<*)lGZ8dC#p+Rny#~<<5UfJ3U!9KfQ9}(}UB#N6kC;sc2e#`(~T#
zpQ29hj+D!;G&>!w>%Vo+l}}mI+&9}?`Q&$ccI3P>pOU7fN5y4U8l3jtXd`mkdgGqy
zPj~99Pp#BBZM<=h&}rq3djwAlZ`>nrIy!RRsZS0%`l*!~r>!IBo&4lA&3)4z{?nf~
z?BP58c*7pv)0a2w;W>SH!yfL_n>Xy?I=y&9{T|NKlQ-<)INiKq5Burj4SU#5CvVup
zdOCQ+9+utKo2-?0Yj3hv+AY1wT5&h`CToS=zc*UT?|!|}T5k94jn=ZePj9rA*}Z$C
zwe;@Q8?B{w&)#S)xx0I#wZ!h~jn?A3vo~6c?T+4PExOzLmh7c>eP!uecHe*3Rp!3M
z`u@ANGW9LH>lfVpTE@P``rf;;-Iw3$ZMVMjE^qhdx9`%+?RKwzyDq(a%iWJ}^0ryu
zd{<T`ep@!DeEr>*Z}ig3Ep`{bUAJ}j?7JJw(l_tE`YvR*_uF-8<tDqG-@e<jd*<Df
zZ}ig2m))IO#=d#C$ZqG2yM=dG-<*5;T~yieP1eG@wKrM|?UvqXEm*&sd*kk@cUQjQ
zJMu25tbNn&$#-YIS(j3-vitW2YyRD@H(2xSzP-VkclYTH);zm+Z?NXxy?TQ+*Y4RH
ztT}ggZ?NXrUA@7YeRuW-Yqs6d8?0G(dvDmKyxMrvE~VAVn|3L#7T&Z=VKwunUGl3x
zZ`>uf`tinHva2s|+$FR6@Wx%zt2b|~-zBwr@y1<}t0!;VC9%4B<1X>l#T$2ttxn#!
zOLTSc#$8LUDz1H(QR=q(_u6$Cr7o*Kug%LSbz03G6`Nn`u=?@ZyzN$ZUlpxBy!PET
ztJ|;Y!k%y5b?a4Cn0vJB`B!PHC$G&*FWq?cVA%Jlx#wOLg|%<Cy8bF^_3lX7>{7GU
z(QEy-*6+IVDl5!=v(=SXeye9k&OP%gDJ(rIHnY@Vwf9CVk=524cTK;#bIrQcQk~Vt
z8+Qq<R^GTvaJBHpT>`74Bj=ua<*-ICwNzuZb>!TWue`$CH|^qI{dvPKzSWO6?BZR0
zdBZNA)rU9i;$FRZ!!EAXi#P1zTs?WiE{@gB8+Ng;F5a+<ZFPO}hFz?ygE#DAnQgtv
zQhB!aCQGH+(wi(5XLE0|RG9sHqow@p*BdS6X5ZduDLec0MoXF5yEj@&&tARJQfl_>
zjh2$LyEj@&%&y*ODLy-UqovsF=#7@5v%PQ0Tzb}LmcC`@{byZf?prMHKWj5n-?DST
z*{^2oTP*KAE1P{eS8u!JooDrVvp46yOE0#Yy*hVYdhwRCA9M1yS>AkBW+r}HCZ~A)
z*_Szb>BSbai*wg)-8uX0Mzi$IJFh+qneCmsF0I&PwsY>gEjwqPJ(;7IR=n)&R5SL?
zJ4I$YZ`>(7yZYvw)6b&Jj&HIQo~^ynQfRjHMoYoj+#7dJJ-af8@5r+tv-V9pC!ejK
znX@jXSY`I_4VL_~UvIGFn|*tOCGYIh8!UNd@7`d^J$v;AORm|oH&}Ac?%rU@F}r$$
zCHw5`4VG-Pqc>Qx&i3B0L%G{{(+;I><xM*jyM;IHQ0Qjfv_ro8^Tr)=-H$i!knO&_
zafeLz;f*__yEkv#A=SNj;||I0$s2b_bT@C@A>LhIym5zEck;#^qTRt8cPu%osQWIX
z(5?Ho?z)UZm+sHHc^QRH-P}<z`GpSMk9G65TiktA)O}d@-8PHckLtvpZ{Bh1QI(i`
zw9NTOY2A}`^U@199z7`bJ!;OmM@3@on=P(Cit64SDU)4j)*Y?uw{^#rM_FR-n=P(9
z^6Q=*Ip@ryq<XRRsF=(`gKqDQ79!o&8+S}Ux>ILeYN1ZI@x~oO-O3wx2zCo^+#%2%
z9XaRJBL^M5)IyDJ>&Q7LA9;znZ`#4%{dvO<zV62xcJOvz-mrtG`|ySx+})cu?BMEN
zykQ4t_v8&bIJ%oR>|pOM-mrtMJ9)zn*6!d9J6Ni%H<>F}Yi}~ISE`oYWUg4vy~$jm
z`u9e2`Rdmj&E=|ZZ#0*!KE2Ugrh4~AbLr~U8_lJvXKyr@tnS`uE>T^*(OkSbd!xBn
zb@WDa(Q5Bo(wFY_eM{T2{r<hKZ*E)6@84_trnY7Kg1ukAv28KGcdxAa@>|{Q=6CMp
zRd0U#Ha*|2diC42>G@msetc7(yUqOOy|Qm&x21FP*YAD#MmIg*qPqC)+O6AX@7?$<
zZS(f4_d=?@->yx|H>q}h`*zFrnR`#Z(M`)=ws-0`w$0l`s+~7(7p|_pIs5dzsBgzM
znG08IZ!{OGmfmPCSk1k0`_#QF-|!x}7xb-d)Aq@GXTDjRlCM(zdxJTD_3I7heATz>
zH<<HQpWa~3Q@wkGId}Ey4dz_cvp1M?R(EeO=cumUV9s8hy}_KVI(maSYqj@=ZOYlk
zo3<%sD{tDSm@T|%n?g48rfu@spEquk%YM9Zn{4*wjoW0h4{zKioxOSEHmU5z8@EYj
zPu{pqBD;CxHu3D@joZYslQ(V?%?{qUZOK-}wQuV)a^13juU(sw>yrI>ZEi-cQ#N;0
zbbhWw_T#m=+s*FYD#|{*_U$&a+qdejJ=?tP)~%{*Zqd@`Z>42VUYnbqyK(EmYu}<~
zpSx9bt!=Z}^;=QdyCbEubIr1&*ZOYVcI8&qHMh-XS8n-b&yJjZ=2p_Rw5aIJT!U=y
zjb<X*)*H7?-&((O&DzvloowTc+k~=}H*OQm7T&l`AUis8_NiMAYjjg{HL|TEXP>;~
zb<J(lHva6-8@BOfKi;s7H~aF2Z9LhBH*Dk1-n?NOSN7r!+c>i)Z`j6>-MnENdv@`L
zZEV@e8@91#2XENM^1C>tzUPhq#J`H0?47^4pZLdeQ(ocs=j8gDH}(Gge;;nNxBljS
z{NIxs@-n}Rlk0Qd`1k%@xY6GDoBOeUM{dYV{QjI&AM?h)`)}e#d+l%TNB^n3<-hb#
z=57DQe}BF$&#3SCc6__N^Ka!X_Kv?V-<DtS_u<>~dG#f~C*PL8^Y6~L<J;`*e?Q)A
zzxD6SoAS5*eRy*|r#|KP=FRpS|2EdYJ)d1)@=ZOxzTn&Ot@dmG?z|~~?ca?z=d<cV
zeowwNf7aiHH|4MVoAWI_tv=<OdRo2D@8DbVGyZyRwAc9d{K&sOZ~9OF+wzA0^uHN5
z<_rCHPO5i%)8FxzbECcX@5P(!6~3vb)(3oho>K4gZFx$)$G7K)|5e<W&-eRqV!hd$
z{<gpMCpXwje0zTAU&M|1T)&+Y>($=$xBTtgU@!3P`N4k{H|DebKAcc5_NKq-ujd9k
z_iM*b{1S<jS9)!nQroh|f5KPBO?LLzjvxQ^B|={A_2%T-k~RK)Uk`4yGrxBH*sm)Q
z@=~vjlWSAf`1gEm+-RqN?fB7OTO#DeUT;pS4O!!V>6b$N+VdBGNvu7eU)y!9J)^ef
zwec1^r`N(;?Dl?TjFP|i>&-Ry?RGoA9$b4qw>IbX=goF@uY;rK&-=P?E&usnXRf(#
zv$K9J94&w2*Pd(c>9s}I*wbrcUSE!yKl^Lq+Wxb@)?9PnYPa(1%1HTHUme$;&#X<m
z=AKrYbnW;SyQN<nBj=0Mziv*d^;>iP^sgOj{7?Vdu%^HBtL8>Km22roesx657kIsS
zlbzOU=A_!7YwW4De%Fqt)OuZOPpP$A)8GE}<pw*MYw3r7Wkk&9dEJ~?tGA}V_3O$F
zb|Tl(5B>6pn9uQ=Ik8r5O@H&(%nf!t*U}IE(ukPP5^cT7R5@CElc`d)^rm`K#c1wL
zrV7!&H=4>vzussn7kzu9sciJ=jixfuyEmFjN3Y&!Diu9@qp4(c_eN8R=<1E8;?da~
zO~s<4H=2q@d*70}bgge&+Lo>NuXSy6+hThETH7|YEn63?{kn~9i|M^<Wzm;&b+?<|
zxt15bIrnXPwq5k<+_mZ1Th@Ne$=zmpv;JDyHnH1MIoa#izRb~0&$fsz&Rx58>+H1~
zx20{~di7dJw0G{>v}}`T=iIkjw$5C8GDkNpd)eBl+t@a56^VA<xK%j1`sS?D*P^x^
z+hi&nt-aAyC|Y`>sbDns#;sG=uFT;*axG|E+or9P*UrpYo06>({d<EcfAs4OrhL)2
zH<<E9*Pq^C$`ieNgDH3P>J6q`(X%(0az=M=Fy)A@-eAfeoxQ=7EjoIGDQmR%23z-S
z$4`6{xhbb~+c>4VCC7ilTgFYc_S=ph|MumEoZRir$<-w}{(Wx`ZnQPucKq14D>vk%
zZW||8r{wteylvcQtH15|(QjLB$cf$FoKzi><A3R!Lhkwci{B)2&*xWnZEMe{uDNZz
z#n$Pz@D|&>Zy9gN-TU@toBMX#oo^53p3kk$x&3*wt=;Y5+w<nVU6{*%{@a;t?%Qmw
zZwud+yYX$$Huv=EqHXNy)iJj(-<mi3ZDMZ!*>7vMxo@>y`F7<^xmj-=bI)g1r)_gj
zt4`W>e2eYUw~aUFiQI0kPpbCIIe+@wjvW8f-!|m*cfQr!Xsfa<{m8eD8}kHiZ{B39
zb(=Y<I%pevYPH|C<0;i%+uBpA?Q;6t-@e>nE3+;A@VAT`^LTDICsym_^tZlUxxrRs
zTl%4I9yjK3+-6R!mdojHew(?$mS<b~!EYKj=COENZ!%H#*4|{I<So6)M6uqRdy|QR
z_wS7+^4_mEn#g(I-e@B0eR`vbjQ8%1Ceq%kH=0O!&)#Sv>D|52M8dmzqlvh8_C^yi
z@92#tqTb$7QkO3EO-tLd<^H9vX>MCg?q6z~rnY6vf~8-lv28KAcd5+#vaarSlRKC4
zyf^E<P0zIRUah+}J#)*_k2<;AOm1E(tDhzoEtQkGe(6gc-SkWg?_%AxTer+!x^Y_C
z<}Ft*g?M}Gu1(7{@pjgIyJgGFr6+ZC(=wMWojQ$e^A-_r=Z#y0y{jW<oxT(`?bs$0
zVQ=k?CPLoQ8%+egxi@Z^x^$%u?~zME)7my|nY?tS&f1hr74P30O!&QDZ!qEWzP-VO
z*ZcH_dJ`V+-5X4}y;pBA;qson!GzPhdxHswcl8DncJJ&BCT!l(8%$Wey*JpnPdk3%
zlSrhT(rM$A$`&2}2~Qa}+1O7ze*Dvy2sydao0BU`bo~3C9^7bSKJECiPgf%3q)r<r
zSElIr_dIRfXrn*v_|Z>WBILwQZ%(QV(ec0ZNkRAg#ZUDTy65vNyQZ~gRMwm}-eTi)
zT6l}i-lvRFa`!&HndZLTX6Ms`y61B%b54KWY-4viIC|c^rweuY&wn~I&3&7V^=aW~
zxf`GMOmk1KESkoiUKw-xa@4%pPZM?f&wg4n&3&uQ%BL$M<z_u~)IFbBnKsQmtukra
z@hvt>pEgF$6FJ?SR9Ww*bN=+F9XkG}KW)(I?|iDc(MDxj`jJl^5%UC2Z{B31b(%S;
zGH4omYNg+_<0+M1)7n!i?R5IvpT68+BQq`i@TZK3c|50^6D##}`dgo_++ZUzE&b3Z
zkBE63r<oHg<#hU+pJr~b;hC0x@RLTwJQi!~O~%UB+MA4(tfe;@D_V2cZ!%V}{=Lyy
z-um@MV>#>F8;xbHPj57qvEIGWSlW8^Mq?@K*&B@|t-CiGOITNLG#0nc-e@dl9lg<5
z)Y|)&<fU_cWocVB-#^z?=C;N7{<*d?wJn<$%>7!%w#E3~xiag^Z*{gC-#M3Oz4`5%
z^mIGx)o<6Nr*E12@lDP)<D2Ko%Ean#OXj4npZoHSPI|h9b@AIZTQ|?1yRj^7^X9AP
zLae>tu1QNbv37p@X3OT8b5Fj}NlRZgcWN2i=FKA3&KoxiTUXzldHP&b*|AN=!q(aw
zjfJeGHyR6Cb8p-{b?(YHJV(w2m9=f!JbCWSH)~STRjhw+Fy^;@y}_8z`t}B6UhC5v
zjCty<cW*G}wqCu#n9F+h24ha^?hVEq*3}z~*{!oT7_(VNZ!l)H_TI2bS=)HiCM9j<
zO`8<8g*R<d&}QDWNnZQ&#!YhCk2h|T)xNxOlZ^J^jhm#kH*ee|rM-CLCQ0qd8#hU4
zH*ee|u3fxwlbCk$#!aHy!5cR%nX0(<O-8Dl_V2Z8GU`)Zv_G%S$w+n5=8lTYPj%3K
zyf$aM(cM!;+K1P^*=BV6R9)D!&6{qWstR+9mOOtdO?&d%ob=R<QxArHi<)`vR8d&l
zW~1w;qO^BMN@k~;X-BX1*}Cb<sjM)!%|=&F`DxFNoO$L{QdnA4WM-;?w)aLO5pC;@
zo2F0Qxn@mjs*bjC{l-l~+R7Ulxn4}PDq6z8^5dok10zE~1B1o__pYzn42&EF4NL_K
zm_<K0F!B{JFv>JA$Y>m3<lE4|yoPb!6i>wt2Bs@#JlW1HecZ+?qUL0v#Co!wS4ywJ
zfw7r?N1p(rV>ADP8#M{fA2ZwA1+=&vW;d&FaPQ|)wYjjnflcc`eItttgCh?|g9ihn
zkEp_ljm{pu()$)lo%ei}<d~>6^@Zm~2W8f@<}S~zv-|mUW?$oe&L}5$;o?DNYr6{!
zPgw=||2Qx#ZeUhvVB!d9PB^wqwD_jO17RjXMGpm+ugy(u;!&Mb1QxU?JY`ooCO=`}
zBW4x(9}AS6UkV;#_K>UiSg-t%srb`@_Q|5mG7SveRSFRg80A#uUMyr}lR3a(#9g(8
z|3Zk|gN4j222v3R7<n4JR@N+FKg+BiB60BmBcDRU0s)5`9~<RDV<JSw!dzQJ9TP)+
zB1DC9><W}v_+|CuG7=cr4G!qCh#R;>hzf)qZ4P~y5NZ-3$`f|9DRf~%eW=QfCCV$N
zW(n}zR8gEQk}|pOkHN{~YF{51a#ZYf6fogviLlOl!V&c3gxbR!OQdI~Bu~z2X*i~K
zmV=R*eXG1=v%)b2gPAPJlj9~xu;{2Kgg8|EIN;|xQAAMXp981ZbTJ*XOxKgg+4~$m
zJYcrp6DP<kZSl{6Pat5zhemNV)%x5^`I9@$PHy*fo~^RQ({c8d+bRouALU-kn_Mz`
z%59Z9YIn^}Zu7LC{baM}R^LUrLg&@ant5*Xw4N<;Tjhq@UNg`1$wg+I>62q-U%9np
zwr^7IrL4&zv!~ozGRt?-O_eKZbImf-Ca0KbrcL&l9rBCWN`^zK=0V3qc`X&SgEOWi
z)lUwXQIf>3@-^Y&BW9j|2TseK*vMWm<H;sZ-TH>q$v$rw<z|N@O?Jx(>hO)asWR1<
zDKY4j+QK)DO0!EgvG?RmI;l1_M~Jh>Rv`J9+=9eQ?Y^Hjc*=e|(Cz!^1G`zyB(}*$
zIYMo|yEb@=b(yU^q!xIC*?zKG&ZHLKt__|7K2`M_Jo$XGHhA**L~ZcoGSfV$Bpa!s
z+#QlKu|wz51XrO=9*$x!k1PF*SR&VbBza<)&ZIuqQ;o?J^KPuz=wTw3>DJ@gw9!M~
zsv@D8jisQ0<%Y_I1ji<J8wVD-1OY{6CO!j3exDT&k|sv6NG7$k^T}H@=v?YjzQDkh
zaDYil;Xpm38$+Y$+Hae+XZO#zyehzSwb$AR_0>+1p{G_k%!v@?nh`p6LWqK@qT<?i
z(e9Ehav?gCF7op)|7x%}DDrAoM`-Vj2a6ZC3Ea8ZWe_E}Uo>!wQpbckm8C*r(U+3E
zV{%S%%c`lEXp1-p&3W;~K`TmSk?SYjOBa+lb%O->r!SmUFD#Q2=MlpxHKilb>6nv}
zQ>&n*#|^IqClVGN?U3cX%p7KVY>nS`h5KD%`Xw?p6J{)EIM8{pqTwaO;e}6BTvb`T
zSU82{MGOiU6c4p<i5um-_>kzz!YQn)uaRIQ;wdnB!NNnWJo}U-F83=qcWGpNP&jnV
zV?hFAGn<mKj8#QTy+mMB)9FqTRXMvP8}kJVCrvh8*bp(9Yf_h(LCTdA8yCB;Ji2L<
zuHrV=BinjzhzZ>eN{aT$;Xb`>OHTLcZF_RK&up8r?dTSr-Kh_Cxi4(`GEFrjvSnIo
zMr6%tr!6|Jr$0rDEl#zp+Mp}2P4&<=j~mlCZwDnt>*Snn72~-*X`yO;T6D^`)U;^7
z+fBE`X63HDIqk}}728se-kLT$H#4`pDOWR6d~&H}<g_KJfg5$Sr?np4v?W4J{PZWK
zW1CJyOp`qAlpL9*)6FZ+Epbit@UD!A>AbHGCB|B<>25Fmxj|3m^rtAX8=H<yOHGeV
zIc*dzHZOIduJ`#(SEe03zD;L)>cM(l@4U#8(?!XV1v=h+sTViuSWjzh?@#@?QO9AL
z>WNJpk<%1UJEcT+>2yy_wY-!RZ<50)9{uR(w1$mpQP)~d&#H(NR=>v5Wjb+@%Y_Tm
zKIC#P(hc0AS{HpN!&@eobBXT6Evg|oouYcK*IG~P;)xVjd@Ym`+wg|_?7juxo^H{v
zH~y`(S>Nz?(k)@V>PJWBIc!u9dc)mXJ9C4+&^OgXvl=3WmzpLTZPIuAt+Yko+2wc8
z7XAIThPS3KuJybnF8X^@QheNr8`C9!7cF)@ye;R37~kzniP1JWr%!HMkmG%7Tf+^p
zskMx^rr(?P<EGFy-NM^KJ5&>sJ+IuD&j0&SV!T!T8}9bnn;TS%qMf#@mPI#hS8dql
zk{@66rn|59=0^S1wTW*}pWV0Rn`?S}+;67a({Jp1^QJo|J}r7ty7!fBM|yJ)Zq&8h
z=6ZbFn;T+sy0%d@Ij8%@6m*TZwI17cBZG63uG6;E6WexdV@;1P+UA-b9e11Q_Oyu8
ze%))Hu6TMqNu++Us~h*FZ7R9lUAd7LlcHmCPIu=vZq!p3dL5J$>$8UY^sXH{_AH2)
zF7ldbv)<BD!?oULcAZ#r`phnii0SOFnG$1_)^xX&&fK6Ua&0M7R&2(#rD?H2uNOs4
zzq(D}<}{_-Nh#5NYrL7nSzm8Th?Q95-7Gdg_vcNq+uQDJW8JR1vp)A??&-YfirY*k
zi*vpGz0bO)#)e%}J+iAKV!EKNqpp*#^X*5s#TMmiMu|@;^^6o3FxczL6s2-c>8+UO
zc8{H|hjcIHPR!~4vf0C~J0yC^Jl93KLg$svig|AHu<jO#R=J_HSIjegVv!hU`ox&-
zD^W{kyC&&QI;*r+%yX;9O4s^Tkt(xXopdi{PD~T?Oq-Y_c5;iyQrD))B_iD|NfZ5a
zE}d4|sS|WsX`{}hPFJms9x7s)N0d4vmI!oj+2o<s&5|@RNQ^UeqMz8wl!;zqohcLT
zbSAaCzS`g+BbIqsDKlaTPj^e=L_M8Jt*)y!c!-E)9#Zm*Si;fGk~mRLXHs*$Yt{x2
z9<j`WN}3T%SgK1>`g`64PPA3p<nH{<<AfaRO=X4ZFUkEiZvy*mA8mBE{^oI9?%|Ea
z($y)+{aJ4Wdu?ZJbT|5@aZK*ujm6^CPm=nh-UxQvMs0N0`lgZD-}miAMt@y($QE~(
z>L<4sFSHf9wRnN;tG9v|<UW4O*zUf|w*J&x!My&w>Xh4y=i7d|x%igc*EfQ>{T1Ib
zwz+Szy>)Z(4Y`BgUX(7`>~2}zve|u|ZPwe1XXQ42JCW8OR=wob;wy4j-w2)+%<A{6
zHrecMR4uaEeVMJ+TfsAOOK&KPRF@?6$9+4I+8_0;Bemb>O<<?3(nfdXZyrbFT5l|#
zY8!O3URj`e$|iS>>XJ?FYSkf|+*PW-B=+0932e80w835ao5x|f)Emk?)g_7jI&T77
zZ5M5D7yjmPNY3?!GDr27gnpSffz7r_8{E0Sc^s5ejaaOlos!bqwMKBFsnjMnr)wG~
zq!=R?%V$4H?yXuQ*l&7kqnp(=jpI@eBNj_%rzH1g)vpokHJ!E5&FGrOF{y(Qi^a2_
zB=ttE5$raN+UTZrO(U~+!nGF}y*<}nY<F|bcG=>#&r~W(d7&v+)Z%+mjB5q+d&{zy
zL@&N0_3+w@ZEo94e?=<KH(j+h@VwN;YaQvmY1t~#i|3kltrg7ajmuuL+08P$WwYBl
z)2y`@&q{5)b|S65H!OQe)Z!~rSJw!hm0Ec1#TGZ?Y?aM!hS@1mi!VtXToc%3>a@{K
z{o0Gv-k57EQhOt=oj4*jH9}b^+a#&iWldm*X;h^0R8yvnZkpLGo7~j1Q#QG&X1i>1
zlfQQ2uvBS;GGF$V#9os%fo-OTHn@pjJ8?)VG(wpx+a$49Wldm9y=l`1H~woU4oaCu
zD6?g6N$3?>6WH`u_U8PFe?@P~PyEY!bN+<CtT*K+{QY}le*fREH{|>OzP&NO@9)za
z@_m2r-k9I}_v#J#-oIyW%<uVo^oD%T-@P~HcmLgbL%#d(+8gt`{;Iy^&#ZU<ZG7u~
ze!c5&<y-Uf>z#iK--^HRuj)7Rt$O+V`rUtDew%(<KCgcJ-<#jQZ?>QR_v*Lno9%D?
ztN3Pqdwx#6_3!St{M+_l|5x&D`eyq%e|LVne(V0(e-Xbo-@Knyzv}POZ{eHmXZ>CH
zt^C&gGyhV)iEp;Q{Lkxm^Ue6KzYA~N@BF*>&H2=N-QUSK=Xd^{dPBbRZ|{xy9e-PI
z+&}fNKI9vFQoZJH=bQIW{`2`3zR7;l-`X4V+y9o{kZ=E+dt-jv-_#rOZGU5L%y0c0
zdPBbTukVfdEq`5a$hZ8py)nP}ujvi>=D)f(<~RLSy|G9+IwhsMD@SmmuGA)1r)?T1
z#29Zbl8=6p++CF;*sptQqpRgMj^ko?ZzxGeha`7r<Xo)p(Veu>)o>ffF|oZjl*FU2
zBy~sRT<p>f+UV*T-Ll2iJvwEJ>waCWTS|*`KjmJ$AjX+{v3b&zDbp9-6JyL3%<nFX
zUUGZU9kGYoUTkySru*xr(tO=jxq;`!E^g~c?@n7Suyh8m;%3D(vm=?#3(Q!~wyn4+
zuu@?4)ph|h>87oUdG%&4r`v;6ZZDdv+m$Pr(;X+*RkBSZy}MxBiLI_{b$8uVx+Zor
z=VDfONc5Cji)QIAx~X(UZ0@#<wC<E`8fo1=(IK~#X6Sltbk*4Q;)vMZoQbE!w&n<)
z7Mpovkx;ZtQny>q#137qjjr0!OE$SGY|}{X4%qf0rQ2uQij;1TZ7&Yji&frO#20-e
zvD++XVw>)%4XzT~UK|pOys?NY+9k1DEoWkjZr27^fo(4iido)R#1?%dp<66xVw0}d
z1{e2fCr${7Mk*<Jo1}EM=mbtsW!mIoKkdYEp|252a^72#J4<u|`&18YbTOZH;+W9Y
z2qh_RljP16oxmQ|rj0K8(@q=}s^1!+B<8&(sWU_;@RE?C?!}8jlDZf3JG-WJWOUYe
zn{07$@)p_RvR9QUO6i`^+i4!#U3RJ-(!H45ndALsvx}W~Nc5t4s*7|5&kLQM=CRGi
z+FK-A>4wnWX&&jFMbkLaJ7c`BL@k=Fnxs4NtkBwN9$Q^js;-Junx*Qbdoi;!ZCbrY
zT4&O<6I)!Csy0O~67g<H>h#mOcv@(uPT*;wjXD!MRkb#{s7%W^BGehNNWgo`CKoMl
zmZZ+0X&kAYe$!5*bb3weNa?iGnb@xSYJ-c+w2Z?-nGuV4yjv1G^>ik-s;=7LA~G%G
zkdSA@A`Wks#7;S#iOs568(es%WgHaJj9669^4fZno$_n#O?FDJr8n6rzUJO!r||ms
zMmzb}uQ%Gsy}rHCPWJWbjdn7xcW<<le!Y65oz&~u8|@@tcW<<lcwN2GPW*NDMmw?B
z(HreVUwcQ%U;5Q|Eq%+r`@g!bxo@$%|Eukq`j&kQzJ9&NzQyj|ud>&d*XnP#yYnmW
z_2#wj(`)VOU$0)fKD~C!*N<!Rx7pqNRd!80T0W<C{nwXk^wVoCUKg)jzjfd2uN$wW
zZ{BzHSIBGcwd>PrO<p^%eZOVj%&#Zc=%>{#`#SX+`{sQjubnsU6MkJCIsf#psB6bJ
z*$Kba-e@QET6&|M;A`%U`=)+fxrYD9ub^w~oAyoqI&;nXlv<V7zw0;H@xOk(!H)0s
z?G1LkuTO8V<9WS%gB|zl)f?=%UeDfO$N9Q@gB{1~>J4`6ud_GUvAvGoV8{B}dxNuk
z*@+W;qBj+ltW8qdTiygrkY(EBY+rWbIN#SBigMOllG{t(1oX)s+URUvcH$V{)f<XZ
z)+WjADQ^OLWScfR>zAE4%D44Ky`q@)mZbKOHvyOU6yILB$S3*sLVkN!Sw}{DjkU=Z
zXD4fsEzWyonQkfG<9l1?vE6y6?4h?8a@%vPzif84vktkvaGva<w*u$+&X##>bGEh?
zxvhAEZ*Q4LdV5hBM|yjV^_5!-XUitNop6?KZJEbb=asUnZYs`_b$WXtvpucMBdxwY
zsqDlS=cTeuHy4Unw<NXuy}59jZ|9qU(|jA>Oz4!=+UTrOmT`oy^Tt8}>n)p{wX9i^
z+JnkCQrrE?PNcMZm35@F+r634F8gYOvrJjWVZO{83wf+t65I9OOlXx|wZU1WEaMQL
z=Z%FN)+~wba&IOy%VuqG<|)fK$ftQ@A&Yi>NlI(ant+L-N}HUV!#qxKu|_H?Xn#p=
ztyvS$FZyVslXaNKajvHkiZa?I$*nnS0(wOkZFDjY^Ek$JG(u5A`%6-5%$k61(WH$|
z+F>3?xm4E*T;h^lJK-YN->?-KtsP+}wmUg%t88&{)V>m}xIpyL+6#HDCE8P>74LA}
z4Lh-|-pOA3$!4dmqOT$qZ*hHGb0McSMSII;r;VabYcFKCmV{}fw-$t**y^-abXTO}
zHLja$E@ZWaXite+I7@U<q~aB>xnUV;ttnv|X{|omAyJAmM7=gTX@tEv!nJqJgwtGG
z*9e^Eni;WBNZTc;)osm$4pFX+PTJZ_HaRJTX{5FWgw?-DY4r(Pk<#iB_Tn&CWyC^0
z?IVe;W@{$2iJscvBoX%F5LaZxLN0BW#8$O66Iw*OHaH1{y*S8a8L^N}`$$5o*qRAV
zqFx&u-OWy%U=zKmpd@XQ(%h00FoBn8lcT-aiQ{ZvZz#w~Z%J-0$qDG=J+#r$-0Z|L
zwyQT3q@+!fn^Wp@0(y9xHahB?ojA(2^@f6&^p>RNkeq-^Y>K%TF0x7HUdV6mGV91_
zu8}s`;^-tTvc+*PFViiBdu(sbJhnUT<UN#oA-6e4`pafVJL!<y3+C}I$`v@zcGk>e
zo1?X~$ZdriY<tZ-(wmFSIMSPAq_5msFq=0icfwh=wPqe$9ar+!uezx)i`OalLS}QC
znMYc4lG%wZj!Su)ZY~g!Zb@qP%eioxZD&rvX||0y6FPadHae=9WgKDays<z)ddnt9
zEoqjd<{&eU)Mh`k6DiGJW*sTbb~zK;d0%aClrhUV%$9j$0grS`VzXY(gjU{F8yrQ<
zG7hnM-dMmP&63zGmouTco;PcQBad0eK{m}B3s`PjZ?aXst-Z-s>9+JHTgBVln`{+s
z|K4aTfBW@DTe;h}H`>bHKE2Ua=JxK5w$is(Z?u)VJ$s|A<n8W_wi36iH`<Ed&faJ%
zb~}2bt>|s<TXL7a^=(VvviJVCu5IpHZ0~<-+orx{?}E2qx3O=rz4xu`_T^mt`t7!N
zzUAHCoclh#+V1x1-1X_zTi$-m$=_ys^IO?A@!N7a)$8BB%+XJ;wzyrKyMF85*>5*)
zOW(Zr>bH>F-nr}3s!eV?=f2;vcjnuZIr?eU%id1i#=d#4$ZhA1dxdXT-<)^)ThzAW
zn{0(|Yj3m_x-GrYR`53W#=TSDuFT;-@-1jvd;O-pli$wFS)Wp^a{KoNTmIXxH`wys
zzP-Vg_x9-xwmi3YZ?NUQy?TQ!*X`LGY&matZ?NUKUA@7U{dV>STejQL8*Eu`dv9=X
z7dvr+Ni<SHNxJ>hisqL^EQU@UiY*dOT@x-$Q1O&vHWqYH5a3{GW_0@e!o=%-zOX|`
zN??)%Qz1t^b0SA`07p{-3&$Y=fg}#cBLb}xCSCA~V!oy7VBFJM>wLZN)EtBR7exQs
zM4elnr^I>w3tQ}^%!J$^k-DkA|2Mn)?u$D3E3U9$@3Ew10gO_aZ+vT$lm4sK&SLjp
z^P%<fE5T{?t1gS2l-Jw4<PMkcpVU-F#wqtZzsd8y>+Eb$t8dtRqgLx|{rt<<JzxC4
z?lQ}7?*DsS|B9!~zL_8W@^!tI!%`W$ng<n3se!lrKNK_1$|$(a(Axe}lp$7aXXCp`
zj0>c%*5<AK9lig3_oLmC2K$%Z@bF=rx>VuZWA^^{k3O&VViAqpqtlRj>dd6u%kNKd
ztyteb=joG8s!OF_{n)F-UEff3{pRO&lV=^D^Lz0h{qWf*ZuWCFgv#t&&7J+ae&0Wn
z*(?vgwd=p_wqsb}@t^7BdY3zF%cs7Twfeg-Gyn6$e{HXrZf{QBGJEnemnf@U6C-*e
zk4{dI;{M*Wr|jt|y;Q}<yLOBrh3Aak8yP?E`0YNG@wB!=e)W>9DcL-im(5b&UavH9
zfr2vg|KltF&)sdWpZj5}>yC3#3>6I@7QdT3q04IPi}x=)7di$sIs8w1K6!TFG?%P8
zk9wwM3V){jeR(!J=z*i1n)JJQ85eRUX9h&yiTn3c_KecxCDjwU7&sQY*5_<;R$n{u
zneG3_5xbVGeZSXuma(P%nblQq4T67q81GC;tDkoH!;8mTJ~9QIxw!p@o$$g+wvBO9
z5>|=U{*w+X%6gS<?R;%fzHGs)>I?be>aVr)D)?*Vo~`+{NltNLnu@((d9=luo4Xg~
zrQXWT2x#PF4=CO^|9jmU=E;j1wjGLbaxQTQ`M5|;;_XkK9bY8-*`*l``9uFK|L-^7
ze6Q3dqv>1fXD|L^d;fXmfdj&4gl2wEV|`z9VTa+0!&TCX7bj~t$b|m(`l-dgX?~E5
zS4_2J&y!H+`6vGBlnej0pJ(f_;~dAQhQCkm7k=#jFL$zh4&&L!Kc1&3E^A_3ZN=Eo
zqBE1(PoU&du`R>HhBdWTEE-I27-rqMcl4dG1DB9oME0{AGmYyrvu1ohuN?o?Z6))o
zH+&QMSAQ1eI<fw>AHS9Q>fQAxmmisYYPs<1w)Ep38XnWmwVz<$_Ow&Q_F&wps(Y5(
zY_1>p!*B1=`%@&LpMUPN2aAfn$eq9X*kWe7OzWkbW&c+6{V{X1GgRKcu;BN;DZEPm
zg(XhzTwL9|;^LNDQa9iI5j<L7&vjgt@1liuQOWP+_BSSX3(w%z`ZznOCaHb?WaXn@
zj_%JkuYJE~Z+CpE_T%k;yZrfA_bmT-IIDO4VUGW6J~{nlsyr2@@^61l_tuy3;&UHo
zGcRSid~A8tKduzh5cc`6BVB5OW%c?m1;=c4yV^cc<Urto)#l}*2jilW5B7IVYpRbt
z@|`gy){HmlQq=43vJbW&ogz6;DD25$E6<H~a@8isHr{w;u=3D5^F#lo!`Y5>h<tN7
zvUj`lk9S)Q7a!mE=D~IIR)6QUpA~B=@;Nt2PZF;Ab;D-;R@WU9!apdU6q|eP!o8fM
z-wefX$<O_gv9|kEx?$R(jgHkDRi(LZ2?syA7*&5b)A9SvGqW~sh)XfF-|ccfY0>Nk
z&pn$Mimxq+S7Noh<7RWbd)^62>n$RGr)?I0-fT3dRr?IX`Z)&O;vde&srJuG(GpU$
zu<p*-`&4;N$;mT%KUJ=?HR?QEc_z-QvYYM3l}j~y%#OPKuB&WWXD$1y_{n9DGj;5>
zwqItQ&iZuvasA&#n`$qgjuT&IcX<9<UwKz4x%MTuTlp=2FRnOVo%z$U_mia0qI1Xk
ze?K_8UPLjqU(ElT4PVt}^YZT}Z}H933FX-_Nj@bgA}ij#^=_{3>8{vocbJ1Kxm;zx
zh1?3fektAax9nPNE9Uru&=mbv7WcO<-$cIpy$LZmzPZI>vBk#v<;%?zbeRtSR^59?
zx%acOt!37Bt<cL$KW~&5ev;Fm|E^@miBRir?1dA!ehAO_Wm(2=BpMvnq|6ikHTSCR
z&mPvrH=DBFe43!SsmJK9Ov2Vb%Wo8^tcX0RELH7U6Dj^?zwx)l{e~WYo=S#>B?|IH
z*PS_gL^GfNidt&W$8UaH@6>yo-<DAQOnCRc(x>O^M65U7KFB^-pZ&DOi+atM6Hb-Z
zPuBLbJ;~m3c%jq7!w*^(wtSFlxxwp@XCc?ach&4+T(O<hw$P;bX+IYqu>7F3r_#t`
zH}}IDhO2cRE2{SF30a%5cH3h?)qCQtu`|oxai%|v@_+vCHkbSUa{ajr{Tn&O>yJ-r
zvG=@dlVKR+qa;%E;;L9)!<9p?^@Q)O+k7-v^t|;)RrQU{!K>bxHdIfljb0VK;lT9Q
zwU?LAlRPIY#PyDiZ{qgN+fL3lcDwanByj)L&o}CB+&gBp((F_4vAo60L*lG+^FJ`_
zmwvx}cgMfk@p1W^PqO%V<O(0Hxt;UN?BMs@`g^?xG)-9cT~&w?ul;a;<HW~H7VT!f
zuweR$v%Zi1nR$Ml!^h`-Y1Okk{yk4q-?Wr_*}mV*uAcq#!5c@j0;cb`H-Bu%wz>B&
zwOl%1>TVw2?TV={^EU}yn^XI*uxjqxyRqB0ifsGuvfxahsMLCnaHhB49yVNB#bPjF
zen9z-6Hi`WsBgU99Q#LZN5#r3k6b1m{n;w|eEIji|AV6s9{t{WuyO0e&Z+OE)OX%B
z+-||2Q8+K>tmF=}_%rV}9y_~Dd&`Ft^An%kG*&aZaqYy>?0#d-;=3Py*4nFzl(N40
z{c+ER-Zqyr-nZWF=3Vkn?a_?=D=k!K1-mNi6;3<vQ@2x|d!E>l>GjgWHsv|`_dU;i
zXOW(_-(_DqSM~p+=VH%%IdXj2m3{8B>sEhup3)G|T<N;;^!z)8yJIv?&(n?%NaB3x
zwQ2p<!k>b>Wm5g3etBKhR`B|HdCR9)!cR>sPR)F?c#fXg`k!7uy|WkX>Fxj2AuM~@
z`jq8C)%2Cq=EzPfQri8*`Q#*S!+K47Ex}V0btHZ*c~O{Qa`zI0*(2`Gtjkh6eXELi
zVkfF@4amRPePbF|ZKA=Y$C{h`TYh<6+hQqesJdZh#1dzfP$yBQ+81p>5qbL*IYh5;
zmbN)pntYjY?_O&}hK2Af&z7Y13R>n&uQpxc<Xz3HrOv#mPJhjTi?jWOuLP=XVf|gd
zJWf@_F6(i%^uinwce#ldH+BYy>?o3G32M6;pm}>Xhi{_xq5>iP*R25y(vGoOOf9^Z
zWFP(Um3*7RDy<g13yaw^7BhTZXmPAs&7of-Q*q+NCvGc*H+X#6(fjJe-<1vWpA`h7
zEU!-d?(|}t0he*&;$>+!Icu9_&x^b5))nzuSzr5Wsox4?gD6|wCz)q2?blzms`RCo
zn#eD?g%`LIcS&F6oGK=+yS@F3&)zR<x2;fGYxHHM>fFbR^vmaSZ1qdqFm2uiv8}Ha
z7y3`1$+1^;*-ozKJew0UT4p_WeH_Tin$0Mg)+YH}Y~sn~i!+^-L&}+jFUC1`UgW#E
z^!ziwmb4I&`nb3`i?+EsHtJ7zbJaY(vMX$-M#$8Fy_!-X69c&8F29gEAL!c^C%EE`
zx~q#*@`ApQsTaI1D~76-EY*DF8glo1_llNPD`M2QtXi~Va@W%D4K>%=?IVpBUYyqA
zJ#+2_1xc>2t2Cm!o}Lez8?gWJ!KP)JQX5uQEwy;MW66r#eJAVcwWfsm2F~TZ-eIeK
zQ^i&AS?IURIzm~_lQ<XfR;H=AGW*`#8RTK=lzf+KYVq&Mm*?NU>YusAsq%`wsc+yE
zcc=X5YYD3JB2!hTxCdRi_GseYlu(r~i?vR<t<jiv?)B;w8msfT>(#y*FH}sMyxi}_
zTvd~6u?GuUoIZxS-rbV(Q@H-}+zTn8fz7_LI!85+ySnCn&ixUrVZ1OrGBCT`>Fr;i
zB{$ohWS9T=q`ulO!*;V;6#Lf9uVE|h{$dP&x4Mz7dOd55wtB3_#tR1}=eyPLCsng#
zNlOc_-rT?|AH9%qVRiVcl^4VWwBu#FT%~tZ-o2*&eOAlwn7K`i9ZkuhPWL|4Kk2)A
z*&=s6)6L4(^_L~z#wLFLWG=UmZ&Bm&X-<N7=W*%s?y(M-_#u+@6(9SBY0~%p-sdP4
zZr#PpwSZTlrY806=hy>L3!8LWFZtQct~+)uYkuyU<xbMFTjz$Rd=WFxXJ7r-c;{=e
zXHG9=?QD*1-;~9$a4y%%i4zQN+<y|vv2I7b)iT8e4>#@-$olnY<E@0rzqSf>zKc50
zAXmV-<JkX0H?Hca?Q;{>|Hj4eD34chmVkxX`jnlA|4$Q)ZsUFzo*Q3eB$Bo6!}%i#
zO#g%wXNWY!KN4B`@P~I_xYLeF3#JN0yxi!;68cDKD$jD3c1_y}lM<&eEK1=}Wzo>B
z5jr?Iprn41s2OLU&#fIQ2PX+kUE=83;8~@jUOcDc(Gy9(h6g5flP}C}xVz+puSeeH
zM6<+aLgM+Jr=yr2>)1+q8|@SNFu7-*%MDLwzezhiC(K-OMCFusRn(*i&z>nN7M=@K
zWTS*5d^@fznWMJAq}E{8k#r}k$tlK(>|1hPFzQ}pNbkH`Z{VGDN~lWg=4qqjUpi7v
zBxdUR^;o*Sbk{L-DpBye)6e<!aNAEyr8<i}{4vh;b7mZA*t)yX=8%VJQm6Z=P0FGb
zB@3ilOA{EY&m`Ce1f18}cCM$jh4Hn`-CKJ_Z8Ul2lsHZ1aIUg>F1=||fM3wui7BVu
zKW(1qwbR2wnDe0>Tm9SQg!xB)aa>_{`o*zeqTD}?e!EFY{SQtk>%Q~ovs!gjMzYYQ
zLAl-Bs9^D;UWuF|8{ODUi{yeFoQ?jlC~<hUD|B)!O8Cifvc0fo&ZEaX^Bq1g?r*<P
zedOK6C-aWHW!&06({|pC<URA)*0y`vo_YCkid^y+lZEZ7Zv-xuid?j+4_f0Vw31nd
zzx|^{mwR)C)L~@~e&-J!4E=5QJzvN&zGv92%zxMLf!~8Nfo;tJ)@*Mx&iJ*z;n;Y%
zLDtc%zx(uLA34QO0yc8~%0`Dco(ehz)Cf4UOYU&*c*}W0L1bp>28DMHj{iFpjO94G
z*$!=V*rcI+Si;nyCx=Br<eD;P{i}^H=KCGr7@)xS#a-k_a)P~WmizNR9}MbjYUGu-
z`5*b(sO8e>A~s_J6Z5GrN{z8Bca*$!i;TOq)^}`CI-@1D(xb3TNW)c0L~*{y<<2R-
zQ`Cedr*;^2hA2%`3(%0CAuvTkk&R&@r`?hV3$@BRCOFF+P~<mLadeiQp{UTtP;aoL
zLtJP<Yt%+3rB;Oik4G&>R0T`91dTcz6ejiCFR8Cc`Tz6Qv!>sYR}aJ+{r-2Z>eaRH
zj&lwu?v>*Z@Ofs!x2Cx8hs}l0Qv2hX^iJf@b)I46$SZQboAJlNMFw`i<y92xTc^#Q
z*C@y&Qpurb5%~B{5u0zzE3q9PwoMk>l-ghK_$FxIqMU|j%y)wSbNyHv)U>a}`kRoN
zyw?KD)Xux#bM`*;RADh%$!*A4dC-fk_eruuK(3R6rht0LsmZ+#iys)zyJYd`<g^bh
ziDi@D?%^`o#pzpgIiIsb?c}iog(v+V1>Y3eu~d)GtEs&=<2U~+j;E<+qK>_FNoO^T
zlC~Q@s^4*zyQ#yHNpL>P<(`;+*(CPTjK_jjEF!radvu<D=ws_!DxU4-|0zPG=H9Fq
zoQ<De>RphOj$@jc-MVAip0CIDX@AL`kT3J&Ucvj8y{1>HS-#|*xb3>fabNYNyNz$J
zop|?rm-VXK26s6Y%~543W4fYbxA)=8KELR5Qp#)0<L6$izuL4`Zqu%(t9V0sXTIuO
z!Dq2`-{C9#FW)(AKfF`%jBV@7g0iN}Y>#T?TcQ`X8JP1;sZP8j_()9f9dCj8zTAzs
zEq3nR@zy7o`^-0?+q~;@x0oHt@;^|2;IHYM{{jEmep$;f9AIc*GGKCKc((nG%f<hx
zHTM@XC^O3VIDB9XDQT$h`*@zS-YIr}$CK?c|0g_}tf!o)=<9RJdV*yJ`>ezAntu*F
zc<f%NQRJ+--SHyJ#{&|DRt6EO86W2H78I~E+~zPiR>fYtS94#uLQT5D{ZmaW(Q}%P
z)opxs@kstLhSm04B5NlVax(|c<5)YLEnV<pzCl{d?2_On@!LIfBD-fr)~B6apuTUL
z=x0&m?q#kQQpz8m>f-Bu7H1;)V^ZSg(^FY}q<rn>t?BG~(4@3s#tC01rM?ypO?jo+
zd>1z>ZWM2}-o$f$@=lrFWfSThm&b3oIo;-`)9yRn=3fr2*pRq|Zx-7HEf0O>Nplzs
zpW1JF$$ucSP<<(nn8DN4QU*=egq&sT#aped*&MDtW5_5v(5!o$Q7P%exx<ZyP0Oy!
z{0lqUIGyQosH9EgLw$vdb-4`L{1+#`x;p=}V2PR3Y1dCaG9^M?E$vUw9-HbZcx8p!
zTs0vNvsyjjPcvLI88igVloc5@Ti@K3w^hH-5w)!H+1w`2iw~dd-czewc)uyHsmcD*
z&-(fef{)x}<g9J;T4W@GSUp`f2(WG_zIx!@My4+{g&WkC>3n<0Vk32B#rM30dSTyU
zZ6~Ct<)4VT;>+{W^7xULv5e|LYIlufHapfVJkNSA!!W+#hgXHZV|D0;xTc@Ed)`}L
z6X%Q%;+Fg27~%HuC#T;6o@|+x#We?{O(aipWwO=tn%`k`e)qNYNY3|%>+hW^KCtM<
zsx3ROKY#Gb+vn8nzyeXv2di$2MxQ<K_^a&4EzR*!9S4%WFXe0N<r8VYD!L*fN-QwQ
z*Q0fvPDb!Li>C{&O;d2n<+EUqkNJD1;Y>20^z~U0f4Ktov02?ytt_9TdM;hnSuQ4^
zppmK1U$rZiseVT;0~g;3hHoNK=b{;U6dc&KlU{T$Q!6(tdGFTk(8kFw(#NC6vxwjR
zM8HlPr}G=d3U;(bIlM^e>@az>@T8LY*Pti7Yl@orC8K9_Tyta1Wm|E$OaJiM|FNz=
zEyQNb3o>DJ+1#VXmGef-Z_n)J9`j8-ES)(bH)Soh&2-QBp;sTA(=w;!K%V7~sW}Py
z^WHLbl?ujRN}9P(=%a3=hC*13%a#^hooxQ;>3=#3JW{eJaxc&>6x_QxcZ;9p^5Q$Z
z{mt9Y8UN?cZ{GQ0mY~yRl{=qOgfAIKDaE;M`)FAFEb-Q*ifyxU4o|DNduYMsrpdWR
zXIAA(-&-SMGbhy`cZE<vy>ur7|MUw1S&Mvj*qWaVcQUA$>wK|7qd({Np=YiiKb2Og
zJTCQqu)6E%L!Xmd9!|J*EBcVGsn33^j*h*joIZTuyfis9sE<AM<<v`-R_mNAjPK}l
zm_&9o&zPtxng6xK(lW2`{ag{bCZ3{X#uV;P`E6%!>{2}@zd_-bnayfd-dXkh5AUd)
z<6X`!>io$?o1y<sWcF6Y&QKO1UaxEhql1%|EedDM*_veBt<%vcz*;5v$Z**@`EZW;
z2W~eAA8Xny(51s5dXnRzjgCuDiq@9TOEma;mfZfjRpqg2{Ny`3Hc!6Ya&<%N6OT<x
zT{V=IG$;0NJZdvb_twL?FZqx7boj)qsGl%_yJSVQRMP%w5$a1=b2<JUWS^)J&>q^7
za%e-&q&se&`i%7sQZ5dgxiaFlM5HY`795x!;jv*4gQAzz36~p9AD@d@ZQ$gq-LzaV
z!GwXWYXNhBLg9;!zw!YW?b(Bl&E1l*hArwB*OR8mN7K$I2{Yy`eYnMkJ103^Da!tx
z)am*Msb}R`npo!su4-;r{^`^C=5DD;+XI|RST=p@tkW{iP~Y<Zu&m(XkS&V74`dFh
zI5q1`yi<{;+YoYN){_nc5w%0}TP_r+Z|l{%c3vcKvs&MQfS!prW+)XkpU#@k<HK6J
z#DC&K>&ENvB3arlhi-_`YikH!VI}j#T|hSTpON_w8Rw5K;sM^wEFugbFe@i7^4UcG
zTniQk1`C$y3LlunrStM~@`^)4SQ(g~zB5hN*sA|Gft$Tlk>Qx-;pw#jjJoxwJ#%ia
z`_E=8`10#@yWPu{zt~vTHD`<c_6yr3b~0>ZSivA7pZ8AngHqs%XP=sWsoCDqD_*Dm
z)G~2n>YlR4FLX<<EGyh%X5|$uJ9lm0yfurTu^7lc>l6NJym-%9iyXC;YQ`6{D%`Z^
zK2MFDaq{=%pF3w>&C$<HOxROa(=>@`mry;+-cL<Rc}_9YZqHrw!Kj~Q`yuO(cQ|_%
zZ(`l`G3u;wc}V5N73+?MXcSsYu6cPwzt_O0^ChE?O~du6EXQjO$<9*<2@hp7^S{~I
z&D-#50@E4qb<9^DemHoDO<L&irzRGc9~++-$A-`N)Rbe+f7_k6Ma)Rp()|pBn(ZC0
ze@kEI*S{$HqGpwqExUGa-=!q?^bL!bzg{8vYw7D}_s&-6zDV;6pTYjJWlFK_w^w_Y
zzB_pJ`p)^2m}8Tot~_|9{N9sIBsnfBrfGG5NuYXpXXZ)0xy9?$&37%S-YoGsNxyUV
z%+#GtT8gtHIYK&L>d$sEWe=Z!?5G<5x_uqmj0@cBW>oK~ms`I4)4ftr`83(CcTU@P
zpZi>WdgHv<<5}08*XV9s?m2zJ)}NF6?BXZAx@sHscUQ+&d7kWBtN|t`?XStz@A}5O
z(tX~hjEUI=ChwNNo6vts@9sIP(s?&mES^(q#Ta<s?qSvq_mH!{*x%g^6rNjjenqi<
z>XvsmZgJIIWZaji+iAY5{>ie??R-sHS97nW6)ZD8A8~uvGpBYQsWrVnGTb|yZv=kk
zuufaI@$rrCb9v<~5;lZC+BAnLGE6M~*XaW$Dso?&PbbA4yvSEK&DW-Ng{I8m%R+u!
z`TJ_vKJ#$A*ZBHNYGw2CjeNm>TQBZA996zk?p4NRUn||BbFU1iJof9|rSY|X+DiZZ
zf)#p;>-)FfVa;OOt`VzxMRC8!|0-tvqRQ8ob@&5KPDtCkUR&}$X6`4(_0Q(Eyt%9I
zz1Y`p)3xT4aX+73kxQTX>+$M<&;QKpmS0f_4=rhIwpv@nu)wXd^P>9miqbcXObz>1
zG`cX&R1@l8nW*Bq@B*X2;+27Nf(=V6l<G56pD$zMiIlscxz^aRWOl?1<svT&&xO0y
z9^JC*_nABM)20_8XZUBAwoEX1d86i5z>LyQS(kL2E`Rwl@o5Y9ywH}JW--l+RquE&
z)N3`0bf4aTF1j~d^@`B@$<sup`Kf#iHQjjV=d>GE%4_Bx?TWJ7Jne=-&D37!0BcE!
zG<D{~^>0!d9tv>141bz0!z{+8<M5$!_r&*p;&-R!uL#IGcdH_NiH7ix4*m)Dj0LUn
z;*(dge0U}y&)9hKafq1E-9i<YCzF^%ed>R<<VYSBczBS5<Bfv@JI95E(ht@@`LZ(p
zxY^C?8-2f(=1i`Yy`2K)8lG)pv5RQnW;y*}d3lC>_UgjyKa8+Kgo6Q8h;%(jeVeSp
z#Gs<YJzenwli2jzA&gx0paP_4F6#^#_gTxX%O#)u;F)$q_PkCpYf9KvT{F+iuct+2
zZO!>vR`y%0W_PhUx4HH9?bRA-5U}mUq@xKZC*_<Ji*XT4P5Cc)^L+AZqkZ2`pRiXl
zR{DS9-8rrLorRBYE}y;R_P-~~?SCGx|GnVP<@&!T@7Mftycz%ZZ2kTEU)J(d{$95K
z|NDI1r}Fy$pZO~$o#gkb`*oSW>Cyh051ak}KfOHv@9X9DzpMBE_@e*kkiLnRP5j5p
z{{J6duK#1173X0S{Kz!7OMJ=-^I6+7yYE*Se$43%zq(B9LiE0SRiV<S?L3{bk}Fj&
zAG`9o^ZnEnbMO19%+o&k?zg&Bc>VU3S8vy^`JQ)q*CLty>%Qq-ZLf?=+`I5&zIKe1
z%rnuwqB74?bFZ`u-kM?Evz{+_Rau?4>@t(x@8vV+Sf7!9ReQ@Mw@oV6w_s0vaL`P<
zd&Q@gn{RnvE~>}f_kGemuD<IYp^;%h!Jj)_9)}C}Zkk=Hdh1{J!lK)+r1k{sOwamP
z@4ax-v-e`BQ)kuNhg|!!H)&_gXMU&Dv-htXzFt-=TfFY&z0;lIr?pCEm%KFRUfO@^
z)Cb$Ex~Z#r^Iw^z%>Kc?>)a}S?dUD*R;<eTC>WKw<g|R_%cx@8Z}M?odlqbO-skn|
z9LKi0(D47Bsoke;rRkpfSh8>l|F@p5bur&xY+fZ-zjxv5pR!xCD=#eO+$;L!Mfa^0
zvp@dU+EcXku(Vd&r#1G?N4%d-^_?AdEp!`4-w);3NWB$X)+q(lyS}|>B08t#dh^)@
z`{RT6WJcwiR_cDtT59$9>D0B}UaPfUm243e^ettu3pu+cXW@}uU1C2jn+x@7M_&oM
zV#5Fa+Ln2%%GU(dx3A)H%3QW>Rr6Z=;E5|dLUT0&b+aRb`Zk3Naekfo{=~CYhoizm
zLqF`A^r`=X)ATH@O|~8JVS6ua@(mR|we?S-@6Y49UpzBcYOT$TU2VQvG$VGg_N7AG
zP>q*t|J@!RIc;@p*Q<W5tSqh5+5xK`os!!cRQm9^>dJ)Q?FY-OZv3lnEUJlF`2FAB
zaN*8775P6W*B@EAe4ek()Faxj;@gg$SDmMqSm9S+uxEiiOWYNCA<n-K7fzIW5~uxV
zpWd$u&-#!@?Z2Goa@m@Q{)x3^yK34UA6AvAYInhTW97_*AELTjj{g3Y6ngCbwdrx+
zR{1~5z1q05iSNtxE>~NHy4bgoVfBs6zqqT`1%?OQWV&#a|Kk?Du-cCDFR8I#6E6p~
z{`0uLcKcPyga1#(|Kh2ibN|VY$!k<46n+F>HT>xmtJ!Bab*=Z?xkvu%<rx2&f5`0k
zf88AJKl`VDx-Il}o|cHsmU^%Lm8<wem%e|sc7bx;0_Obg?_Z9q{|LSM_vt|az3{!C
zr0c7Crc9ZBT_teR{S=L}`9)KnnzNqzJX=Wgr!T+n=h=3%Sd|05p6xGr`|8sAkanH*
zNAzDNr3%%H)flO4T|Y^+d3M9Cgp9d&AFMc=$Fb#Po=44;yB|IjZZK!(wqv#9u~S{u
z<j;S$*<W6_>Ac9Q=JO^|Z1W|zR9Sv>s^dGrc{lKBz2o<q|Exz>Pk!+sWW&J=fg97W
zxC!koisso{94%8@6wOpy94+*>C|d4Uy7t2tQ|=19m~(f+i%EAsTrl1JaB*~*a_hUB
zy(U#D=0-0huS5j@<7Bg0`uP72rrgr>ZAYVDEzacHzu5lL4_nr+8~!qvhG~CnKd(M7
z>~GB*O%<uuXDT)I%k)#PzPdh3CC*Guc1{R;&9Y6dv7B+|^QJuNb?bb-YSn4G5a-GT
z%N*7JJ}d5AzN#?h`r@b$oBn<HxW{V8zDHMet!7N~vt7Eb^^Huke@K41dFWL4FDLR_
z)2GJ!TK(wnJhJ&!aO2@!hpw4cxW(StDq3ad_2@kJZ65ou#cJE<9$8+0l<9E2o|whI
zbrAwTekVCTQa{?%{y#|P$B*?#ow)3E#V-A5Pf~2I*Ks-gQJnR_<R8nE8XwN@X6^eQ
zro-`PO~iyB(~}M!KHtqM|9@|U$HVyUR+E438z&sxFS<zZ$7v=3&p*{04}7ra*6ZAL
zXj}XLkQ?7VhPS>I`L}Ds+++7ewi(y|xIKOIRJPBT7A)^lZ9C|{cT$C6yjPOXjuQrE
zF;67K^|*TY#Z}c>y5-c`y6x0jyET3I#4pbf(n~Wi6;VDuK_EmuCu+wD1KXG<GX(Xx
z&hUt<rnPp<rL}k4J!|RKJi{w~d4{-Nnt{E@;sX~1LY5ag?l`f*Am&Mgh#r>?pSWuM
zw3cqUX>Hwh(^|VVb@;_EM+oYrZ7>p9d}M>b6wftW;*w$Q-7?vSTpPDOTy$ht!NtSB
z3N9XRD==*BeV8OQ`_NGyv-YGf1~x2vRkpQs^F$wZ?aO_*=)kvvz$Et_FA~#tyhsk;
zG2_s?0>fV0he>SJ&W67v1e@xFejjpe`}<Joczt6*;Ddw}%S7DTyfS;ZrW#4C{meM`
z`YIv5m$Th-eO3Q8|N0a0G}`9J6M=)v8+hzg_dK}F9cClHI>lRmLr773$%mP4Zf7sQ
zlKZku>lM$-?N>@J|5CUAzvbKg|35D)IP*_)Jpba&)?Fd7v#eV6)0W&1-rjmGbZV;T
z*@f?Ye#%DI)c-2(sgJrV>Gk3GdDfc`Hvei)pX}85{A>G}XKiwEA=*86tTy_Y`=)Q$
z6?0u{24{0>^4wkKp1I#A2581^XjsGjE`I-dUB|$?+b``}5XR+bYr5;+n?>px+pk%R
z@qbR=wad#SD3|9NYyG0rYXg?s-+H7fTC4dlMAR#?AYA8Zg=>91$DUR8DHBYmRUMSl
z-~NYZ)!ML&uQzr5vtOCfbah4Fy~}0tz99k!cbQ24eV^fNSGBk8lJ?aF^P*z&HGT>0
zP5x-Gwxo1wh3|Yt+1izQ+YiOR<llNM@Z$7^KBA{2?p=_V$$!N!m?D`fl>eGve>W4a
z>;0JLTW`MjALS~%Cb#s9W^VoWkL&!FcVGO!ZrhW^|CzVOaOsvczxo%q*2szb-ma`a
zOI}<mWMB6`sN`kp`l7$r7kTT~FkkoYURg8K>(xGaJ);@{y`nz~F(N+_KXOz(T+{b~
z|EThduK@)u^*X!0e2U!p&r?5QbC*?$QAkq4LLqkksVpt;X8f7?$K!k93!iNlYwIH$
zzkj*->GcPODX(XI%Ko@Ze(IGe#x?%tODgJCec3nl=F)zz-%X-DUZ?9dcL#Ay)0z8a
zNnph0k}&NFe-<tEPMp0u#Pszkz35%GUw2uR6i$_w$$lFA>&C$#dyR0BY092cuZwZ{
zJl)pH6#g@Jp+d+k*{Jhh_|~WYdck5+vEpJ#e*KGIvtMr8dg%U({%t=}?@InuXLn3j
z`B>tpU3S6v;Hu}&TZ1dhQX|fNT>Z=W!SyfgJYuy^<?5X0w%rMCTVSdC<&#?V%KvMv
zVh=jA*RK41>)m7X(8tZk`EPpv{k}!Der39p=(Vug()rKC!vAvJj?oqWblXYw*U#LQ
zLht`<TQ0QzPyM#_m-P1PTzFn|BKTLp&+g~RdvgM~_2&AiY&vhlWV@Z=w{Gv%LkSTZ
z_5^5ec<5+b#c8Ir{pb~6)!j$0@~ZAuy>eD%w`yqH^t+!zio`<}UEY=8tX^`k&F^Uw
z@7yD-(pI(HW+jira$Zc)y>a2>tUSj$$8Vdj3v<{n<UI6$zU4-}`U<v%VS2wRPwjuf
zx^SP$Ew*gcxi?s)t(KnFzmp~+mS@b(y*;wE_4b=XhjRTAADWp*bB4c++MpP+NG!)=
z>J(P#d0xkxc;i)*4!U_QO*rTlr5b<G&24GyuG7&EFaP<K8|iRmZ*ir=tw-ChYM!!7
z;kxz1-EpJA>AwqSDK@qHI=-x*oDlha|EANsKKk#^(tBO^&+k$1iN`DM2>i&;SB>ko
znZotz_kE8&Q|b*wIsRX>*roL6{d?7WtG@5h3V&<=n%PZ0iuLQC_|Wt1qN2LmS)Z1_
zjPE{uLbU2<c<=v(v&3vJaeez?zv}MQgUM?b{CHpWQo`oaq5r`%{ZD_Yv3)x~C{(<D
zUOMYN`Ap~8dloj!$?pt(K5O|EuI(SDXdA4*^ml#u-0b;PrV~%tedapw>dvjt_N!km
z`tV2ldO+=&L%|yk{FT4Tv_nj``N=A$@JS&ja~2*5(VFdjsA=h|wt&u+F54m=Y4z()
zb204YowhpKN!5Sp+M|=!Z@QMGxpdOCB+a!&*OD~r7YAK)N{CTi!@5MwaksPTvP)N!
zI>k3%O?bFVKV*`YweB>VsdL1<>kQ3##n!hwE!`6JK-^nWMw3mgU`t0!U0R@yp^Uzi
zs-FnAoSn8<%^V%EAd66)C55{pPCW40_b#h8*h*T<utx6G=Bu67;(A7N_{A;vafq|(
zwR8)KA9m%hpMJ<ye){2~BI{bZGh=wgjdzH3O?+I%v8uR2IVNR?q+U^tq#oBDE^*bo
z_HMa-T;jENIK_i^Nb4;t)Q>sy;B`O`)BTnOR&&1}c5P#SsC0b$!$k+mA1*p_xZvX9
z#RV6SKQ1tARezWy^>!YgsBy;s5<|C6KE)Mcn`95Ww)s6&a<6}05V*j5hsH(Im@gM}
zW4>IpjqzFNzGH^l`2xe{xP=n7U-%riPIxP<XY_?v-11jzH`Cw4uAPhz7qvG&T-5LQ
zFo~=A@KKYtmTpNN-lH#)BRE#IzWGovG0|nm2}7e85ed;%nynWX21zA5E#*08x3<0D
z^BbqKm;mpG&o26%ecD@8Z(qaFV$Xl-AiqM>r~die*H+gr&$B#uUWw_W{*;xk)azrv
zz4Wh_(us*bp&v6pT-x_^w$_I!bsVeeFD(k3I!}Jyxx9{qsnJWL|J!a2Tx)w?s{79K
zDKWn%&#&u>_fVPl^M|_qZ;`#{ZNG*s^33%0{A@LM?@H<Je=EFJDSEy1>AhONU3mS~
z>yxv7OlVly=)$zFO@y;WDn?~O){H>MLeu1cT>-)DOTA6o19mM}6ZZOkb&b<YP1C&r
zRZ+esQ|1L4^DW%&xr)*Cr4O(5BIR2Kwz?A<R=#Xzi8*VeB9LXK)EKf^Oms?=*u;Ze
zrp*DnLWFsjzW&*9sn#?%u*&Of-jsP^^^+BrreBGXyyk7c?xOswQ*}98wAa6GkAB#v
z@xrq-U!5`dd!<l8>3Q|WfbaD}9#gpk9ACQUOj$R7Yv8VsnY>G@wT>?=iBGkjGB2o;
zf9dj2E|-^*Q+Wh;?b>vHf>kgl-$lV+r}jB9FWbZ~R4}!Dg2Rd_Ei6H*>LCF!Pa~)3
zC7i3DSQ)$OO!p<*sZD{q))yIi`LA5kbLp~{_2Mr*UY93ih`d-je~U<I*3BP59v`L}
z{9Y=ra*w}d)1+&uS*D?}(pLiK6g@j)A@OYAm%~T&cgc9Z>Dp}Yre|}(n~u#KB~_Nk
zlrDZuEpNW^vNv<nftwQTM`lX2w_i5olmDG0qxq({a7q0}^<xtc=5;T&Ftd)RT4UjL
zJ9?L`N!<QzbM{;~u~$6(;ST9^!5zZs6MtweJ1OIzB*WC7EThHQSy)ja@%Y2X*dFE9
z{A8I-n~uVi557kNqwikY;W254?Bjr+aZ7H$ww$y>Bt3D4WctGyg6V=Y<kBb35WB3f
z^;=IN$DN+S71AC(^@k6Cm1ytJHtZ8wcg*6_4xz^Z+qt?ITX6gI78>|$EUC^AlMqc$
zl#ojgJiWo9`@jo}?jzr3uoe1r6>|9W6ngk{6jn&cKmK5-cWmOJ8xrkN{l_efBtBmH
zF8p3hCaX6yM#;0J_S{j)UAEsHAK6;_FWP_mfWd*>2NEyLICzSrRqA(HNFTg-_vF<_
zM^CC&S?z3(^Lw{=`{d;pj-R~z;`I~BFUpmLQ_9PV+Wb=3+ueSYpSR-Wxxa%~=KEc?
zM)thsBiqmKk~(<t;!Tf6K0m#S_fFbwDK3)tpYw6)hZ`p^S3G$lX;Wi1|Jn4=+8JxS
zlX4Z`^Ru*>E>qZWOGG8Cm37&xi26(}9&I1Tgq@lmI@K!+A1z%IusZC@s!2-)TN6E9
z1S4buRm3E__|g(x5+eixS)RFzbXK-9%$~L-A?xSm?@uQ@&`kX7y?;i9wRVWv+O(G+
zUU)uw#$9&m!&C14Q!0+TP2TbT5D$OMjK|U9Vn5CaN*>o`7v1r8fmV1~0Drm6mE*DX
z?7k1@H81<PwRu&~)Hi*%PIbwLn*9zG;*Xv2P_WgTyV|}iu}wWBW#_@^F1y9whUiX-
zTe9lhMU`(8+|MPp?bSQ8@q8=mH|s~wUOecCUa+{?tE4D^f3EBmcX4*#g{zyFT|Db}
z_0YH0@51?#TUJ$;zP{D<OgG#9;JoMez4t`Qn_aE1kKOS7XSr~G%gkBlu1sBCAN=qF
z_t%M53*6e{PuRDdT=?mf(BEn<caOObUvU3i?$<W&NTifOjURJgv5A0%KXa#k#)F4U
zlDfwYgTyWTUJ0pt+&!GW<lSSHmCFmdu3Wb8eI+?(<@)KbHWy61BB^)vJ;z%0jSB=h
zCEPAJto6!JFsSch6Iz`4AkjxzE2{5wiZ;u-3A>Jj27TYqvF849ry&1CyOqlgr(ZFi
zas9>IBd?d;3z|D`nrF{efwn>xP2O*k`HDQkuVnY{a``WIcHeW&xrzTjU*zNeqLOx@
zAyJLjBJH9>f*G&MvkM0f#~4NRF)z_8m~cf^ZQ`!>lS|$ubFEx%P(Ss`<r!|TBz@L{
z9BI&hMe^Boup^t;c(gMQ7{0L-;^0}{c!Wc$LgJ^4sP1v5O`=TPp0TQ{m%K|fT=_e~
z>6NCA>Q|F#6RX%x&+qS>l=auzBkNC3Ri7HqV$L9`H^#@DB#zmAPg_(tBPD;u<3)d?
zE;`*c){aW>UL+S#?o-cdrhd;ufv0Kq`yVeFul8==<=cHrMJ<Bk@R8?A<xg!qABBC1
zo9C_--(MENSLm}z#xr44tB21<0sdg!BgfOHaa_qg?{w+MDJP>He`}r`S@CZD%7bU0
zD`y7yx^CXoHR(aTPpx5*A#0K5GS|-`YuO_MCcO5kH!SkoyhFtJ)!Xa4>cggbZIYi6
znZMsb|ENyZ{;srxb)shtX5U)BC{pv;9*Lc8cIz}elk^rEoly?y``MzkLE)CZeE5{Q
zn1$EoE;+ZM<BFx&<Xs1Zf~H4!y^@<YaaaG-CG!q-i7SV9o(kN&_=Ve-Und3IUSC`|
zN!@eKcEz^hX}>JiMRptr<({}BNl{L(KDwjdTWr!HS8mV8O|2;(TuVe&?)2(ibbda6
z{DMRL)1-Dj%?K6x-TT_SNs@2xjQRxUhbn%SmWMOdpIbbB!jwKY=25Zw_YDUlBHb*G
zCx*Xf?c1^8NTB$)f-|kPGUX3N{B{&b&EHwTrY^g~S4dj+?xnWG%iQ1MuJ(H!x3WAe
zp<e%7;_Z{HyAPiTDaSr6&M8Z5)Ya43c(v6wr#MmmcC1CKtlpese&Xj6#9NE|d9Oss
zJv_v7cx9Y!!q%w5qOEC#MSY!qdIl#?s;XJ<Y}Z`(Y-P`_R@Sy%8;%Hwe>1qzTFX=P
zP=sw)ffRF$MROtFn)*F25Aj@<*}LlaCtme*iw95YW!UG&%>C-7x9(h(vilF2%du;P
zXFNP4WE^|ru#WgQ!`rRFGaetxj46J|vrd}-rwO0!6YFf7C)x)R<`$}*`qCfdbxK<+
zdP+i-vUIRy-r^IC#cOu?=5qSEZ*CD-ll<auPwD-jIa}UGuln}(tB=XDKh7t$d{&F+
z&)a&?rD-agzfS$?4K|6%xf{+zY|{*ji;7%ryioX9R1EXqC%$KAd%t=s$k2AQvtUyw
zi|3h50&O$J4jCSHVp$g5am0i>u-n)wqtDeO;B}ppht7h=_Mavn4ExzM&n$J6D$ba2
zFh^)bWv)1{MA@X;Mb9^tiXGZ;&B@|gM8|<F?uikpigIC>9E;!7FK9eaCgqW{xUqe$
z$%l=dY??Vs9Hpc)CLH|rYk`S`wsyt=gAH}_Srj_u#10vpsIZGtkzv+#NoZ*G__AGq
z|8LLntE`6%8}fP`>ZLrsEN*PyXY%1<JDcX0WsXvNGbT9t3$55#)WOCReq!JC<fm&|
z4Rp2&^vz7+f4$M@w3EuSi29CG&rfV#bnH~>E>ouI8486H+2r&t95^m+;_=Xi_mYKs
zGmo8r%X~u?g&!vyq;#jpZ&7IbDz@a@Gb`z!&k~JZn~z5&JM*m8^^nb;%4@jBWL|9M
zlKHb%E6c7gSLS6nZ20)0i=fKI_pGxFzHArhvz~T~<y}<A5nJw$A53LUjt5HBuefT@
ztHO8rz+pwHA1_k>Y*@!|MaH4o<ktm-=6xyw>7S%OJ$PkvPyM&cdB(RV_woNbb<D}a
zDyqXloqOVo97VZZ7uG(kaM<qlAX>_!#+{j;Kl8z3LEfMWpJtPJ7ZjSyzTOU$>Y2LE
zB;iHaet)J3N8}!Fte$A_W}84?teDb<%TDzwWf2|iZ;$o6aJ4M9zAM!zXENc1Co@0$
z%j6#x4$UIpE-Uobnw&_`=e!be(~Y@pZOZ%?8#f(uy7DGVQD(J{OTyAtk2M<v_)ouF
zV7cvrLxM4{irK{jhux%p+;C_XxprBhx76fB!rG6{>ls+=uLdtpoyog$&5@=nH)E2V
zvsm31)L(kD@!bu9!{K5}`buxNN#xmzM@|WwGIguY%9U&Ws&wkF?RmItYT=y?Mvbq-
z)C$j@vWeMhBp<#;r}gx)^ZusX^Fm{~;x_D@KR@hY*T>Cslro!bzk06xcQH%!Ta<_N
z8{@irOFcfAJb6?+v7LXa?!PsQ)|l;oHeafC*Oa4ciz~`Z>MeEuocZRoCA4+^%{sxo
zOkMVy|DI`+@Vg;!yzulwi*wN(2Q0ZK{<!00GiR%Se7cTG>t(S?$27T5K1lgub@HFZ
z_Z!6z?^j+f`gnY2=#E(%E}QKTJG*zY{oA#NN~N|O>~(pvaq-9E2U>SZKAHV6+Qo6|
z?}8m%+jW-k=Ba<G-!)PFVf}o?@R!%;*Mz>`kmxP_W?gvYx94X<ZzL<`ZkWDm^9SV@
zT5pO!nceWe8gtP{_H#q)mV*Z~_CI&Oa`6RA(CuAe;-!!I-OGd4`0#I2Hc7CM;#FXu
z^g>8>r{$pwSDh?&xr)z;JXF|Yx9>oql*gO}jqT?%9z0gz4YF`;Hu1Zx&}@9Mo^?5s
z?`p4Eq1znFAAdi!$z#9ja`u(RUsOu_;+E)Ln0-a{mq1nN<z+9tODeb4{Xac1qig~z
zPkZKp!VWej=S&5|Cp%9BXR}_>xvJEWV^BEr%9QZ!en}mz20XbT`yVq;I>P*D4ok<w
zXz52k`gZIyeI${_>6Eae)#J{_E6*OTYyDBbBVAFmCRZ`DCRtJPj{lYSAN#Y5Z2wKT
z@%FLQ-N*epEe{PZmNR-LZQwQJ*{ImJaGG<W%|?O7GMD~rrHl8RY)Uo=$jj=gwEBrn
za!cnvnGm2<wE6FjMJ}q_4{OX!v`{VXS&-5=v1LurgTN_g3}#KTJQlF&z>ODA#Qyy2
z@2ZjEt(Q1<xgnW}mqqQ8Ly{1$jnA^ieoYgPg|?g_`lSmPo!zAhN)|Obq)T~}ENpCF
zXY%1<FPmmbf85{J`@5xNe7|t*FW;&$>#|t=`>bWWhG#Y?_Pw0uT&S}}ppjKf$?(3D
z&6&*t^2NF;t(Ibwj^%KlOb9a(KKIY-+Lq^^>hFi%+*9ux{r7sRI#>P2si6|v_T*^E
zL@Re4*w&%6@$b_=xfZLptjrC*>~!`1XGyR07mlxF?s~>9kY4FsQoGFa(bJ}LC6oL1
zicFN?+aoj4LeI3zgX!~Py-t0uNEg3T+gE=!R!Ml_!raGdA~3_7xzjT3_80k&vL?61
z{`fAG-1<*?uW{P#`Y-X{*7odD@td8S+`8?o8S^RTwwWdmW;il8zBHLIBWqjl>cesd
zw~t+AygoCqxOBzVK+go<kVzJq+j_-snH}ZP;FnOl+>k89dqu^cxsx;Pc8k@Uu+6zn
zvIR3Gx7J-h6Z&}%XXymh!0AVXHs>z7u<c`-L*)U3J~o-;Oa+5BHlg~(XSco755AeQ
z&36^^JM+cUUIolPv(2+~LT%vmBVwC#H(l5k2+~sUwpt}%t|xQjX_E;%zSt_u`OB8g
zy=mqzThK1K^`G#Kw`sR+N+;Y6^h|2KX}12twr;SdMmCxF3l0x#cva5L%>8^d(SKI%
z=c|m<X9d2#F1xnRD=T1jz3qe5uddI?{mh+foy<0coo9K*fx<~_O!F@~Jlb({+Z3xe
zHpWM$SUtG2;>g9LZyKjqo!GL%`)*R4QQvH>EpKN?2FMx6EM+_{D8(VOtnrBA=G@HE
z8=upzWR@N{4I)=844i)8?h5au88^3;EX<WX`*9jOk8H+)i}To+{LgHA+E{-id2_C0
z>5au{S0rl}&InAuvQTd3^%S@GAA!?X-P@yP+`7%y2ox#pCIPEcr8w>^Z#-gtpF7>-
z*R|UFC$@Z<`@2p#_@RWzM~;~O3Kc(znPDH-{r&n~W9~z_mBn{dOB=11=zW}8xNXO|
zEoM^b-LHLK_Z7<RIJYIwMYS<I&~s7!+(Ok)Ny|!azj(xyu)~$PZ?=iR4oBwB*J-!E
zyu6jL&3FF#=(H=pT56MHI%DQ<yd60G$n|4WPjo+j<88d)k!Q67b6<X@!i94Zb*u~4
ziEqxex_m2Xn{Rql``Hzx%S;Vk30X*$dfqX;9d>4m-|UHE#%nj5Joqt(jVU}s!SL(}
zw;lE8wy4bxeC_kP*f?u$=GX3BEO|4R2c<{dpOvef7Pa}zIlZ)~&lv{{=d&?!XDVzw
zku&?;mRmD<FU}0B->hhLIZJ*ji=IpQlpFbd+mn`EJ<79UM&{RrjPCJL9A6eUy3~Ul
zRFzS-|J;^yvp}{uUQsQudNs)+XWQBtnY;$fTJ>pB%$W<)QlvO|mNgy`0IA=V?zYx=
zZF1TbtINBDOF3nFc7<$82CFw^)=G;yoN>T#4jYqlhJxWGkZamzgwH;=WuM97YcsDs
zSmAy5<|4hROTez*dxmqtjLfgg7~SQiIKC`xbg?g<cV%XnKFFuFsUS<L1M58>yk4R5
zvGwM*q-9s{gPhO1pmElWOa+4uHlgOT+g|#t-h9(+Zsu9D#n)zrEnnfC;CDr}@M2E1
z;bo~LwvaPh+AcLDOYpL^U3N%nnV9+V_H9<>?n~v{W8K7-=I2=}cOB^KP%=DyVoO)~
zwux?SA$vCVDwXzKT(VB=<`O-_YZmp<PU%srdv-d$@X78flxsP+Wtw{|)8oZ@ox#U$
z3LW*yo^$ai>j8s#Y%;<b3I-F|g#723J-RsaS`x?;xdtFlryrZDQL=2S=Br6J{%k6|
zleFyXjt^RS%my}#7>_$kan!goPt}`|dwKdJLF24i-FfD-SL+`u4)jb|dDE=cvR=;U
zeDuevngq6xJqqtHJ1kvm5+E$jtMYDU?&X=+(m|1MD;pG4!hzEdyj$U&G#eCBrPo0r
zwbgk2hNNXz=dm%#W++^|D3fn>`BoN4tu0uaSSjb5u3akEW`k1F+%pYbGsDuQ3Q86>
zI)qDklo;P$X1rECtsWH1U`>6`rmi+#J3Dat5sBhGqQ-0Ar8yk+S<TLCQFX~7L6lb|
zY&OV648~b=Gi8lH{+_r(rNBnZv&0aTxUbD%6g6JE)#O2hJ9Fb#lL<ExK)E1()^p$V
zsOM)^lrH-kdPVhv>lM|D$3by-D+3gFW)~aklZ|;<wq0;YS`CWjuO{bbpWC80Gw`*~
zYUeAe1%|ICNhE-hUam1H>D|seu(6qq$vRVE<7rUR+h=^f<J^{WGXh`xWG93OSx8P*
zu`>p_rffFIHMS-XZg?{{)|v!(yD4A3w7o&@*m=gUvJbXRbbTp(;B1Fdf%2kykDBC^
zsG~RkH%wF%RsY|-<eXvW6;`#$yN-xF&hea^8Ea@2`^sna`of!@b2GnodNJ)?Jn4wW
z<D8R8%S^9=%8VIq%zZCS1ZFrgcg{?^U9!yd4#;IyAUCb94iS1GHdVz990Qv{F>ozM
zs^HAR#se`@9%sNYP=5}jY3n_SilFo;=|z(c99yAs@hT{YX61u|NbEvGvLY{w*hPn=
zjW^9~&s5FKz5F<5v*cE}jMs}M9k{hZ<>Kv}=)yI+hRj-g+m@O<h;U<Wd}=ZwA`uk+
ze?b{2d#U8sb6eEhVwp}a(%X6lTo#4R0tJxe`APK+$$Gpj&n`M7{oCwjV|7_71(blY
zK?!J=&{P&Zch$~$X}7y(USl#|khE;;1U8xO422Cd*o3^#Y<uaGJp&YLwRs>tn*%*#
zWiHC9x`Fe)_t}Q7nb(Y@R;U{AvV>i5NLmU~*=yi@W#+XVE0&1u5A^gs7U=0)e;91K
z7TEMzY%<vy3)(DsRj%1e@4Ni!;kC0nl3!meyR$?3^}#ZoMI17{8bWt_t;-6RtgWAR
z>1x-!E0$)Hc17KuyesN~wC<(mHS;ej*&gGQy7)@5Okoknn|=);=N>W9<JSe0Cq4Qg
z<@HMDut#iy#Y*oUgQz9#*W4Dn)>oyxHC}X;IXmA)@P=@pN|}_dR^O_LZYoC|O}nmG
zx=r47Kq6?mMD`XB{uQil-CruKQe0NIUp~~~epgAkYnM4l`O-o+m7@zy=3TjZLAA88
zbBUhe$&86{8+c5UF2A07*sc3Z(NhnXz|(tA#0f3u+P`mJ=qKG-IW9plt9%qo>-$zN
z(KG11qPk34_tNPp8{KlMrf#xYR1jkjwdA_SY9+bOZny3(?J1IODo0sQ`2;<m;rfbc
zvuEt1FPnefXSiPV;oR&yzgMrCWRcv?lRp3Kf7XE76=E!WrwXn*TCTHPaiEla>W+sC
z<nnGwANjabmTS&t=g!Y%FCKDRe<?i37rY~*zCAN$(_tRH=)~D7`?ff@MPK`Oxjtm~
zSx3oj)*8q8*hO!=Tp+ajjbibgZO)DB-vm7Bldam3-EQ~pW+GSo?L?{QHwMjXzb3xp
z4aqWjx6QfD_UrHU43jNhE#NsWGv)D)X0AB}0iEY%t{nEPZoabi79Z=kn+uQBS$`=w
zz*j4?<*-OS-_1m+_O}Mj%3&+q9&1{s-1ytG_Wzd*n@0<H++{;jSMzBV+;LR(6S=1s
z)?f5yqjTflGL4Pr`E1{8ca~>=W6;`MHshG0^_d4BjDOl6;Ysjq_&j;dt~&9(P9Oec
zhSz-5{%LQrVpU#P<g)#~J4<6%*2Qf5oH@(j%?5$Kw_-{g&el7rY_psD|8}ndXWjk!
zZeGKjZHj&8=WSHj`fbBo)r}L~em%V9xy$MAgtt?83v)JvY82esHvNdC)XV1yuU~RC
z-(R*}v2UxHO2M@<oles)ala;B&5}-vNOjgKT-d)<K6UMux2hYP+^Tl&zSAZ#?WVx-
zAZei=ciL3WUGi8Tc>adK;rdMJM;58hOzOEFcUNXC5uc`>)A4WzZ{eK9{#|j!a+}|(
z7EW=iGOak$c17t_n+4y^2?rddCs{Zwe(-(8%d(eS?}(cn*?2!};en*vaj*Iu-Y>l#
zTb=8%)XD6~#umG2ag*L|d%JX#e^K4l&DSfq6D86WWs-GW5_(!adeoY^>t<KfPvJG}
z*`jC~cCwG7?sP><u72l3lS{USXWAs%ZV9-{OAAG~xv!{Bw(R|4b8q^(fb)<4=5#FH
z&&$cPL9w&Z<kIIK3erguInFZ9IUWg}yeljJNWNNiaqh+$d*+qI>ueI}YZX)4aKK6B
z*<_dRhi$kMXJjkN6zjMoY-{y6qtv-?YW>+Q0&S9FhYasJu`G-1I1)1H#qJv-+=(-C
z6lEssxFjrU_3%;X+}C(_qd?nw9T(kIx-Ji<wR+Te3e~>fm8r;MuG8{(S1U)%W`WMt
zDi^n})fPKcaMQ^`FS6r+EBC}54nnoZe;sm?I2X-v+^Z<(_3f*cr|pEy=bxH9?W{eQ
z-Rjfz+V+<g*9ASaESTZpQ)l^q*|Mf}eYRhpX4tOPUZr&^D^x40<CKcbYF(Fv-d2w_
zx@%0Y{{OX4J}Omp<L(b<tG{X<UU%rant(~Cy55-&H(D*_c5XP}?4BZHEgO>1-t3iA
z9>9NF=F0IfcHhMA=4BgiIvQt8U$ATOhuCTNC+-dXp;v!8Uo+;9+8K7eGX?Xzbhcme
zdnC=C`eW7_EBDDe9v<Sk9y{alEN<?YQkP?e=N(z!S*<uC%l`Gl)aGA1-Y(F*Q*^;(
zU&#fYynQq3=S*6@aQYK_y**i{8V+VZloO3JnwZ=we)@6H!`|(#AyRv+<;1z;y{0%H
ze7#}v4u@A+jX@R8>*^P(8%|^6NzOQ6IE#&GafX87BsMv>2^WjzsFyZsE?Kv%FzCBM
z=apI?m9HXd6RM;hd)hV^e*GF$E7msI>hOkNvI|S6?z!Bske}D0>axQEabA_GO9!0!
zjiUM%F3~e+xuVK8c~`sRl6T1pE0-HIT)F(h|CQty?L8eE7d+p`xp{YMy+MwWN9Km}
zZrq7C0^a}lHFcM#jZV$##EA4C>ppDLclJ+mdU$saTY`i?b6=r}fP^=5r=iIQgIyo)
zwk00;sC$#Ek$s6?;ruJMHO{Z>_Njdpc{j02s@&7Ix%2B|{j2GTA}fu1*4!72@=s#m
zmH2hJVX-_f%dblgi}-nMzNjsJDpBwFis`UtY?8#vY6-7bc5M@?xSBm}dmWd|J9yyG
z>|NYd?`9iRM{*pVeCT$2*AkN(%VjtAtMR<$ju)M-d{a8BJ!Ai~{hXg2N+zAI7k+l|
zkac9S!j7M1J#srfgkAL$J9J^^2iID`Nk^ollOnQL_Al;k<tW)I(3vOpXyNYGA1@LW
z>ovb@nP3tY>A|*ovAWvtS650~^ADXly)gffjrd%L&FdYG-Z}K0zxB%_^Tc!7duH4|
zuyjUz{{CwZ^AE)YfXA$zvi3hRPt;rV?ehJWoj+Pnn2OX0KNE6Fjqq9_bDASGq4J^j
z67H;(|J2TiE;+Yh(iO|FiMtMD1x=4|ekFHp(ysdc(k1f_{W`?`=yTP--kjHqulqmj
z&5>T@y!40Lwz+0Y!uC%4WnmW8aX^iG;*A_dxor_0QK?ZLT(@;pdf$poI@tF@<J7*g
z-13LjtFpT6=bqJdUmX(lxr^EOi^iXVX@@*{N{ZSX{mvQQI2l^^;)#%R?8D+OC5cn-
z@7my!FaGVq@%q-<FQpGfe(l`g6%cFD%*b~~tus;YjKQ6YtAB+nJ+rVpoTL7H#?vQE
z#&ctm+O%t?-q^9>fQNY4cWd!)8!oig>J&T_dA7Si>T`@m^G=a-wqgOvrMtL|eHK@3
zZMgdB&dIA<cTZkTx_k2Kth*;wckMd#e8#IoS$p3d%DVaPP}bLXhw8Hg-yh1-eSav+
z^ZlW$)b|In)|3?{PF1q13hlqzuD^Rnl%>_qsMVG`qc&UajM}~T4afY&lUJ8A$;L)3
zYUZxacz?+5*3JSh|Cou(Ki+6HuJ}^)PcZJ=^oFf-=FguT5ndq^Ki_Cgy;{7f<K!C;
z5Aj&X&Pbll&23YZ*m+#<&BM;c^|3P2G9d|zo4s<10{CakUO6tt?wh!}dD+IZj>b3g
zmS?@#ru`==t#;M5+2^Bn2l3yL(>rtFa;s(4&J7Ov;?rI{=9sg%xp|f1>jgq{Wv)1<
zvwyvK)G_$Qs|A@Q#TR&X37gnEs$abBDEUh;HgbVyj2fE{qj5}OV&7^#or1His_W`^
zZ)lf3a&-1_nZL4Y#Uvgq5Mq|Sa#(@=tKkjD;2jS-EPK28W*t<u4sHIrtl6k0^SzgC
z#QWU_hndC0zDI!O^jcNrteBqfc)EaTyKKlKZT7DP#~o|$lwJ^dXPF@tZ=Jz*-{!O9
zqrI1A@z;l3U$ZSYw)@qrTk=;!OmtWOGKdVX5B*!{%6n~3lIfPrn?aJ(Zt&=YACB6s
z7=8Fsc+>n%PkEj_44<I0`@UDxQ~q6DDL4OyNi%L;mnWS2*$AY_YPMqikEdnM+uFXa
zXW^eRW#J~*+}Lidu&9eVor~AA_y_oGUAJ|W@a|4oi9SK=4R83YJ7q2Ugsnfk;k$iS
zHfxh&{amB+6RU2@nh9AO%uy*j(Yj^kjmF2uN$oKn(H*iZegf7VZ}@Ka$VP>4>=JwG
z`Np*D#LAnpeFD}7ZxqT-Y<+03vDxcbhip;q4fi(+8`^IuUT^#RjL-DugtD2|DOca{
zv3JN?Y!kA6;$-FVMqxwe4Mp)w3&Z1lY=VDzo>rOmFSWj`JJB_-JGnH^J0UjDJ9WlR
z-KoCMw$+?DmAlm7w|>0eo(0=pd9BKt9P#D(QK7?+0y>jDSEy_-37Hfjrd1gsr}Z;J
zP;2RiPv<9QEb(4?H8*NXsLIAq$J-8UI3*IY*vB!USJPvSDJ%bLpM%G%f;|!s_s9G1
zi4u7g_2Ryq%}?>K^)8K%zq-ore$}m+^QYUb^ZAw)lUAR#KbLQuqnWchd*KG%4Qn^(
zZrr=!ZK8YP?c?VTm2vH^`(xc1ub+Ku&AK&<TytG}t;%xe>V<_}pE_k~=$5?L?}3}s
zQm>wkUggObzwguPPJX3lW^1!Qo%=NDmQZQ)|6PoFZTV6U9__f#Fg+@w-u%GQpgrMr
zsvm2&cRx<s<N3gCkLRPXJtq&pt(e@u{*kZdolf(mh35CB9JJkgjemC3^r>4CN>f#J
zL)!uy*ZE%AyWLK2z2<L$yR-JpuUKujdSymzVD~%U6II!_Rs_9{`jW9oZN;x0rLLk?
zm#%L67npiE>dT_<t3w}t*|y-5*O!e8>$B!;UGQve;qr~Aw!K<xrW<-b^g&_rqQ*TJ
z3jL*oWsh%`z229lc)m2oebwWNg^zayeEhWFvDM|PY1Zq6_s)N}gHLRU)w;dBp~<JR
zO@d^<cf7Kg_kKrv$nj36<F)Rkb54}q+1}eJ))jNycERhj<#+xbbJ?TxVn_aqtj)6D
zP4~`!SHGiLY>Cyob-ba;Mpp}!UhEL`?dTK!*(dE6E4k@H;o%hvwKmI|PuXRXvhH!)
zg|E-7^9)LPPb_%McJXSOb)Evq35*~o?B@+l7P?w!^kPR{$nldk$G`S|@mY8Li~7FJ
z8?{Pzn*L0DBz|~J=8v$*WxCO`|3p1vFY~^fRyEDHKFQlThiCTeKUI&~H*Qn$GdXd*
zV2`6+Uo!6}PMO>Z{*OGGYvlOMPdtAd&|IS_lQTiTmznod>W2M_%X^c9omV~fJd-n_
zKhdqZM&`2g6X6-x6y_hf!uHd~Cv(F2<4@Rr2F<vl5HBSx{p56lle6FK1IOAz)F(;Y
zSCBuvsZAy<$+_M+NA8;Wk!Q)V&N-TEayxW;O?fw_ZrIg1Gji6pQ({xME}a@7mA~rP
zmFqFfo~f?Q{+hf}ZU3Afs=HV2idoAfe!NOT>}ZvRn3b*W?J3izCN*9T4*pl@tNG0Q
zr{kmA)$aGtE!+D|x-)*8l@s^IO5b}vCVbLg7cW;a+vj&e?pENY{(ANGf<;{Y8kW7s
zr_6EueSQD@3Uig|(?i)bU1CE^Z?=m*-N9`vuTk)~_T1d~?gL_~rMj!Lvznvd-ny>4
zVb3PkYkTwV-R%x2KhGq+cBO{q@n6@^K3V3l+4EG}^|Qf|7q|Gn?n;;{lC!c`MeS&n
zgxbEmxZ^GEXa9dxYm5A-)_3z`y;`H?N43tKAJzCjKk~JEc6@fw44HhdWUoD%%_}#i
zw<bH~xV~DqNA2E`4OaJ#Y}C4^vcc${%0{JoPZBHloIJj%BACnjv2UhMr+MlIi*IW<
zdivLT+$|A5I$J{Qn4E7?%$nneZ$$L>8*ZE@vg~Npr5SRsPbM6D{p`?U&|;{rU0wB?
zHtpIpYg5;(S+AtNWan&N@qhEQf4yg=eQLd5pAtK}O3OfDQ<0w3>}e~0<}ctj=E=&r
zC*m{bh=h8&#Oo(AkLSe1`uXV@9z1F5Q&J>9c}|RPrr)|WWA$+1nX9WU*>;zOU%qal
zo<8H<6B*+<F$v2id!H#P;$IwlR#@WEAtC438})|`#J?HdX$_Wmcqp@{<ROonshRN}
zrM$nM-Iq??Qu%evLc;8*g+<tL3zo2>7Aj$8X3J#`2gU{8jrl*XdQE#`Wpc5fnvLg|
z9&^Jl9p;54{k)yYk!#!&GS|2#d9FErSoTO|&7HolOD?E?i^%-a_ci6h>Ww?~*1rF_
zV#%saGO=sxw{t!Hy<^uhn@+t#v9@!CVtsyvVvS{mVx7l3Hda)Kq<_4)_M>&@b?0fP
zHcgs#GGXOK%})}B?or{>7Cp|+SC3oWt~L3E19RKA^?~gd>-~O}3ePgQvss{Tx0sT_
zl_Hr=MX^VT4Xr<3<SS}^**wAISCj`^?Td2{Gu+=V%8S~o+fu)9dTYU#4FZjMVoDdU
zI@y$L6p+8GtI|48Y|=3Y?vn`ucP*LxUlgwmx__`FRqMX2fB3RvKEgsPCOR?8^Jh*t
z#4NR>a6X%4jdRm06)Q6}MrZb-f>-t&cU`}A{p-G9JgwH^TvW$_=VD5Q=bUWjY!{Fh
z*HLNh7MpZTmHXraqq~;%GH+kTURkj-Ns%X9x8?DyR*snM0-e%gj~*^-{c$5xQS;5F
z2`1knJlLvVo(o*e_Czo1%A3X7!Hd)EV`qBBzU01sI7jNo4CiK%XO|RuWlc^bq(5Qz
zkDO3jVKH|GV?@E{S&n!1M0|T@I(df7jD3}77cm~r`DF0x+14XY64RnOypGoExFqas
z_2Ahoz<*fx$Z-yC&%`CIOAJpr8A<q-^BtF|5;x^J9?=nXDyk#uNL0rG7Ve2NG8E<3
z32t7ZX16ZFgKN63N^iH=q=T%F9;N<zW;&hEm-n##i&Iw&Z2ZI34Eya^<(^$WaGXhM
z$%YARnL3Lc*}6R!<i#!TjBI{6`?_XQ{i2Hsoz7y13NAQV@I`bS$m5>)<CK%looxd0
z+jUf0^>tNx^>tL5YoF{4F<(FV3&{Jb`aHFtZzU_r?ACQj*xl-pvsr+Deq=}gWU)zy
zG`T$=Z)r_2=zAyAc>cw?z{P1gu`{JilV6-t@w;$+dHZ9N4~0E!nleirrQ9<n9DI19
zUjJo^<F{v}YEp%t&3<Jcv6boOGv&2m?F%{({(yruh)bsLoW0bN*Iz0&2{ir<N&U67
zvOVL$Lxs?AH~G~5))j%?q08cXw)eBieG@8usn7lFMxx@YPwHCS`_wDMd2QY-d6o1_
zBU;DhfmG<sI@VWaQWZD6t{(jws2AOFKy{VT-}<EUt4%%>w65}gv3SmQfyUyH)L+|+
z^)ER*V&b)_ag=p=b$I?ZfyUsF)PuWMW-1g;W0N}<!2a>e!|=$C16iv!Jve&V<U>Id
zTc*r%zDKVQ=Wh{c<PAyvHFxgo%m<0ayq9jc$f_*m-+#!7B`j#_OYyRGiyPawns_Ah
zmI|2eHQ=eKpQ|R*tm~36q1A(@VlIb+e^_nCgN5?EmtJ_wsw{T?H!aJ@V887KfyUor
zN*{TzrdK_C?eDrQeP8<*xy%}O8JGIi>W5{yAO1My#F8i6&bjZ^=WMBp9q!F0?}FG9
ztu{!D9V)!*#8M?JD_rCMT=&(Fs=B|E*fQ@d;X72CaJTdF`g%@xb8f*GUaZTOKZ!H>
zaA7iA=9dM0N+k(%by^lLZsjOhz_(cawVug`4V`S6C3SzBk5nrP)J@F&ASzn?z{KE8
z>(A!tD;$$Ndz72CfAyb!!`D~&PJ`vyZeAhoJ8b;iciH5-@3e`C?dJJaKGm*RTEcHf
zm6k+;da{hr@+29_n3DRh+v=46D3;G*YQM|YshrpBRd$zc>ayLunlj~*UuIwT-_6UD
z9%CzdMrwMpjLh^T8LiD-g)2m~k1Z@(E8)&IJ@$FX{@{OWcAJNAJu~x&DU`N}DV45?
zDV7e}@y_T)`Az+*tC<NAKUOVX-o#m6)zh3D)#IFy)#IGx)pPjp6AAZvw&yX=L;lab
z%T%7fBJyJHgc;AxDrUSk`!VCO*%E`>sTc0^Z8)5paO2%8%~X%1*q-LZ+@9uS-yUaO
z`6L;k)k!jPVaF_tejF;j_SIZDr}+Ey17X`8le%v&xF(y|-0z#`%q1Pm_P3YE`z~AC
zy>;_GeTjT8@;Kpz#N!7q1Re`y$k#ufkRkr~!-prL+m)NW*KJ<6zjx8}-TPUpcJT_?
z-eKdvdzVfA?wvM~UAuUGMH}oZH4UzpJjN0p|LfNp{{BJ(p6<c|p58(Zp3XuKp1#5g
z36aMq9<14IZE<Y3bx6XT+X{x)az7Xx%l%+@E7v1&%Iyiq1g<L`UVl1a#pwn6cQ0B0
zx?bRyw$HB}zpR$7pZ#r}jMmciv&#(m`qT~G1uJA8PpA-i{NaPav55ymua_>~zkTU$
z?TMG-?_WBbJK@DEvx*mw%znIhW47eN$y|@ci*HXj=mAny_)Ho^RXocMk6-?^)c8ti
zD9iahZAUCD*p6GUupPBfVLN7F!_!fiQgExZ{_czX+dH4X({D6f7rbcxncgyKo1P-+
znw}ErAc==&OAPPio=9kUz3bwA;gWk-`G37`I=6G(?4Esj>yB7RtUG35vF@k^%evzh
zDtSjNZ0__FrW712z5C+5$FDng<C)U$vUTd;VdEFS!zM3&w@pNECvRp<nY59_vmJR&
z`b>W=1v=M%C~ep-Q@fVe^G$c5flOy%flO~9hfH^&hfIHAg@xeb6Azjm@8aCY^l{tn
z{Ve;6q*dPS;FWu~i`TAfC$Hw4GU-b<9-F0XxSq-}k7pMzk9utF*)OG+uN(f(W_R8%
z!S4QFqW!=IiS{FZXL#6x7U>q8Exr3feaiAXe^m=^<xa4ue{5Fq;^B_Yg1;@BmfxP>
zq`zIU_g<beTWt*6-=5FW;o4t}&%KYSJ^Quv^W5azRkA$%$uctiNitgceT5z+eVrkx
za}4`<&h1_&{a0j5^KNI>UpuWs7VW?NAaToUxrOta_zJF1IOMQhu~#s!`BZl-+h3W|
znYRyYxO4ToQ@puxvP?bC<|G-J?qnGz?<5(a=P|}XAC`Qr=}~SzAA7yG?$WM9cjK8}
z-(~CM&1-IdxbC%^edgVl>l9l%^PHt7-)ZAX+mrQAH2TJZ>A~%D<~(kg%>AaksFSmx
zQ2zH^o7P&sb(eol^*gum@JUuatDQ$8)V~*8xL7y$kX+d(k!cUN3-1?uRDV|c^y`w1
z_fBhGc@cdpLI3z0wrbWi(;M1`n-9kE8%w?UYPk2{J&|MPH)bE(!B%Z-aUnq8KPkF-
zFHg4Ao2L<X6V@O2#8#~uW^&_oVrcVTyK81QW*^$cR((0*enLK*wA3q6&zzhM(-Vcy
zZ1=kMy)7<nljWrLjLjd_Cg>W(KVQgOe=}bH>X#?k&rZvmUahyDZyiw3s%FUfQLpVJ
zZ$Y6?W`lP^7ITcy<%EAXwI4pZA(bz5LoR>P4axjZ8?^5|NiN@`*=qZ7naQ_fvyEm*
z{M4R5?X3N(HF|5cJs*8Oq3`va^RViykSzzUp3ql$?qNF3JuZGa`!DX*8xQfRhPlPf
zyuPb`+mdD5e7$RLzFu8YBK$c|?9f?LdC{M@=QD-BnbR5?vi+C`clNbiY}a$wY%|Ss
ziF2EhE+1AC6c!p76x_95Y3*6-sA*GbJFLwjf-iEdo;8EnivOzT)%+8ykL8{|Sj;AR
zPq$k1=~Z2=RUen`<;o2Ry_1u4E_~}%$NcN_*I(Ij|4)6D^In-~sW+lGZY8WgvW>0U
zHYb0>_2YeP)xkGzCge-~mVOiZ)6sdamc7M|1pUJc*{Uu1%x`EHEIAn0xtRA`mBqD$
z_5F`|zbz|Rbnu?ZH`5!l58i953a#6){9s($-HBi4ev{h%Cw8KFMW*w|Y4)#5i$Ao#
z6HC4MvdlgCGsDE+p7lbv@3OV!PAt!G;q_e8S!kfsS6HCaRmh>!Q|O`7QCKkpw76XV
z*u+D3B;2KnlVl{%l$G!DD!CK7;^xD!CqG@U9Q+X4)BHHD$N52GkMkq9p2LUFO0@Uu
zC(CHoh(Gptd|SeujbG_y=@G>ZNAJGO%D7)H@51{c{|@`a8*l8s%GI0g;<Yo|!K=BZ
zT>4VPbF-8UW}o<GbtwLRH)Z|DETzD((5TSR@KyVb7rvhT(8yVQ@tKP^HMmQRP6^5O
zZffUqyXjuNc#hNdq{y=+o+aj|cx>;vEDBr~vwHvJmCH2^cy!)f+_I##KhDjv_1;n0
zFYk}3Upy`%@oV2ri;qDb9*^Eh*DpI%pueb~MmCUTU#Eu9cjc~jcEO{^w+p)VPVSg=
z>~P1VgNx03+zP%JOD|NLe*BqVmQkfppv5iMZ$c8{fhxz`Mfio4y81f>Ra-p;ReMhg
zsy1srn<?-jKk7=tT&X~bWv(K9F9lr_+*~HkkPNgr)1_f|%x#LuF_$SOZthcf-0Elc
zPLN25N)b|4<~rQbamdhS5eHAVhLE#zSG%I%(c=#~CLK~RcHobnb-k}Ydf9|SxxpTf
zwS!MQI49j2xa^<y!5NDjr%Q^>WIFB?ED({vCDiSE@UT$uhmBu))jFqlH+8W*_Vw5H
zF=^cvk=7E`mD#p%O5#q<6-zg2a;!07?F_A7_8@Vq=8uTvmZ(+EbMvaA5|?RiIU1#W
z#psM7Pb$xApT^|9njAR>tew5f9wbiI{Ba|POY}z29Q7>$H<_Y+U7s$w-Schg%Wu5q
zs((##UP!N3k277eOYB9G+<MVvvz(&OnEqNQvzXV}@~}<tgBkf;OzOUlkEUo=Smbiq
zos;-Dz5caYuc_@?gL5Lc9<5Q_vhLvX{1@Te>8*#H|EX978#ymGzvpGLQeFLG-xbMS
zldRm$gTy6VUS&;ED&@cHdDopk$bX^w%H<ckuNc4ZEoF9hKeg^%Vy#E)-A$gccUe7S
z??$XR%vG`=rSsdAHxC0pJbT&k=CQSx-$O;!<sWBEGOqYk|4X}5ym-?rKlXOEhIzi{
zB~$x8yG1_WUaV7iP@{TB#+2F^uHNTwY^)9yY&j&b_>S20`y8whU5SZno?Xy(oB4QE
zpxBPIDXQzFQ~Aw%HucYb$k2SVc-zB_)=0OVy4%{Bbq@C!gc(2QTYP5YWex5(MyG^!
z_ik#pb-Q^yZgEUw?ZWy>g&9WQrJH6M^0&+u@tG0K;~L8`<Il0|&hSHBv-H@d+_PFe
z-FE8owMobIaVD-=cFNgp=Hm^4VirkLRQse-`H%N(>VN!jL9=Jkl!qCu6Ww;|`n8<S
zYWt%TpEobIcuk#lOj<<h6w5HF)J9Ra$fTWt-!>f6_#KfxCHO{=4tsWe-&(hsiRYtt
z8eg~B{_I9B7tijl>J_GLLfO5Wc4<qemIj-hdNnU+%2nN)8mp~01^OjM8#2tTQ{8x1
z<jT{(Vei&FX;DdY7iqH;Y)#H{5u70&sPfERgr8fftG`uHwbfNnwfCr?YO`vZ@|77T
zx>^6?r2-|Uxr+1&3%Wko+@VxhZ?vewLNu^uPM?P6oKB6*IlUT^HF;)E30;|i*)xg-
zTOZcAJp7@wh$E&$Lr7e?tKD1h=<%l=lMa3Cm~?Do$E1USn+1h}eY>L$%XDh+v@3HR
zU)Z5kV6ezTrb9#So4bhoZzV6T>az^CKE|x_mwgpmC6`S&<`aA(;ac?0=)%tz>epIy
zn&t}`9lm8=ys}kMePh=Z$*>7l$BTl*BivpId3(m{_Icbro)i?WJn72i2#;5iItz9+
zCd;OVE0@}>T<tUW%GE2*ub7Hg9OhcHJf)L&%9})G)!#RqPHN^Xdtzc1Sj4va{+YXZ
zQ`Z=6z5MgIuj9mreSyz@oYLUFV|Getd(Wo&s5#Q9Q#qbq|LhnUyZuI@MO5PQz`Snh
zhYa2uwR=LBmEF}Sjxj#P6yCe(i0I-s4>tvdtxT~vpb%`GViEOlL112Y_d|x$8}xfZ
zmwnqA_-seQl+bnAQ&i7Mr}97V+0=jU=<Li1E5B-<W4`ILcD>>XpSAIY|4yc6r62C)
zG1~ez|5?4!);)`iw%$B8F*<R3U|#pX{8p*BzP*WSjJ7g9bO>E$c0ptH);WRGByy(M
z@)(}7YipY=n3BcbqrB}<-Qqi9hYy*q@X4((eDLg5)cxQs=GU+P>R7aQ=e}$1QmKuB
zZjnh_0>2p?)A%isJw@Aa-HnE4H?!+!3p#4&n4Zctw38{&tUuSXao0EX7XAh6{&u=W
zCQJ&PHX~<>?H$usp9{}xgg<)yDD{$!wV0n|UzkPal-rMHKZ*!Asp{9VQHuL}uhDVl
z#X29>22T5NPNTXaV@j=!(J9f2oGIELRsOFrh|X&)JGxOY<#>j?o%+EW7E*a724;OC
z$KApLlarSgE(x>9nR2^+k@Jr;Qy)i2tloIhutx36>KnbA9MTu-Ts*2#{UU2hZHe)z
zsW0U6!VJRtqy@JeN_X3-Th)>ski0Z~$Dcyhs=Z&69?eXsHH@sEUb11+j8D^lu^$YT
zzczJS<}IBc`)<wJwTb`rm4{lVCR_YiH`hp}BK*HsU+XH-MsDMr;>5nSdOGzRj<%|r
z?cNY|cgKeQUcEVo*u?#k_j0FiICpWm%x_ui)pOF;avQHHOzgX=r?cTyt7_P;4ehV>
z-aMYgy}aOR>*XDf4oSw8eOY<H?)bSZ!;+J&l6qFJrrmhGK<=BxivGKNvkvL8`z9}M
zPTTM}_V2k@Orif-`gy;sUMasP*OBM`zP0uB^Vi$0I@YuOYyK`*PHwF~=T1q;O=3^p
zbt>{po=^DC6Q?BPE{7V0K9#95U$?p@DV68#J;l{eR-LN+lIIkD^PjAA@(YJ>&5)^|
zUurE~w@Ep6>6Os3MXRT*IyJLo>b!NEjANHBU;V}@{N+lm<(KcS$$sPYeyR4GZ+xY!
zFN=+5uhFl!Fui;7SCjGO<~9EFXY5R=Jy`kD^P2daX>lpFzt-p|yyo`v%HOo_!JIFO
zuP-l8F^r5aaQijsE35hv`N;Wp^4=$Z)x^fvZ?cQOZr-rweE3&g<=5UXf3Z#ay0oOW
z`NXfFRr^#^YBOW+Cv4icWnDf;WPH@s?Hz0M7r&PFx;FjdSKfN%*QZzf65A)MGq>2~
z?37>EZ(PZlQTrh2RF+zec7=$*#H&AQW?#B`L#4Fu+!DPDtyffcP1@C-w`5(>vG1C;
zt?QP|a}y6bzu@z47xRJ|_tNH5PF8_eSA1Elyt24Np|tVa61|IkS8Q!6`!ChnsC^ZY
zn^+~q?`hlo{+{wK#|Mg6dF!<be@t8DmdeAwB1=Us@cOLw<5S)|=2TsNp>J2;<qZFm
zk|h<tds(<P)g4<AFH`XF?k}yw;w`5N=LN8y+beB1C#?B<d7a-$o^;Kbk9kzN=d9Cz
zy+6v{^(2qF=FG>&USbx3@z?5a*36kC*%xSZJkU$bA}Ib^{okq?lO*{9jgD8;dx=Se
z$6w3eEbV=gCs|`=vWY5p&no@b<;!}Pq_hc6Ib%3y5^LMl>0f8>Yzx}7OFF>lc!HOh
zL`eL#{Cp{oldt?dPRjh%j9d_38~NWeE8Z(Cl0mij%d(WlxUZ>ypD(i2j7)Wya^~XP
zNvvU4q}Nux&`}R*OKmx|aY_K|v@6y!*XtkG8mb!WEK2EXouad0!M-)k^ZZZpeAbwm
ztf$I-W~Kh?k9M;sNu~uF9ry7Pn-Lg)?QyM@s<F@Fl)jZybPSg6TXX#P&2o;J4|!CJ
z=PXNU4E~yGd(S_}=y3X!Glfl)So^L||5{=1c9O?kbLL|XRc@Je`maCMbxe}v3o<&+
z=p}ZeJ|1MGysGh=r73;3Q*<`$1{ta6m3G7Pq>QyjWWsOjix*evzd!l)*oJ+()CIMU
zyEuu?2zdWXxN6V+b>gl6@_1@oPs)^QL_Wx?jqLwkJ>|>?Q`O=c=aWp|Uw>_voAmwl
z*1-M~`5aRpXFG}R2=~8w_#@jwqdO`gefpfC55nqgL-~*1Zdp||Gayu5c-5=NRjcIM
z_+NePlWV;%w94x*XK3o7uOYT9@`752lbxPcIIUoM`|9ZG_V{Y2)E5pbWMnx*7X;ge
z^6x#@a_T~BfaNZsRSxElUq*jS-&ne|YQ~qE+kRJN?>b)Xz3a%jENZ2k(`A_{iCxV_
zK4k%oo?)w=*U#?cJ7sXtG4#;u1zPX72HCDVZnff6lWd6UVZK#GN!nK*|2q|3hFH#}
zef9GpUiQ$>ea)+W9(TNIld`nx-j25mvf_#YOzkaK%v!!C<h=HGnUK4ZG9h;rWJ2z$
z-@9}_ZO(F2(O1g9|HSCep8TuOYG2Ly%#~~NI{V(gtk3V+77?*+R<xm9tiSs5cGj<7
z`aZs>`ek8jv*LMKkNfq@M_&p$+Wt+p*)`A6ub=1DPpxB%U%!0trNYsc86?Q)*Drsi
zSpC@I*Oi~vou16~>gDq_>Y`UJUw`Sz{`F4p#}`#{mXA-eeEm{%Z8GPpmoIlc**raw
zf4l4TOLt3E=E>HJeowO5HIIE=*vA};%X7Y_Jf8XLON#r^>xOc%=eM7$*t9sQYxBG}
zD`va_iJF5%%fX_Pz@jfeqJJg&*F9fo3{v%Ajk>kgF>BkKfrZK-C%x$b@hxAU?CaXN
z&fBi~XH0o?iSFANccGhsg~rDgzpl@G4>DqgME|<<E!t1s9JM)>dvR+|N#v&KpF%;d
zyqS73?u7CkvDniE(^ab_;|rrpbnnJYd*f<zCimi2p3=xo(?6Jk6kbg|8F#=Lr0~J_
zLo)+>KP;@*nzlxJwa23!6JO4n^6<#*U;LLfqi(KoE$zMaf3pPp;gj3HuCC9~aDT1h
zcT@53%k78v&j@VMk^Utqo@ViT+eOpFIsE@VTW}w?^!~p=;m>EjQy*G&9mI~*A8eJ>
zyVaE3`a}O{q=epWr4*}w+I*|RjJ7dU3j8+}Pur2l-6#HNzhHXcYN_a!nB)JKHXGg9
z#H!KsBY*dXsOvYHgj;{SKN2bN?v|2Bz16>JzExos+Za*>{+sKaDY!2-N9V|XwR49y
zoD*Ak<zs$9KwIxNhP?{^p6Z?1a7b)Uct?HeoYustx=#Mb_uq1qyml)?;9nJc>W!3{
z;@!>V#XZf5vr~(B+TMj(r`$;D)U__yZmn^c&pYO5;%?((2RED+iZRG2zpZ#!&O7I(
z;_mwEj*{OZA8GO3_-n}5#ysso)5EF@e3E>TNvHX)9p*b#a6~A)aD`lNp@Gb17IB^{
zmG2`I58o+&abwfrlD=l6Gj;8Kt1Udzk6K8iMKy7^z2LD<nUU9dmS1W9vjsfCx`jVm
zPb@!TA)yxC^ttrO<Tn!%7pZOw5%|f%o+^>wsU6x;UlY^QoVZ4H$CSRB9$BNFZAYf@
zDak)wz@w~NxRiThe@CGK&vusS*Cw9d?%c*Y?S_%#6K>fli4#@V`6zxmYRK27I_-gx
z)u*LpCpPE^&Gz~*d)l?DVO!Q+wa?%2aDi5H)$u)NrA#`z_0AL=Y_;51kl6THPp9x)
zt8LA@4DsXB`LyZ_PCKfuvtH4j&G+i@s^(<{M;$Nkc(Xt<W>e7L%X4%2PHnh)YwAJq
zvNeT?ZCCZqY&_M<8n$c05iap>8}3}x>(k}a+HliR)y#55doSOs<mTpO8}2z?j(EO6
za!sxKe(CKVv!|I|wx8*-==yDygcrGg;_3ud439WTv_)|oH{ceO$g5WrQr0<g*uyHL
z&(1{Pg*S6&oyms_^V#g!E-17%ns_7&@ES?vJW1brRrht%=W8+lZC!;P-`^hG#`(To
z{{x5bqUGGiUy2g@?&;}VINqxIYuASMI=wfG_j4~dIM8}o;>96Jo}w?B$6bz}v*|pj
zcgEmIt7Y4+4F?Rwr%Aj!B<HrHenbC6y*Y<8#Ql=DaHks_ySSWbvW#`N%CTJrhb`Ri
z3Qd;1a#)1@tKn70;2F;rWR{d);Hla(zgp<u;#sfyzcOFe;oXy}y7shIv`XQd--U8*
zkMCdCUsqB!>4(z2BL#N%juh(MQ~6N2$1}-s&&k7wDkk^4eq1IZc6|1w2(kQA36*c^
zBOh8wMo*lj8FplL$UouyM-jsLLJ`vWlWqv)2mSQibMpAIieRqUk9{+9KvS%y-_~%<
z>00Y?*3|luFOPL{|1zVW5&fs0C);YR?*F>tj@`A%$Bst$vx)DUU!9ZrF+|<){a*?0
z!+&P%TpQ)<xjD&}$2i$m#yH8A>2k7dmQ~l+`V}`dt}Rwu9MM0kJIOXvr}Jyd29tLo
z1#9|NyPb7Don*^%JIPiiI@y*fI>}aOcCxLU+0m*$|Igouwm&=ZN#;EJyLac6rGL9Q
zbMI~2&F@~lTDR`iBd>D)H8X!Y>WB1iQ{DS2eAPO=%^R=oS4x9}Ydh1^Qmou(olJRO
z|NXPg!-o$A`xrad?wltPU1ZB?_Pi=6=ajW5&+AW<9`>F;7Q=tAZBHy~#O!p=jcVtG
z5=-Z)9y?^?-NafsP2_WZa9!@El%GGB*RRiibK?KjXUhBiWrXCv)&|wp-k4DF`q34R
zXS*jYnek?l#IhYr7=mMiIx_V%50y+8N#5q|WpKP+b?J=P6J~MGcshwA&B|-l%;}F@
zm%A05oT_q^XZn$XQ>v^MZznm;DfARPw`+;S^O&Hf&w83iTm4VH=5+1c9F}X{v3gp3
z@cymZf4Y9Hoik^Nh`|w6L7vhj?i&v*G|(y0U=*{QBG4`C)xyZ9dd#7HQo;mhPs1C_
zK4khc9+u%cSWqARkz;8Cr(S2mB4yhyLB7_+CC;1%rxvzIybVz5Dbz4LW;KQ7v5Z&O
z$Nf`ZN3JaVQ!e<KtzccS@{G^7KONe$Qq<t4?x$^+XKEeIS$@pm^h(wlFR%R2xxMmZ
z+l7@!XFR(i<WriZxon5YJqf!%z23?dAEezEc0SuMeYJ~g^^MCr-Rrx4pR+Z(Q=G;4
z&T6Z`-?_iLFPvX_^u_BdLSG8AG^?VwqzQh`-tzr@jFhANa<_}uR~mjP&iupktvri`
zd5+Xkhvml%UX(voUFIX)zw)D2RE&)Ng5&wNMvmX_cipcjKFPZ0SFgW%#mlRjaTTR)
z&*N+l7_XOmv@*Q^!;#g;E8bicuDAL0O0(>D*YriR*H`@HN$U@Pez|nL-^TN+jo*BK
z#mHCnRiJ%ORm<Tx+hc<3=RH^v?q7H&d=F1-?d;EyCso(`6<nNN)1YT(>5@KQX5nQ2
zzK@2{Qrk{)-uv-HWc}Lfsh7{oc2zNouW_IElI3~5c3t?1mEs$Y<SRtHG7r%CEG;pu
z{x>gU*biRCuwT4_VLy2d!+!H}Ui-nTd9BKO)}uM*jQ7~qe3s_8_M2Bk`VU)MZ=G|4
zZ=G|;**fPI-8$!<wRO%-xpmH6Z|_Y?vv}>>V9sv+hplPuJzExw$5)-_e0wG6SNT;!
zeQ#BhaGdSY!rC>#S6agk6xRBLUF7I{x%l|1u=)dW+dT@7>jvE^>*m>hC#hj}p5YPR
z?Gg`nMfVh*)m7W^N-Q|0aGKoFz~^my%HqqqS*G7nI_kRpM8QE_HjDRS&U1>p1<&m|
zDv^FCsp)c_;Ze=>mxeNhc_*^pcy9M7I5ab>;jmvXf7YC+mS#WQV~oqECF~FO-gy4j
zRPMElcSKgz{}k@`DR?RzJ@@<*rgxR4O>5)kCe02{zwz!W^P3N^6w9i<a_rty)pIw_
z_MqJQc}eTnS7@&LW%m4Q`TTJ88}F}b@>P_!HOJW=P+TwfXhV2^!I9O+EnZy}mihc@
z&*_h%`iD*LKiktZ|HCU5_1cr{JKjyM^nW;6`OcS<hIv1quxzibFY2;>r<^U+zijWO
zZ%=2QOTTvQpqH!ugU0&~1^4q8?092t5c5%*DdwxRQ_N@Siu$+aM|M0kSK0B>e94Zd
zx|v<#_gE^V3vTB>Sgf$W@zJ{b2MW&Tb6of?yk5~oe!rrV{eDHa`u&Or8TKn4b$D-j
z{==Q>DSIp>p4?^5=Vz<_F7Tj!=J%NPidR>aZ9cv-l&k*A(!ZywtNm9{PT|Z+-bt24
zC)E8WCcIF8x_pyP5@UYd>oCrHyS{rF9@-n;HZjlefW~%-q%F}s2FG-dNxTyi<|*#h
zjI-|FytAP^Z{mZ!oBtePs^U+-DIR`dZe-T79}n(nA9mj^v0$?GO@X<0lUnrh4C~#(
zx6fEGJKE>s-^rhv_s!IJ$J{wx)$Y$Rtq(z4IUgPTU0&80zw%~y@#>}(lJa&N6XyL>
zf4cst){chsFuxC1PHE1Iusoc6J5Tf8O@qVH(tIC|wK?zE_K;(Jj>R!=X}=GL+JbGa
zuMclLTE9r~P;Bjkppc`UdZ+fTl@_19AuM-ebp8A@A))h_`2JiwBe1@@U+3qOt?w2t
zz2jQ@`jfS^`iq>WB6HWwxvqaZPgCxO!QonIz6-C*#bg#O=XHOPc-U~)ZG-jsW^)$3
zet#zTg3dqfc?)!>eZC%JTfbN5`TCtj|Gq_>i;on2o<DtG)0Jn>_lr+{Hnm{$r;ye)
zf;pF@`&NY=@T%YLvEk56t)p(sk8L=(k~QM}6{j`DS%TNBwn}8riE6s)r+ZXu`mxnV
zi<Y}>I1`$&sHvyCn?-oe${?N6EFSMUQ4L4^bdM-47fW0i+`aL@N>z#1SAu$eFZoe?
zd8V43?6HDR<!n3!F71z4mp7~NY<OS(G+#(;;SaTi9$%KVvh7$JQXl2mEq~K4`!M6V
z84*t&weYfA<h==;As(I4z|AIl|9f=$LdI)SAvKfp_qVcHBm{hv-RmtT^<a_X(e3ZU
zA~G7LvWebbeLH0#qnUI_O>*A!R<;|t0Uzg{au$<%u)*=@cJ=<KjE3a>li4+ow*Nnp
zv9H0ktTEx?`zPwDtDG2(Ww`1Omb5z=o?U3*Q>wwZ%wmebWLd8kPd?RSF71;NHaL44
z9*MIM;eIpw!_Th)?M?<~76$N?Yw#$`cr{GqQ$3>5E|j>%xzq62!VkwzFZ{6Vbesjx
z=eM&zXnw3{KXkjYI*IYw%~pZpw@xjVWs1jgc27u{7VBXcTp6|h)3<=wZ#ULN)PIuu
zmN~CI;m7h%uX)1w=Nmqs&B6A3wg%hN*%EBeW;5}eFmHV^KmSp>>V1*qynUJn_tXS;
zt=yU3n&gt_w8zf>wE4#}{rfJ4%J+{L7~dC32-~N5<V{U*i{{T|5|cmsa;iO@9prPy
zR+~q;Sojg|$(9=7gFo)<WtEuvn$ytgx^QB>&pP#EH)8yo3U|&Eakif-|IFOhm-S9~
zoZ_Yk4!I{=av%L&Fny<7Q}|A~uIoGH+RS&(W5_SIRlN7KO6kjK&D4)|0rzA4o9uSZ
z6WROuoY>LBdvrdRtq8xjuEO|>ow;lm|4zBK{+;s}<`#VxUR1nJ-D!G^f6uy|^H}Z{
z*=p{3KDVB$&Aqbyob-IT%H5B0{m<`-Wv!SK?(S@Ja<fattn|*0I_D=nsGO&IwEO<w
z=VJetZ`h)jaH>9~UfAbve|^?R{zvc4WM9=SlKmNf<IBn>si>_-kNJ1+51YO4*tQ!B
zX8ns=aoCD?qSczK0&5Q!@ouzQb0Hw0eX11K>kVGcfwE!Q8ujZA&+<PV{7NV*FX#RZ
z#@F_`|5kj6x7wEd+5FbVR7UYohXO}dk>{cZ_<!74esunA-Db5pf4BUYeK_*Yg;)6^
z={#Z&N~XD=i!#01x%d7R!GpZKA7$gtpW4$itKjYBPj?KIkIs$TdVwK)$(ycPxv-=r
zXD^>Zht8ihF`dkBf*%R6*FT-{yevQLos!t)u#Hmn(WjyW#p-XpoRKGb`a`+Ti2|ql
z`+f+UALrFl`?Z`$%g$(jsDId>MF(|-jbtuvPfv<`w8HV|@?Yk!O$6*CHn{qS{h4*Z
zOt#DO-%0<|@1Jr+{H?0}=zh&kcfHxlppWb~#H>vwUYyJ4`y_FtvvB<X_-7aDkLYLW
zY)lWHv(8(uGqF8Zh;{!7y-uarjOi|7;x|+$9{#D<nfQD8(fi-^JbiB{hi)i3dUNe!
zhTV3e+}Cou@{>jLEj|jX-8%9pR^`s0Q)`7{{(0#vF8sPY%-*y#N0;TJ<H~pQqYHZS
z)Wqb~US%FOtg6vlwj(rVjlv?A_5Utv3Dn2@Up!;=Y$rxzS+0XN)kz}eZ<r4I?iP43
zEtaG3ww_8wf_RY47S5jOSBrKFBp;POYAfOUK>E!8JI9jGY@U+$G5plCTdYl%^Jk}O
zv@k8R5^2)>c0?oXHfvjCnc@MT-2zE%u^t9@^_I*?6K0BwnElqN$Jb2pNp#K@ZbP#h
zEP<Qrr{896O5GOJ<MMM|u?EZQx1E7HTe*3>-#9fKEmJ(Av|Au?VQk0719~bEiQ++P
zws1aTpS<k`YtzMTMxHL2zC{`=lizj*_H5+lNq+0pP*|pTq+<7kgr-;z!;4A=g}HCH
zTq#vsw>H+yF(!KVTc(3@-oMpZ?(Me{X;S@mMC02n*7~;EGQ|URy9FLK#6CDXE%w3n
zX|Wy~F6b?ZND)4=b?X^D0iCT=JwM)(%GkNB#mV4Anb^^-rT6!Vw^f%l@+ZG_>Ns7d
zc(8K!gro-D@FW4l8+rmf8)Xx<`u?`A4GAiIqo2E5+}_me%0BV7#4^PL1-k{3T4Fsu
zoZ0tT<h-u!q8Co}@sX81*Qd2G8M4$Si*wwI@^ay*VR;k4vF(=d;)--}iF>zO8RXwM
zb@Z1hI;ndrvL&TlyQ!ygBhg>$XxVH9xAf>YOb64VXNtI|OUrT{RI5%B(SFNxSZlXH
z!q!-hjmPv{BC^Gk)@<hHymq@)L^{Xm%=f}`dPjDo?esb-@U(Ag{qY^y50m;no;bxR
z7hNXPHM#JPp1_<9%UnJlIVCwSs<NRuOzzQysqPjzPYwGbDw`IE&3iPXwcGFWlBvRT
zHi@`wJpCqlrOr{g&FfRw=vtk*e9hKi&c;s+>1%C{uxj@gTs)N=v*{Dd-ZejcF5W(L
zw8_a{Tm418W=F)73pw5wk1lGdPm3&4sk@!Gq?Dg$@fsTkac%yKS5GPXY=38dj7Qtw
z;P|QFGk=pS&0?OtvU2-=e|Kn8-hT1^I?E8di{DPNY`4h_F8R8mX34`q<~v_kD88$>
z!gAd<t4sQvv(h5-U2i8R-zh(xH1F2|bzz%7dn<(QsEU8MvRXLiv#S5c6Y9o$KAx;+
zjQjmWV0}$d%XB--W8CxSJlN^)_wkIb`1QCNql2R5E5)jRImgX?utC4F>$Kg>hm-vI
z3NEUDzIIjJz2ed2WSeg%Ir)A(5n;c(DI(SO(PYlPUEWhWgY2JQ<vjO$(sGMulO_9p
zKVeX>De9PPXL(3-zRcq-{(c3=)R$Ylo_17bWqZB9+KZQZS0B}6P6$_*c;h{9(RBZ`
z7jGvsfBAS)@z<{>9Di$ydj8p29%Pt5Cuzav^8#mo3G{mzT$#H?<CCG?%!D=Sxm}5J
zQxkW%^BNpl+$QldP`KyQ3Qad_{?0DN<9GdSjSlYhkG;NN0(Zr`tDJE^CN1CbezK(8
zk0%WLwM8B7cJ-ErlIP1j?)3Nja7F!d+y&iky>CmS#pXWIp6~PFR_u$Tew(^CKNPS!
zSoB?0(dwl6zP-kKzMf>f_w$Ls``V%weml!!?(^p?nEd`gP)S*s$i~;Aa;C?;mTOhb
z43#rImbF|f&t%=l6)f3v+eJEp_a0Rj&iT5;cjLLmMr*#WU^J_^QZFEFo7LniXL>Yk
zxz;r0ZIvNSZ_ho_(DQxs{Upm{o6O)hA6D>K+h#Sy%9$R?>z7LGclX_Jeetpz&qIzb
zDcj@TYj|*F*Vgx)a#Ir~ZC=p5`oZQ}qk}U3w?rn}Y8@8omr7XW&TDvfvD=Jyfyrk+
ztl&(mx+1c9&MFDlb6;1me4gW4A1ULk_w^*pX`9U8Gv8P6aNA@xw91(tQR<(Su+iOj
z<CE`??yg*Q>)rk6ZTUIxZrlmD|4TpO_s&~iLysKWru#a|Jn8K7YrXY?@ySQBejnR!
zGTl5bbm!KKcYa*&D=n41ZEF7SN}!Fv{>Rn3oi<!v81SY@gJ<{t^L_CeHx*6(U3vDr
ze*fp!4?mXYO?}YmzO>?3?9UI;TXkhqPG8HNb*nrh=kmJ4>m~lOe+=nX{P(5!^)-pF
z>>pkFmY9lgz4$$ok@MHg35)h~O0r2zh~L2M>tS%BMWosHgu#)9M}I|xg?Nk=k1nv6
znvl4GF_Q7HuZO{*77=CN69&f`92t{+Pgtu82ldpOD;{QHZrd~0Ofi3+uZQ8;7M@^V
ziG(grXCJe~mg{bc?S^rZ23ij$a&pSens8py=S0Ez7RF!FkzGz-%o1Dj)Os#nZ75`%
z>*Mj^;*Dybj|W?L-t*27xp33NSn)-YQ&*r`ibUFhEe(unDiRq^UGLOVUVJ?MNjX$f
z$5c@GpTfNQhm#977S32<&R74m_ppkvkdK+-Q4!%!+~->um(4UdQX=d&Bk_=gG4BcK
zIgx5AGjg4}EY(tGWFKPq>?4u1k5gI4AhD;F?Md$qC1D{QGsUAq!bUo#i4Cc0JR9${
z)D)#S*{K^T<V)<HJz+sRr)Jfx2@7X)GMAVpcIc_~T)5d%Z)hbs!F-#1eZE5zzuJ<D
ze5bZ@HJ%UGS`@2hR`*}H-D3DlVuJaDTHh1ak;0$+uC?r$Y?|26sK#S>qD7Exw!tw0
z=1*)jD>y6Fvz@vgs-;L|9%4}Tk$AL|Q`yEiv1d8k6W$-f!a_D?ibs`&jciO38`#x&
z3h%cFzME-qESC9Gz2Cz=PR_j96CO?Dl+2rDaDZ31ZAacAj_W=Wk5@5Px)&d9VVUmh
zanbYr?|-MPXPr~yxp1^aao4N~<#*J2F8(!M`&sKOZ`HrR*L#kvVc7d+-nuW}oaevc
zD`~rZeS6OB8{7D5bDL%`f7#5<!f&^kOQioT)8WbIPX#kaW(dX4{r!Wze)pl-dhLg9
z>$e}0-e}*Ueo)o5#(jxzuyeBPyt=S??k9qZlTWv`g}r_K;mzhxTY|#sGxM%4+^AuH
z-QOXz?&~Atw=5kqMdRwi=9O;EduF^h{zu7DKbwPpgXf;8S-tJYkJcZ{W~|zt8GL_P
z%A>Zt_^$YwWs((IGybT5T-AR(uwAph{@0$P%l*86^ZCBLWonl2YW|r$X?lgLcGtbU
zthuXV)2&sxzmHve@n@;E{GGsa$G6z;JiVl1uFwC;)$WG^+9m&~aHRd3tWY}Rsee<_
zHha#fcFTV%KYpB=&$9ZkO}!A~v){amVn28V#di5sGq2}ATNCua>aFYC^Za{me_q)X
z{(N&i+j_YNbHn*RUR&*6@p*Yss(DEqQ^nuqpB6PP{B-O0Da~zK50*x&R6M+sscolI
z|00lcUS&wva=EGI>*P!iB=<`_n)tgxW8QApws-MXM;UDIBz0K7OHsa4+HLr5=TVmL
zcayr<{R008ERKF+ER_DUbNcG*6(LPq<)$XBa8H$Z6j;y9^KC`Vlh<|%cC(ARYr>w2
z{d{vu_fzDw=qH<Xx1UIl%`-fxwS7j?*3BZbr0b44JYTloBx%;_*6h0>|Hbbq^8N^}
zbXf5E?X7OLl(y{8WpAZt-7;IWUpxKnt<Pp@^HyKaxxO#-_OG^MRbL91{r4_Dte<=F
zdg#Gfx62*&>&u?qFHwJ`V`6Om{>Fp`?dDWxM&)7|mc>OfB8!V<c=i^{FjhUaaQbql
z&}GK##~p_1$0sI~^(i0Ksb3h(^F`f}TVnoW1&Qg87f8&0oFFmzF^7TialxdjKIKDK
zWZZi+E&Es|7t3g>{p6mTIKiIt<K&l?d`+DH=6<+#E1$#gNPdUGmHdu+!!!9F26ys3
z3=fshIciv8fBnMNHwrIa&zhdn$K>`jlEdwJq{gu)krKzAMKblA-rOQFKfTjH@w`xS
z(md6JOKiNmv@M_dUsP8Y{P<hGQmo0lQmm`HQml=;avH<)&pL|do<=IoIlK9Y#pHC)
z0;}_r9)<Y*{QOT#UGO8fQl(ha?D|TvuG^JjZPAs}7|cKED6V@RsT6a1^N}61(mglm
zou8Cc>-Y2XMF&46M@H#SIxNzkbwp-=(&3r?S%-1klSrqWvzuLROiS<FXnTHAg8Mwx
zqvz&)dY-&?j>{pYvZs+8WzQouzCDSQ@Ou);By)aqi^a6`&H|J3LXSP>sUCc2<K5+4
zZ~0U{F~UzNkdghf4h#Dy9g*Lkb$EUk6-g&o^eG?gka6#txNhk>zTCQh*5wKLsVi43
z`pg;gt;dUT{r(RxS@QQ-9gSXp?!&otpEVsnK3073E7a_w$(OKP>j!(Yi=%Gs>CP^W
zy7Q+wyEyFDp3T|CVR!Zfr*f@5v8aLj#TzlsT_N>q2bM)RT-U#&b^qGppptJZc;@aa
zNb6d(+WI)p-8)GQ@A3>?__s?eTyE`bSheFQ%iX(4U3q02W0ODov*w(Y<1%(_%FUaY
zv^zTG#zb4cYrB4wZk&I6>uH`xx6Sti{=2u__{~{4X6>*Gmlnt9T3;*Ai2q*vn`u|-
z{i_>Su-sjnb+|s>`}VgFD_G{f$*SBhzrSQPi~K&FZ~;%z?bT6bIY;}s-*5Ju9GaK$
z)qGE}N<g?*!bIi9NjgFiGjv%`^4;6?vDTzh<X)Yo-Qt&5PTsd(#=7U{raN)BeUt3h
z$NpZa{WiT(yK|D>q#5(i8lIjO|JvGQ`@0+eu5bJLsPI7Bwu+~wf9mh>9o}?GS4i((
z&}8`+)gRkGU0u2IXnWbWwEj;aE+0Ma&we8|*M;+5l*UnO?o^3ccT=ZkG$@KmC2eVS
zo005kek^A4r)h=rT7}MR59neQJGJ406SK~y3j*D`CWnN$dpBNLQe(CAj1%XyXpN&>
z+^G?n3mL;AGdiw{NhS8S9*fAX53>6kmA;VWweFOp=2oMeEf*LL>zW+l;O;fJ<docF
zq&a_G&$fUjLoqMIYfh5KA~PC3ib*9kwYts7SZJs=a|-`^^=OTQBHT-7WG&>mtYdPZ
zgqzp!u#@tcEf*v<`<!Y&yjge3gO=8yIa>nSg2kjBY-w$?NM0y-E-Is^Sgn`aqCS5i
zi@45|M~hmGVm4i1n67JbM3lR?;Hpz{jB#erfl_YO9f=D?%yp+coYu;=BX^<VyNHaI
z-C|P7lRYclciwd3+!mp6G>kj-M%qHgZP6JWvSLz+%Uh4#_!{|>n@2S2mB{zM3;*PJ
zwTkaP{MW5qLoUfSVId2<?vzIpT8(7PG~d^6>x<Ah7|6ZUB5fhhao<zBrwfaD6<l)C
z^o!IuoH_YZ-h-Z2p*dRvx{Ae472I30XXn0zg)HK_QyxufHCkh&nI9G&t#MF_duc@W
zLY~(;CI_mxc{d((QqI{D5ZCK-YWCH$Vy9M5Z2gp#vT%=7q{ezTB<{>9=Bszt>rP4N
zZw=bBC7>-_?djS3+1#oX$qPmDb*C)szbMhsE>az>;i%8O^hM@E9^0O0OTGj=eD@~M
zywiN!QMt=;HERm;zoo>trk@vmw^{4ax6gI$P6Y=R2FR3X@U+`$+kalJ;rpoV>W?>t
z4zWrS&nNvbIHhXXbMl_XDvu<^RbLt&KCFKu=;XTECb2@LS}S1U>V641Q?4CfdL9}+
zt`U(pvYBU_wbFmFer>8~h4K>an@@f=#VT0jb3Cn$*~rZj9=dShq}8?eqgk8&?wauQ
zRYUB9xl<LVd@nqqCy?`NpG~nY=cBb-+Lp!Nn&xa6?S6iJkxuE0uTy^{?K!P<&d>YH
zxvy6i*86Xh3j7-KEvTRU=IcDMt&HFIYsV+=(wemHxbplv4ehIRu4Qxuf4H`^@rc#d
z8LNt<3nj&Mvp0**o3wP-nTs2pgI6yLlbE&EOS39c=2PpY-=8iyM`@gH-n`Vyb=@-C
z6CTkoQVSQTb>$nIZ(Eyxb603AqxJsME*9&3p?$kFwypYIzjJ48ds%O;bkx?r#`{I~
zpWgW4)YsUZi4%0s>fZjhRCWDCzupI%ydU4(_v#8qUeu;5OP>7-o7egv?%TH|DJ%C(
z+j%-DYwC8R?YmvOa__H7-?V93s9ogseV5Fpt)KMzW><-8$ht|=@h>x1ho7`m&V8w6
zxjM{NuPj4ror~!7N9Srn>*MBZTA5V&dQ0}_N55`pUVnD;)eTSG*I%PHuK5{vw#rk#
zd-eYC?xk_M(?X+;>YTi~C0r`g`{<^Bzdvy$Me3ME?%DaYs4eQzlk%O*tmgdoJKJ{U
z$H&!+8@Aq>y6_dt>wUWRI(b*Ex2?(k6`joZI=etH=fmz-QqksrgAN>%irK?{vHtVg
zxx1FLZmsaHimV9=@Q-b-dL<|RWy{sz?<YK>txEa1o+buHu36_Z|AJQ2CXL!Zt3L_2
ziybXk+>jhUReVY6N(+&PyG0)J%Usp_vT5<g+%$_%Qzx$cl=VH)IQVMoJLj}zuexSF
zs@*qprIl$g`xzfjchlhCSLNa)UPYHpx$V5C{$JEyF(2;t{2!lXFYVXg{c3hy-tC1O
zca{cw8%EhB`+9!*vo`fL)48h;ly-zPcRz~a)oYVB-NAHc)j`puC+72t1P;f=@d@w$
zxJ1R~Xi>zA+wnJDH|$^&KRQV&hQ~X*fHfklS^LPR^;dsI7k%X373#dWLTdYW^Q^D>
zuj_OF3;WlvVANhaU3mJ>8Eapv-@E&Nr{L;+Vb=2|E#LU?yb}M5{JV~+UyLuP3-kOs
zwjwHYX8e0ro^yo)JU@@ExaR8qo3Cbq;gzbnQ;tmI{r~#;H`!MPZ=@H0D?0DL_LkYS
z{UL^bq@y`GoL6uA`r>o%+C1*5-&xMue^isn-nZ@D!kr)2@0lrH?|XSoe$Tb#`|eDU
z+bmXpEk}0ivO8V>Z(g_&7sKGbM%-ieF|(((@qcfH?K`^QkHN3R?ThCe>t3I$9?2tC
zsl;mY{UpozH7}<>ePsH<<hbL9C)v?IMVD`A-)HuVS@Gkdo%y=f>pEUt?7H5^er=KX
z=fa5}oR@2fDah64?$+`?%~p|9Z>#B~bM{%h<>Y5yh2+=F<<#ejQ=c`fd-`A3*9$pU
z{^|Ii`r1;E?c$L~r><X%E!bJ)TVA|OK}!A1&tp{`u0PNJS@h!7RnDr)(yo1Ra~IAJ
z=QlX8x_!pmtIB7-yfR!?{gvhV+vyIT`Fac5!_{BBysBAMS=x3l&ekD(z1*Vi@cs++
zH&-8j@#Ly-jR||U)Bmlxw(ITN*ZS7|j_=MtF0*mlwWPh?I{#(Au3$O7=5A=@)6G%y
z&pbbBH}g@Of7*_BCnb*-_MiH2VKH09gFxpy-&Y8}tGpsnZkyF)EoXW(H@(oL^XcW@
zYu}dYeD<8^zwE}F$&%Y5o}OC1a$D4|o}m0azOzr&hlyO2%>R4uO>5U&fuqyjpVjl3
z>m0K<ZF2O<z{0npv#**OC1$P&P8Ym>#&CU_;O36i&sKEoz7lyvG~4T!&Z8n;J-hA|
z2VDy{vWXvVm5O0Jcl`lZ;kj_Wr;$e@<GrRv9x*H4lDC6n+w}*FZUi=WC#LhRW7wTl
z(0U`+?AV>qxvuqd3|FtZusQOGT(MZ-q@|HZ;)*>E+uqc9w561NYNX5gd|M;O{`}rk
zp;Nn+PrS3_Ys$MdE9selt<bKzY-@*7v5QZmlTRGAy`;0~^Y(2*C(;DxZkhUERg`4m
zTVwXpLqT(0YfRDwd7p0W|9kDxd8u{G*QP9uJmUD86(nPjo*7fG&-OI(2vaf7e9Kdr
zd$z|hPmMfcJ>TcUwOP!SVjtCxJASwoRd+P<h|*?v0U`Za;m_V|T#~Z6V|tqY3ErDJ
zk6MF8H+MXL=CFLtr@#xw#T}7ir{!e})pXMYwa+N-3wRoNL>QEeY`QCQY}F41%yG3b
zN<Wsmv&eV7nf0ShOD($VZ{*k?xuh{MUS#$jtAlRq{Wcz4ZM^3DE5>V;Uj?%FR<)SM
z*&fSUKQCdb|MSfqTd!5ke#!EBkJZtt_2)JmT+MFr6gtgY^;JTBPgT?7XF4%2mPQ`&
zs(z|<Rw*n(+duP7)PbUYuMKCSbWde57S|db)ST~AcuC!O&W9&GNh|B!QzIS)GV6R>
zp(s{)g@fBRtA|z2^k7Z@tfYCHExI;$T))L@>#A1qc(UfbUny<nVLOewEAsDkYTTW5
zu&h`taOcYA!_RaUExf^Lm;(ywS2~YYS#}HXRf=tN^xpsDgTqU`M?P=W5<|6YPuM%}
zW{<q;dTe?2>mb#wKN9?>?ai#ewRXyxpuNFHp}YB&WuBHZEQ=@#pX9Z3cFxJzuU{KH
zr`@@_a_8)flXEwO6q`HN9$EBa#r3==GQXa6i|zkjeMIKX0<-TLw_nYUGTVN>t%rRJ
zU&P0bz{hpDMT;b~=H`4~e|7hA(djn#Z>OK%X7})Rba!upzph!=-K{qy%C07HZ`fQf
z7TqDc^@dW;`lDjW(Yo7MqW6EC+x#h}xZ8Z~sg%v<ubbZBcvm0V-22E+*R1XK)*DQB
zRvi_4l&8Cm<9pV|qZI+&x(A)t?md_D)P0BC&y=R)w|wtyikYkW{bJh6nG65;+zhV%
zbaQg*dYK~2V*Og9gWS94oLyg?-7k<*WOne~)2sEIVcDC5L-qaEhdqtt2+P|1VUz1D
zW&Q2qiDsU0%g!EF-FfLo?{&3VGnZTW#w|R1<#gYxoU)hOUv2hJb>1jEYk}`<m9+~u
zmY$CP8B)H;Z23gpMarAfH>|8p-DZ1pUw=@@sYgP;Iak-rtnVsw*125ys{3u~9A6Ld
zU8#o3u?yBFdDI)p2c6$8o?Gf?b$+hZf8pT$|AM>iBc2?)cC>hosL?a4qClw|=L=UJ
zo%lDWTFi_26jR#Il%|>An)FYz_I!H9vUso6QHk~E46dzapYh<Tv(Fb<IoJ64XD*yq
zXP0<0*_r3#Nx`;XPb3c46g54xvpniizSE?$^N>ug+R9ff#e1!e*4wN<XK+7E(cAZw
z#@mlOSA|Gj?>loZ#;oMxoSqALTvI|)W2!r&+&ZUjQ!0*KlJ@x4g1d1uzO21@F-y*H
z+uWSY<<dtsZ8q69H!V|3OYY(g|EqF+!8}u+?VF#P6I~M87GD!%dURfHX;0XJA5l3h
zkFz!gep!F?*oGBi(G7xIZ#ePPuR1E0n4r5&f;nrW>Wq(T-^)xnzU}hf%+O=onst+-
z54#yG5tBZ8Au5OGaMngviMVdvBOOsWf^OH6x(!!}MK@iX=GeYrYI}cjjnTn~w`>lP
z>-uuzZ*4z+Y+G}U(Lsmym~(d+=KEZ@ua};Dd;7F$;U{k1_%=6Oy&_*SH}vbH8{g`k
z=e0gqoEma{!JYpvm#hu(<hyuh?$x|So<&PHzJ7hfvoxprUY*kF<lEfwvy*SPePTMD
z^E>fW^6kiP#S=^2jNeL5IkwIC&2J6Yr8@Vv%-?Y8M%k{V+M-tef4A9I-@NgyFIp`5
z_U>E0MxM_WpQ`@0Xl-Av`wjmBvu$(JByV#?%HOW<yL8RkI6gDS`qomh<J*$oL~8Ih
zaY?+MTzP!iUEhGGOjgyUO?q*27tIb&fAQ`r^Op~=6svym^(XD|Pn+>zGPBR8lZtA;
zpKt`%6!omMvpi@re@;@{?wDCeZj{a0>om2rDScY&)m45U@2obq*|_HBv^bFi1*dOp
zQ<-{f+hLvL+o$TSIV7?qZ=0=1zRf*t<Jt`?l5c+wiR}{455Ll_&z$&yKkMFUE`PS^
zTd#gwb!4(`-GQu*ZBz7Qp8mcYt`qe0^GWAAjr}>Ao{K(8{c65t!X0OIbkCeQQU?o`
zyBQu@Y1mVe#pq_aRbcVlsFr<xx^DaBuk4na8Meo5eb#mtm5C2#Mb|Ic@lH%KZ^uyv
z^SenM(Rqf40=G*%zVUsUkw(41yB%e&-ce<Nyw}Xc*E>zK4{C9|)g58K{c-X7`L|52
zo0qN0*GX95uO_kJ?VQuEtV6y^1fSSwl2GEfc9VU#x#`jPvyW6)Kepcy+LUa+qFE;L
zY}^K`S+5l>9)6w@zs_prYekE%_0CHxe@*3IdAlqlFYE5c)Q=@wgu|as%=(;qvC?jj
z)ltv&=L%L;$%cMC_UXXZ{n08fzSll|v1-w`R~h$X_#NlR@VmZ`;dfsDD!XRV^0l)T
zUjC`pWc*XDYx7UFw&JVjT+OE5@3DO!$f>s@v@T1pDx}FfZ|lB>>vB^c^hW1uJXq^q
zuUe75J7JS}WA!fACON-}@;T+(JuaNp{N()hmDtaWi<+O9-@Xy!v<jWQb5+FLM_<;5
z{aLkU@BBX>ciZqEOu3!9zCuIoX_bUpUA*KXk9qU0S6EiXH+Mg9=GE)!H{Bs&b@SB9
z*xJk|A$iSK&#QiPuKD(J()0WEqR*2K@K2k=vDUdh`{nD(*!mSdYfHjkUj3i9t!!6{
zhT4U{?B2xzmsa2Bmw0inJHqX!sBPVbm~hp@`hRB0JekZXw(Ikc?jJkuX|4C$aBFq&
znvbt|viDXsl*ZW}sah|W*c{%!@#1Rr8&9qV=X?{@KdN;9*_H;~xVZ_d!<XN9c2)9N
z#aD*py;U8Baklk`D%Q&-H--BfTwJ|;#uM$hwxj#bMlw#`Yjsd0{uAT0A5R3lYl~V^
z?JSQK&7YId>hHJl(yb#?pS%fNxAN-B?kAadqkO&@oW1s=Lu}~{yR`1GZJ!>?hB;eK
zl)PHGVompYnX_BAMlUtho>&rnW|QfGr(YM{-1c``TFOzucQWh!46fI2`@AadfZYDZ
zg!T6wHlEK<xbfbc#o~c^i^U6Dudd3txrzJ2`8OO`-G1ZgRpmDyUKwty{>oy#x2o&z
zI;K-<R^|J?OL4pl6L((I#x(D#1;@PS78-F+EF|KdSupK6RoJrQt$jpSbsh8J+Wm?L
znD;9lao(?ZNO`~FvE==V2Zi@59<2|azOYtV{?5nMi<ZCMq$$Z!^^>>eRfhC`(f!tH
z=6$tVXS1^}e(Cb8`uaLndPT6xjSS(<yMwatDjk$s9dYk@)^?8#U1^gybVbxQi7RJ>
zsfdN@Wc4kHEDtd?2@Usg56wG#`^lwMFEeJvJ~HdSaZ+NIDTnLv^}n`nSpM_N{M!!`
z>%Ya$c*QT>;d=cPzxbPz+qS=A*}d25sNVW>8!oTgeyVQA1D~*tWqNg13GLaRKk<vt
zDb4lko}FKw8~5*FQ}Md9-u5vCR=cZ0B5V3rHU#HQe2`L>8F_@suOg)BvE0;0Gu%@x
zUY!#;D!Bbb!3|wDizi~0^WTUm%M^4Qe%pPN<@cTXq^`YX8^0W8y?sY%zTFPjF5SF|
ziN#@&vzI<osQ$VAOGxFm4h8Ei?7xqvXKxqnU-98)vz_bd9XZ$XBc2@pe>_b)y~}&|
zYNKbrKkfB8yyRZE<lMFS)26Mgzg9oxq1RTW$db0xU*<>uS$v`OROZ5DM*V$PBoCg}
zKW*<5m&$rZTVg_elA38k1MjnEie^&3AO8I7m(F<Z&jRDRw^I8!+Iglu*l>))e&0^k
zxq>o3{mv=~O5RzLI^hG4oVgH>!Eq0RBb<lDA8t7)H0S@iKaKteH=XYi-SCE+TP@<B
z>(6sjn<~X~pYAE&R@CJic5ZdoRQ4N5PZhV_+T^+MP@81ft%8P7Gnx9Nt-S6L$%hTE
z-707*HJg+4SNzgUff?dI&6)*s{yXi`@#;B$#P`JV`)W&OWI45Es__`yZBa~<uv~N_
z(coUVw9Wy&=GGgz8#t<Y#FCp13i+5sba{F$yZz@+`NgU=(^pq<3a$CQ>5pI%rx4Fl
zwWSf7<_fA_8?X6HP3()w^fow9pCjBhBlVDk@&3zY{w|A*b*9XhZ|(|ve24$j)zD?E
zF&h$_Zrjhu{IGMY>Qjc_IwnWrxSuY+;FSDk+Xa#5y*2^!+GX3Of3nHheu1N0SM$h@
z^nhdQTiM0DHbiEAI<=)i-EE~|V3xmb<_ESjC!`-A|9IgM?}rmk&N&;F2qf-pT~<+_
zvqa#-<A`h-cZI&ky9#9*E&8OVnP^;-_|5&JaLu-Wdwx+F9gSjAi4CpCUgR&-TqkMC
z{d4E;%!M_VzAddQSZMKVDl7Lh;jK+G*<9t7#TQzn?d^OpMSgQ@TSVqU!LW#oo_o{w
zs!FUgx1F<WN2*Nm5$~j}g(8CbopNrwCR)rFtJgnVq?|5jzb#cvDrse_TSU@A!)uY>
zrX}nw%n`KTCd$_PGqA+GPwAQa#(N&-&)hd2bYjlgazWs(&P%r!Sr=NSzfV(cZF#<?
zJ8-v9;Zoi0Gb-J)J!d3#wX)5~S*WNMnbFcIcIvk~x9`R?Cn8T3o89}c%qIP@j>&-t
z?x)kYs@|=aSo~Gaw<kyZ_1+~fmYkEG-nU}b=ibwfU-oi;*%!`JsXt?-QP1?_|5PQC
zrp#Q)q3kP>+`<{`bESVu*T%yEf1L!jG%T!|tZZ=5z*|bh`OBoGi&oFi`p71c^07@K
zXQ5zQWJb?Ju~|v8Hkx0&*l)OJ(HS?%xKrMb7Tx>76?LFyu}b|4jn2wnlFJr-ew+Em
zzhwDdohgY6Cvsn$`)zB5^OwyRI9}_R97|G;y*M||WY41JJ4p+9ymd5LBC;0N^d)&J
z9x~(Z-FVq4*vI^mXzWA1!`i!+WwME$eG~Ios_*E`*{LczQTHpSsYpDF&S+p2lS*3H
z>K2i*@J~uaM%PWZb1u!h>W_TrVk=$Q>NX?OQ}Gy2^Rhh^(<F~h?s^oNVi>GrUZx&(
zMN~{GVQFid#kaMd$}(FoNVxC2Dy4Pm_D%1qZjG$xse9+@hMn7Z%&=qE&U-6nFZvq0
zeEYsB^6x~8<9WEhTnq2H{(WX7Z{fKXLA_Z9$3lgdNi0vF->Fu4e*3MTLR%W@1*@D@
z3=S4}ONp?5eU!GybKfd)C1KxBrrf-Rcb$~)Y`7qCU8mZ6gO5G0kI5C~-alP=Up5t<
zIlA+n*y9=9cVCBjONpfS#&oPJ={kD4T~q8-yQY}b!yT%3C8~8zj<P7T7P{Uy@$!wi
zq~4RP7q@(JPm-|sE6?QeM_#t63mN^Q>oYox#iSnYX+5?hVWFm(B)j^uh1+|Yg>4_I
zK7KI6Vh883gPrR>bZxZ0IB~6MnfQmhcg@PwcWt+>dakP>KT~42@ZNi|-a<Urdwn|M
z^0&9P-N;`k$QO~(<19Ao(LOuLq@<{d^CxD$;C?U79zN&4?m{u2jaMwv46p7^e&g@6
zPfns<(_N`^qnnVSxxLAmjRyYPXE4t({id#_+~1=jH1UXza_5xU^3u;jW;~BL!_(dy
zBxZX>oBL_*NB*d29lgiuRsIU=9xXriQ(*0-)W<iDyquBvWc3cQHQ%k09DYQ-=W$W3
zNJ~>VP%PYbBkvH0wU5N(-kpnCHlNj5x?_=iu);E%>Ge8O9;}Vq&Z@g$eOx^AMXmjp
z{T&sep3bd0$hIi-Ug|={vgnK!TQRA}dsFi{)m|-E6UhDQ`uM>S&Ho89F(2pfU#M1U
z<oqRlT<6?-cV+QMEbmQ=bk2RS<9^E9)B1_+m{W4erS`-|i_^iq$zsbZ7fg)5SS5EQ
zd)5NhJ=d<^Vo|H8Pn|M5Bg9&Ir$Fy3Mdjm*0&Q~^D)vQa$Xi5Z^hmpLvj3TJT>7}q
ztXt-8b*{m`FFA4MNu}@K!Swx}MrRVY%?S<PjZEdIPt1;Jv`&+0&zRO9ozWpICiQSh
z>#-fVH=~<0-8?%)clf#09gVsz`*?<O;hFs2<h~<@`;AZet~qnpw7y93+JkqI86CgH
zq!un$%{P>b*rWMNGR~0KCN<{h(aZ$##m|mCve*@MX+cHC8~-zW_7cZ+cJ1gFlX^5!
zwUwh^*W{Qmx9`Qs`E?zM=QH<KihupKa^qf$2hZL19r?I#LqONgs(6J}pN#ij7ckv9
zd**6}yS@^ScJ8cf5m)Q^c&SCRe%{Nyn^&w&|B}7m;)>+5=W#um=U!cVG$CzMo~ZJy
z;$2%U7Dz6SK6)Z`L*0@j(XT!G&Z&iYpPx11VG}1akKtvW!c!$~7HNkh+<j*(YM-|(
zg7L-D_}52S8|;=%eKc#{3W=Clb4B^USq4X9h23`KI^AoUZQ%O;&GS7hId{K25BvJ4
z{$$TL+34~$!sYhqY4*t(lYh>%esOzFV%#@T!`U(k>-_sZsQqqrpDpuvtG}PY>A9s!
ze7W1g)n`nuop*Mf_=XSM>l+_Ad^<Dys)M0*HSeue#%Df0n;<?voZs;LYWErMuO^@Q
z^i2Pt$NG6mjq0_Aj1f;X4?GH}+rON_RoM2>WX6m2QT*TM97;O;SMhhN{pv>Z@_Vud
zJYQe!+57R8B3t!Wj^;g8JxAvWi5=do8fSY{`~1glzl4?P)rJ+-|L5**=svvT_PpSj
zHvwf;ej6oj@qU@oAn_#j<1>Q;q3h)y%?j@?xV!qZ+tt<TJ6>K5zVqqDqWry&uX4Vt
z;@V-d;>(;xk3JODyC2M6aO0h&Ym!RU`Pr^*ALj*Y99#2vPgTdpINL)K>*XHrGq2~A
ztN1GN-e&5O9bS)b#`R2cuZ~*ysN(0+=Q3jM=ejpNfAyBFD|cS73fs-aHm)jdxBK_B
z3q05u&j0bqYWIp)SCef%zv7%%{Z&NYW|xHbzE7`Ms%_I3RLuT2<Gw@v#`DE&M<hz;
zo3r!$-dokc9A|sPalPEb3E};PH&&}#Jh~bz^Yuki=Eoh&8M?cBs_!a$ZmijQ&^AQm
zb;Rr1qL$X1-1p2Zk9EG;z9+Y+Y4W?|#Yrlar)qN^so5Qs`+n5%=%V|X=lPC&x-WU2
zuZz2J`d_xDyYJlMBs9t@H+yV6celPNps?;-6~E#E%k^@P_JsEr99(^T$BV1NIw$0G
zw!hNUtK24TKIL5PJG}#se3zV_$0X8x_w)SUB~4r3#W}rTjrsm$fko85CubRtN}bmf
zS-n(zk0npR#ntUQo?KOq`S!|iUBy?H@V!-C+4HTlH(E5C*BBkN^MBd#X#ew@yEXoH
zzpMY|eaH9C>%0X=a*xj5cZPMx)5*?vzMT|&_v48~bxoxAhC_GDx}v9)-|g%^UANu3
zu<+{pqUlv17sbE1w{ypm@?Sye5$|qW9Wa_Nlhm&Nk>hr4QBSU&<-wr)`+{`}Pbb~m
zd3ElN8D)7NPYR~}7J7cUZvLDH`+m1{Yd&T!x7GCfp;y1-b?1!rxn=3GKHJlFeO610
z=D%AhJb9(>yCX+qvKIeN((zlmY<IcdGsn}lD~)wNpJbf&>xqDOO;O8HJIiCr@jF#2
z-b@ZYQy{;6#{V}Z`MS&OyYDQGn)CNfdAz~Voo8#mvnnq$<G$;zmu9xRW+wNB+v@E%
z9#2+&^YtX>vY)4PkJj6Lzm{~a=s>LAQOV=B<vTl$K4N`WB&dBP_->_e_EGk`mEJ!m
z%KqB(r?7L8&b`0CR`$K#5*mFcbW>=Z^Lpo#hn}|H%wF84v(IM7iN!%W=k851y;8O|
zTD;)+&U8KDJKs)<Y_BnTzo53LLE6spNa=i;hqL_q3PUAtgg!a7`*QdmtNMe5>-`GP
ztTvX>of3a9q;%ePH!Y)^AD8cXlzqybD}LLLGtW=oTV^*WUuUm*?TQcf(SM55*6dk#
zZvDHT@sX_(!TYvzaq`vc-JPPd|LVEyn}X767Qc3WX-Z%fzu;Y0xZx0IRkWq6ymtDF
z?5B*sA}c%oeX6dPe4c)8T6NoFGnof1^}OvCnTG}aZWi=hHk<RHhj+Qf@10*}%{{Q^
z1pA5g_e3QR7W}-rTS)uC$>(e;6<JPQ>1rtzd50MEeIy<&o#@>q=%y#>CZ%&gqq$Wg
zcLPT<k67}WgF-#V5nUhSJ<jHCzI5l_l-n*JeNTB^4$R4mKgGLBsr%WtR`;b9?|gRM
zxO1=m!%3FwwMO>Ib~7KY^ym9<M7_P@&17YpPbUrK(%#QlU9@y(?SreF@2X4h6;_wF
z?cVaoY457=sN;`1ZT(NZe`f9L`^Y@f^QSWd-}D_EdQYn~^q#+28+!X&@7luFAIA4o
z?0B}=+;`cabKhm7$^9c6RPKu;6z<bJqNMb-Ni6sp_r3asLC@1Zr|y05wkCO{_@1e?
z2al%ZWZb-P;f%i5{YafE4!LJl8gfsoB;=k~G3`0`wZ&rI>&}8nQ|!wUzkUchq^Y#F
zTe9!iHjB5WTeKeZ+;=FrHoG%y&+1*XA1myb{CL5RxsMZeOnuBzV0>KgQQQ9n&2v9_
zdBp#;F^K<d6A1syW>>H9O*$#&yR^`q@6thcK1<Ewig;lzpz~o`>EsB56NLgkrwapo
z&K4T@oGfISG3PPI#{2afL_YszYpbktZrEAp++kVg+;X$dxhJyDxoKvdbC=}3Nm+S6
zM1Sw`{Bx;8cwgAsKZgJJ+WG%n{qJ~<bynVeM)%si(|)AQ`f=|6#2Vp)^@;J{<IF@a
znEp;>>F-_;&8ye-&UA-F)s+Wa7dEqncf^_QQ2Mg&py*<M-gPXs*#%2qJePhuHAbZU
zlfQuOg8F}ang@SNU0PHT-rW5#mv<dQepW$iMQF43q0>?^hH+OOczxKz7T%Tq)3oDZ
zLS4|BhUKO^IOaWhZ*ZWoCb)zD=dyYZ`Om(FR!`%Xa#}r~9aM61w@3Hp7TZeI&^c;v
z)Sk{a`n;3tMo4#WLb9$|7w^^^64$OLac@{B7TvLR>kXwfE02mLm+Ed~S)H|UX+-4V
zor`XSIw$SneI47~yC7WGtZUxZ8x{7!-MS9nqjD5?T}|q~uvIL&M{nzmio2_iPFobr
zTmQT(F`c*b<Fhx(3)!R(wnycNywBcfxM%fIu?M-j+XV8nHo8{CcIzHn9hJiwcP+{L
z<Dv=m>zLlGco@UVYO_9ZKI6g7Q8^-$vu<i0J`$C~sCFfZ+i;&)bW7pZ8%aHDk4{VQ
z(cLDpID197;<oD(A|ei~h{|D6&fXZ<v*PG6gFRyP(G7{9ap1K_#S%Sqw@EZ-Z&Z~C
z>DE1ZK<Zp*ORlMkn5e-5F=@yDQ8^-ivo;!jS^JP9DQE4$sR`b^>qKVnZ9ZbQ^uE$-
z=cp||?2ok1=brI%|LJ<6Gr#WtUwZU^=pPxA=ac_0783CJ(O;kOalX{8?XM$V+}PB>
z>uIVKG5=3;cm03sZmt(9?JV-inoE8twDY`wYH+~XlILR>pYooGhb7iOH8`kk>GRQO
z-Lr{FbEjz9l~gKL{uFq+Qzpn}vUA(=B8dl`GHn$)?SgVA6MNc=W;|$=S++y}>BPjf
zA*&d#c?5P`4H8M5DsuFNmMiBjkHD^ToKb(C7lr7HNxnPErLd!3`u}#Z|E^4|Jsu%W
z1~JMahfNL{geWt%WvCoU=yj1;GEsurbW%ctThN#A{;o%TRRVu_z6YB>Qs24WP2*Qa
z%fjt$OkXZ}bj*|Lyx^>CxGP)5(Y$xbj44{|2MywES1~*e5lC9YrPLGX*mF_K!@!GG
z)9sXGrjYQCtrNW}di(y>uRLmhVo8InmC}us%67#U1P|xQvffy{SfIL8ByoOU(wpmn
zP0Utag#p%bcKla-{`|67qTF=YYSD}Z%60*l0vkN7xC|rR1(&_hI2IzSI%C;lk;_{j
zC*D{wkzuxpP|{{MrJRc#@5@XkCCqjU%IW{N^Qiqa&)4&hu9p=zP`oO6u%f;>u*X>9
z@Qp2(?5>I$xOH*3EuZ>qV_$r6^OFe)3uJ<LCOEhKE0S0+U#9JacDvxO6Nx?dg7h@p
zJOvMXXmS}ibvf}&U&L{EiN>)2O)mqNt{|RuVSjg3UDWy>#OQc_OOagHf`+A1iV=$h
zyN*gFMl6}gz-=m&)aa&k=DJ5uWfoh#=CxG~iM?D*Yr-5mLbW<J1hE>1txz~vB)TL*
z?fDwdEpghb!*eDItXUDZP)IXjUmwS_mmNv#`Xrvc&^VAI+d5<EVh-a{k>s{%!Cg<K
z5+jyPWbif>O6qh|(z)i*<0|F3AwXGE%w=l!rhN@NuWE0Zm*BUx>B{F%vvt~8zOP!m
zvc4)Vul(tRhkY_^J9OI>^G+tV%r266+$N*8L$6(PUFdPMuOge5`g|1oyXwi)wLe8I
zm+a7K=b8S*;DD<oPoX29@|@|1C7wSqI2dW^Q|NX|`LK>=|C)x&lco#KndICxx#&cJ
z6Cbn8^uq$jpBNkpwCuU)d@sN0UD1q1vrE=7pP6!`enG>!B99BMe419L6`j>Bc|HW5
zaus;gEaO(8-)?x%Q&lvnZOU?v%S$zmnP_?$gmwk_c>cdH_J6I+)||Y5Tlb|_-wS$>
z`^i4)&*ZkBq5Y*-t0JG=`K1;ZtYN1Zd>~s|ts?cXi2mgN_a5!{+TppyH(QBWXpNcT
zQ7vJklHPyW-SuLrH%_QW=?hsdt5|o0w?ggd!i{$xK3bXcsP>Jp>aq%*xl@i+*-W~8
z!s|t1>6sIX^MxMm+Y`zxr!uu~-@c8Zw;%PXdrfysmd=^7J)_+>=*BPo8!>yuq_}P<
zxw1sB4*M8A>-Uoh3HxP&WF|PbH5W-dm>|<;q0=tdcOtQevuH*_{UVu94|h*-@5-HY
z;`XAGMIHvOe45KnDIPYl<S~f#nvu9$g!P7^t74f~U`uR}Nb+_O)f>vLn%~r>S_N2z
zv!1yic+f{S@XRH_!#T37GbaDB*9~yWt;@T+uy(cm_NUh`+{xN|a>a`NxvRczTp6pW
z|9O??G=YOPvVl4m1P?pOvPRS|SuD_9Dw62=^;7Pz=;Y7-YiHLTJiZ|)<t^6_1y`2$
zhfhgnA76hWy~yK32%qM>6N-nEEqOk8@HyK|In2TT#Nb%1rB8uBU+|r&pFBSL3H=nU
zbm#k-VLQpmE?~N|o!j)o49QOnj$~N&7<lm|_e?p=qWr|*=!aJ`7Rw%v)(qZLUN56o
zS^3@~gio{WgyP`@OCAFcK4+dOhdG#^7`WA2`dskm3;r_olf;AGDem{IzfIh;r~Hj>
zyU5-r6BaI)VSAz2uDI)TVoP3;#N)+Nx&_uREtY;bRpe-erYmQhM_||UhySlX+CP2q
zG+8SXv+ZlMGu|AyU2v!8UwU_a*py8L%By<nciz6*ee&d`S3*q;ET>o9brclY@Ya2*
zK+biJqkO#vZ?1SW#7c2)j8+!>mZ5Siu2<#8@`)n9>qGdO`uARKeh~VkVVB@~Rd!RS
zLJwu0c2l7T9d1rCS3O#ir8)~^lsWw}RE~OnRgef<!G7@d1<g|BxZY*iElF)|5@}f~
z2XuN{>SwH-$Wd$}l)TPO=*(r0uAi?QSt3@-v8+$wzS3J^8@)oX%T+2dV$DPbZxf-U
zm2OHpmpyu(X0bWvggCbNYISUkWaZqpLg8pwrTNi=4==xJS>y5J!kSy+(>xfrm%0>q
zyNk#(^BUG%2yFOn#r4t8UGUy3jbqZXsugP&i>zn*T2cSwLeOc=^~w5M-&UwrFI2YM
za8>ZIu`FxFs>K5SB_a<u_9fX|3T$e(^7`mtE!XCL>*I%4W%0NBu5YildL*18YskZI
zDv~gx&xz-1V2h$vmtllEC)-PnqXz<P=P~eaef-cgzhRr~`l`RBE*JgXd1^~V7WDTy
zeYqCc5@*$QG5B<SW(RvzY-d5dvf;Z-m4mUpOLhd$4K&^U{(_Kp-I|gnrM$aVvp=r+
zv(czcmuu<HE34$6o_)O`s`K^6XU9)%?1=E0a!qR*+nV1SjrM1W`A3Rgj$J?FRzkDT
z_QRWBx!Hft+hyW#SA2?fl~UeG+rJy71a1Crw3#L#D8KiV;z4)IB`@m#>j#~<;LpeW
zW$Iyp+9w81`IbEwz4?T{OgSuK`)}zi_b%}uy^7NpVn2NfTR2-LsAQ^hn_iK`g3U5*
zFI3tE{Z3nV6}s^W&zX8y<oS}KlnPB(#yC$w`AMFEE#^TYkJpN*R;asb-b;^V?tgXk
z$E!VD9C|6Wu96}J!ODBYFK`^K&+Rq1bJ3$=w-jfgzw(~6OqFBIy&s+hDQn8DWOpnK
z-1@=zkBc%(zo}E9QuKXY;kL<AoCRLWihkKDha`KCSgfAN^4w(7qnd4ZVw8BMUs=pm
z5Xq{zZiT|3P|+hhmNl_l51sI+Er!Q8DW^d5b@i#12T9jjQ<gLcTPazrQ|?N(s!y_5
zrEHgWHL&BbRab$ByJ27I+443anX7?a%8}i1ZX)7ami+iy7;(EVUZK6jr7*yqhrL83
zVSk^~o6CVMd#$=Qy1R3hz1BFY_qIafTz0z70n6SNi`9a4GqY5VW%jCAEETll<v4X(
zbV-%>JEyYMYY+V}O*ZKAW=q~NM|Xu#VZHaeE)l_)vtb`yLJrtks_f8j7vX<0;o(9V
zwg~lh#cQV%TX>5kk{3_m?#d22QV`q4bZ5dM0rRCAhjcZ&3ZuJ(?o3=HQocGg{!XS=
z!elNEv+&v7N*W3MZXDmTT9W3wNqoyzIl$Q4VzELn?yHH=;|;SMyL7b@ZmeozxE&&p
zw7Z^5=}mxR&s;5!4c@GpWv4B(h1Oobll4~P?(aiwS)y7Knbcl)By{y@EPLIN*w)8%
z=1O2kq*a$ew7cQ649lfARtUBUN^u&5DJve!R5?`9dt}Cfi7djVlahkARX88f-Kh+!
zb01`EZR&_FYF_nNtk64egG><5MCZ1JMJLjwis~hjcF4F%D7G8Aol0y9ESiy2QkoNd
z-db9-e~+uYa%E+<T^OI{v6G62D=c{o9QmAmrX1#&{KVi`ilvW%D_^kB)K4c0VooW~
zKj=GoPtCV)9$`O4!uTZTolu<Lcq*|Yy-4EWPMKpBitU_nrxfSgdOx4#KX-}G$H<%6
zCr_uR<UgsO@Mx`!(Vof9cCV)&7Lb2paLC=V=c1?9N`cy?8cz0_T^HlKgm@+>*<Jp#
zanBY1DbYo9>vir=nryKDRpGuU{mn1K#dTXh>F!)-cIG*kna@Sr*``wqCuhvoYhzQ{
zk>b>KS}kRV(6sclB_-bL+|7cukBQh$-NCqbiA_k}$*<FV_tf{x3ZFJSdgG0zqu}-A
z7@egPr(Rg{rgOWJi1FEzpTc-&2!&hyI;FdF<))>)%T6a+pP6vzTK&N@ZyvfR<()h`
zNvY{(=;|G++g<oLr=3!CRkuueq0`Q|>qKJ5x*~~%vt^FG&}-+cI+55lFGx=FnTJw-
zchyNn$9&5rFO=JPYM&Z7)Z1$&w(SfONth?nIz!)8P|PE+r!{Cw!bFj!5i;Sg9Ll`c
z?eF7Yd(n}!pihGBrN)5=JNC?Rd=g#c{K#wX#Qc+US6@5+X4;(%S(9$+?tEyqNMfOK
z+e9la121<)x7Qkn4!(LCy;HS(+b!#D+7Eg}1m~Q~yWFv&!L`U^Lj<4Zv=fT;hl4D6
zHu&&4>r6k)q5Z_*n3tu`1~0zgHPb$M6nY8$6y52@$9e6P;!!KhlnDKHMz>Rm9f3s>
ziCbijNhr5-E<2Ujl^G=Wvm{!m@_mIP-_INezMmUn_<rt);rn?YhVSQz7`~quV)%aE
zh~X2?nRHlW_EH-*3&qYo<#&|YS)!j#s85<LW0W)fFoX3IgCl;HJq4B%4oQTs($0J9
zBs2Y!Na8$^qcikfImJ8zyIODRS}wXjX}8praAj?;$Q@!K$L>7Jb7FkFdHD|Sh6Jar
z)*4Mrec3G!THG}JvRWQaabucu&7;Fus<R+W+3;MZ%E8LsB|8?#u^e@|dT8|t*WM57
zqLl0R%)iF*eu=5jgH>)$F_%4BUQ2ZrIxBPLWvLw1{i-0bY^7LSdtP=+!b~@fY1u7_
zt!_+fu6T5WN_B3CQZ~Gnp>i;)cS*!@IhTfot6IZ1eU(zYu~e|@u2kZU#e#O%uX=R+
zmFnE!uWa~jl_XE02dg08YK3EhqAC`vnnaF2xhuk6-+q0yx2~&G!Qx#$p-QYWR|FlG
zXZ1%qiOelMa>3P|an~yi7js$H7wZ;F*p^Ir5Lsrf?yNa4-Q3|+$D?J_DnIJ=Y?ED|
zqF?Ir(aW7@eW}QU-ae;2R|8wht-3zOxpUgR&^Rjiwn8E=^Z4P_w*zyn<L>vp?0B@J
zPr~no#sN>+)_RMji#e8;iahS=6Pj~1u&emiN0uFHW%<`vKHvJb;_B`N%3a-7NjsJ-
zW|&?o@~Ep%DduWmPj-}_^PP*YyjpCf9$pPo=KPkea<p-Q(NE2LlWq%yTRDE6cIVhD
ziLhO&+gDnZYu%f4d(DxrH-coN(zZX@rZtT%XZ5-DtF}McroGJUM*YGgZ#Qh-75H`9
zov&}cB^55*)^OOTkCSg>k#I;C_l=cIO|n`GZmeT!%hlr85X!2!ZH2<2G|?kBmNc<Q
zhfYXpo>bh`+|{^U>UF5Y20vDj?9c-n+*ldIRyTZ4NA1ikdot%?gAn_T^+y!HX%@EZ
z^^!^M7gE1bzxIe`S!!V+=lX_rFP{$zrxP@v&F$ghShup_(JHPV4Q{M^<W?&jQ5ALB
zv7$*rd`eB=o$#c#YA=}w>x4f4T6aV+PrI-u+Urcam)D#}ZmR#b#yZyI{)>M)BcWd?
z_)Tb1Tdmib^NU5g<)2wBIKsj{b;hF!LdG&-j~LvSMEqepm|d@`R<ZbqNdD9r59bQ8
zSLh#o^!k_llo<~e3k8?_GPg{7vE~TlE{}~r9Jj9X^10yKskuwr(3xMA??Q0b(c=%Q
zH4Po}RhPY3eB@8n#83MB*#7%;9c8~0uVHvlTXk8*$|F4Ir&=5c))bqsSHI{8OaIgv
zk0uHk+k`w~;QzPvPVI{9{`#Atk@tV;KhoMWKR2{T^4-7eol>Irr#`#6V=+_HZLI}6
zmNK=?)_NeEEZLF0{r!H;hW@po>_`1X4c-JeHoT2ISD$q=ETv?&(C5sJLcuwKNo{An
zWFG7i>9&arPHI~1<x}X|DXHhZafSc%DKq#Zrp$QQB*bo^e{|8mIl)Oyo4tJM3*9;;
z{j>`kf`fEko%d9IwmDh#8MBLOn#HmsjD21kSJ<m>{uS6$S><)+a&XeTc|xDREQz=>
zU)js2AfmIfdf5>k_Ng=cw}8a{diiYd@2sp|eWd2qvLiJ!KIT8&I>CN>?u#2zv744p
zb-mGZ`KG8&-I|b?y4j%)8$4P6FoiJI%imnl5P#`^n4At*z#qj6vQmmSRtk2-N+sS{
zJCWhCsFL3MOew_~s|33=r4nbXnaD6%L`m;Yr<CH11%h24r4l8UPh?0IRa$jKqF12j
z8plzMUW1-19u0zAf>V=sxN)>)wj`}^lW5COIgrrWk!9qe%))HyWayyG^Vd{p!F(r|
z`qrB(1e<uJ7DlWWY%7)G+z_U?=+&Bw90#*{1J+#PIPBHS60uO=<6*(mrcN7tltsKv
z4{dN!W)#zQ`O|S*saHVf3dd2VUV}5&JsK*DmWqg`uH)i(wxS_v8<#}dN`(VHmF7q9
zr_Np|Xjk`Jd}@Hq1&+gYy(~AD3rxJfSL&g%Z*P6Tn`<10^?F%utP}{`Sf0_6u-i>z
zTV_k*YB#2wz>XC+W}6<0_U{eIxx#Unt(PTYy+Gi`>zOSHYuz-iWwa!2b^9UUrno36
z{yN9}>lrQnIVOkF&7>X%OSg7()p{zkh?_bUIx6!#Hx+s?$I0cW-D<%mW2uD}YX#ea
zr8o<M6c^Pe&A-5Lu&_5k<}%0Oz}^p$ogigv1e=_t7S32B*ybt4Y49<AiI=9{b51P<
ziS<lfg<1&`tD6{-pWHPF+~}U&k}$<h!!4^NvBiyvC%j|Djl(8R1|iBK&L)QpB9s}~
zG+m|~ap)D`xxkVC-t^Gz>fR6E;uIGp>0gKN>%jubd-j5~cAG#IDDT+|k{4Cd`WDms
zK`dT*&&lf?`JYV>N!PY^blEy9vs^ZHGW1pE2{si<=yGy7I`8j#EyWp21-oWSCC*qV
zXy+HwvEs&MlS9Wlr5-+Z>HSc+$nA$kpyHw=|0^8w>RB!Q!ln?p`Xf1M5h^wh4YeLD
zb`kyHxQOeAL?CNThsJv~0nzFmZa)M9l|^Qo9NG}5%xI?J67uG&lw!nk!LF@Ri4lt@
zGOPwUQL9&A%@vNLT)hT5*F73ixdc=9gebFkn>cNZQ0D125lUF-<Z?7_fnd{5sf9C^
z3%2c);xuqqT$Hqb@@n6D1+8z<y#aeJavaX?WvN&y5V$cutK~tfo5sBCmWMOlm~28l
z3PnA@J%EoM$~^q0LJu166n7@=ThG)~t+imsYNj@8Esla{wnZ)ZD;pjx<NBfEwJu{(
zMf-{d|Ai?ET;&tEez174*8E$-WLF!+IN$c9!<6iJQGqXkjIQ~j2K8Tp92@2t3FM~o
ziwcy4GrF3K8kB@OHs~1%oJ~m=6(|W}JnFu4f~)jTM^?sr|Gj_8P5x3`S-L3D`uG~A
zgOR%(3Xka-%-P1x=(q9HXZcM5F?TIq25|UUh;%*XYkfGwnX}-^s*YvfJDe3I-UVp1
zS&FnR<WoH$uv%%>i-UZv2@~p_ISnqX>PY+fKmE<xdn(Vjn%tk=T$)yOukGc#m$tm=
z4`$w;a8vwlwYp1KYJH^SPuDcDIrnzIj@L{BgJ<h!%$)hrgKx|9X>-KChWz@wR`v8G
z9bHepY87V|H-jUG3fe3rmZ`4#E^$hr^0tA?J$s&s=98wa;7e6-*5OI$=&k?9Q+UDO
zJ4F8SbVa6GiKK<*&N+X!d^vKEUpG+pQh6IkO>#%;dY+9RTNU~2vO8bxwKH@{nETBu
zw0_$(MYC%LM-FD`2FBjiYU5aw($Ol)vvK1bMZRe-cWGUf)w#;ts9KefxKZv##1eKs
zu~(Z!*K+D6bR4K@i<og-K)P7+Q9@t+v=y)CpA~3~<k@I2S<x)b;K;!e-N3sKP1-no
zQaf5Tc{Uo(ROD03?0n^<Zsd}%da=6LHiIL_(%MeU*!AT@a?c5#HJ6zs6JIy-Z29Wo
z_NhuC{gu^%b#;^FINn@g=HC6~z_C2rf(`EMS5|Ba=V$r$va$7VRl?&2ISY#w%vmce
zm(;T}wSPTuNYHkIZNHpF#6sq*KanfhnO1)}a45@m!$x0znVjp4reEG%V3ss~(a0nD
z<-jom+X90S_A4ulUHEl)t}#nDe>rf_K{nv=DF)jK4|m9&@HnVx_cr9Ob>qIJ>f0Bo
z?@ZYsYCY}qq)Wl4TOaeN9cOLSEIfGV%8rEOsF(?{^{FuhhRS*sGbF`p&UA4zrX6n;
zC{D86ZeW#`kZNGA$8n~cTkP3!R%z}>O)@VYHFdid9z6VL$Ae_g7>*4ldMy!Rr+4-m
z8ZuiqhcA8Jz|}NI|2Qjm{-dVe?S%&q?%wg>QEkkJ4~lv}en^Nj)pT(y+D)Igr-Q{(
zwd3iXlMlSl9IhAqAtkO;)6H$R?>MVu{4rK>`^Qb~_dZ_!^+H%XQ2cSyak*p1`)YkU
zWZ7p(So0aK{36|yS6aGv)`jI;^4IZw-tp!9|Am+T&paEo_2xOrH$S(3YCLf7RAX!H
zRA%Yl-Rw7?{o;{Zz5R<gAB$WlpN`EMnHv>B76pqw*J!CM;A621ujkXLSt)blN0d#+
zxy^6=pE6s13g=5XA8k1yF|Xu;%A(Ia-F{NC96W1fPDEamPt_FRt1>;Ux8}qmV_gk3
z!MT}kPY)dWa;mW>^`DCNx`GR<LwT?EE6viMv$lLnQc1$Y^b*C3jlJ^!m-)%ce#x5p
zxkstn&vwxamy%bVQ<=FZYq8gxJ#$~)$5-WgewNLj%m44btj}JNc`o7ae(`^kCbv9_
zFA56y<2}vqqrT)9@&82|SN)jYKK1DSnm50{|G)9_|D^h_t1>73eYK>1wam$!P}#^C
z0i`L)HCHD#7lyj)9Sf7Kln5?;lKf@=N$w!`tvkOx+m*wn{$E0v#qTI9_wvV0y~Xu~
z2M^xd@!*k9%!dv7dOvRbcegwf(&DsN?w7EjZp_j}&B7sH3jX^4_h0_sxzks2&X44m
z`IfC(|8>=UpYBiH5cKc%!AGC&>rI>T|J#Nwf429h*8f>Q_usPOhaWe_eLZ`z+@k8K
z^la<zSvkkH-v3*>eE(Iao8}28-~U^9xjryt?Z<%n=d1VsKJHh4JmKlSEpPPFRc6M$
z)h+yfI<>rO>hDdPcJnODE&ApS=6%|<o2Om3=o>ef*R^RkPeyFfxBI8wiD-Y1O)Zz4
zWcB2Y_-PQ&Hnm(b<kyoo;b6Ji)N)C&swZ#C!E(H*-xo}u)1ZF7Zv7j-jYakG@n!tg
zo|B*4l%H}}GwfY<eR$3Wr@ek!5C3eqlE4|VZnbxMSaI&H1o^4w)*L)ln|d?WZ_3M^
z=cj=cpL$m`$zA%*%T3ltPu0fWj4e~xa&Vr`?%*|d%%|Rc>e6j?V=>sV(>Cp%qjiz3
zdKJjZ`*CH{C(GVSI6wWaW?22Z_EYbQb~#DE*$6hI{$}hsmyBlHz_R5LJKyW>KJCet
zyCEIykGo6Rw3mN)a_6}h?=**}yEd1keGOsE-EiDH{aV7)x*c!ys%~yMD5nXsJ$$N>
zUbINzzW7rXji*YJPhNfLdi&PgN!pF4N{dg1p4)ITGJkpuXUMu}@A`Fd`nQhdO$G68
zd#$U}zqK!K8i-@<wa!uh*0#JUAP&3tx<vh3>(+ravDc|9Te)7f?w|MP_x$o-{70MP
zZq5p`v<lO{yHuTTRe!RmNaJxWCvCZug6*$79{3#%6%o1}z1F4k?MjujhmNe#tnIN^
zy`F5?{7B*P*?O*R+<doJPd$+see~eL#av}ve9^0>o=7Y{dhqCFt}<>uX^@=r(SwKI
zo@(rmp4!atU5j1u-T&P^`xZB=g}(d#FQinX;Jbg(yAZoG4`y<`&^q4QvnJRvX{yB2
z)W`CxZCU3Xv+2INLG@YWn$ERZT0ug(cUGR8*n9hGJKwu-`CauD0ktn49-aSh)8+Y7
z`MICp`Ez`R*xaJvubQ=c)|7qb&XZns_fOO9Us>B3)~~wT)O7n+*7gMtwk^A*dt`Rj
z_6-lV?YgC_vt#YA<mG<<tDm!(uACYBD>z}=FTOcdPj*))+jW1g{}a1>|GPWaCT*>{
zTDmeb@0{7!RoO4*oZps~+PrpY{hF84YqHHP&)+&|)cAJm|0^%c3&ZZ4UcYnU#sqDZ
zJ!`{CPaRpXQFr?E&V=l>ucmCZ5Z^oJ6~CI^hA;fLK2NV;`+Sy-iTezjnAj}d933O+
z6P=wOm2`9ri=>Tv?i}<{E;l@V!uE_=k$iEF&4CzYe#7_tOBk;{kIs7a`m<!t!rs;O
zCs@ojpE;y9?dFXWM(olN&ys9U+pI~R*}qkXd&9DC`%8Xl9}2k~zgG2YujHDfjyY`C
z|HUr<zeZV7=fQ*nHGGjXjw?zRTRutPYmYgT_DOH~Tb_xNE7~j~jtPi+OFl~Ebgt1!
z^JsA8;oKPDUnHsXzj+?Vnjg*EDvCBuGb{2)5Uy|6$$2)RS68NJ!&GOzx_@!=%el2@
zuHLk<OOY?k_{dSIwu*?;0`jjVgc59>opOF0zF+ps+i8=L!qu?&ef!j_vSW9p7w-MG
zzx-Fb#fficwq3hwa{c<2t0|v<#>mzFb8y?b$oEU}m+X=d?MH_eRBZkB|LvFft$TFu
z-`H7f)~~%)t6r)vvLvs2PV{Eq9X{{vJr^JRCDhKMcPVdGhmpleqefw!%>{E(&H6T;
zIT$!?r^TVzrdDp5O&_J(W#&Ae&|NI^sbHG3-MIpd1DSlS7Ov5)F0YvPYfU@7Kws8v
z^^OC*Y{JtIZkTwx;=+Pu@h#%Bo+QRTyQMYr_43m(Gr8|vnY-sqz3v^;B1_Lpsq5Cx
z*y+5L#q4R3?pD*%{V4?#+L_oZG7|0go{V}G6`HzoyV+agfX2Nh>(*Z2*>_E1!vS&O
zZ69v7<klD^vdjD4INC1tZ<o#+!<enTciCPgOmE!vB6opYomA1&$L)=5Kk^nR?%Q~D
zVz6<~zb)G96B-$}+zo%o<0e(V^MHo(c7t0da(fJm*pqwj9JRRoG*TktkQv*|4b2DD
z-XwK!R!d|ZvTK`J(C|=ACh3U$iQfB{mZoP%`$ku5AG>^F#nP3Uix++RK5Lswpxx~S
zFLq1KT^#UY?X^G=+4~u?swW?~Omk#9zG;^Uw`W?x4F^`a?2PMDp?0f^tJj{)?X2h8
zV-&!x&wJ$<H*@KSm||nGj1ptN3n5vO+&)*5M9p1UKl#kA5ahNoP2~3Xxp6G{ZK>v*
z+;vBlr>(kFm$86}pXbV<TIQ{V=N)A4m<EWK^ISQsBPAfRlB+P=FxZ$utRiG`@Xb|S
zSw*urqi5tDGCMbOL-S^}H;;Nat1Z^P4CJo2xPMD+xrlLwhoGzG&b*&hKGzS(Ij%i%
z#p`_L*8giS-&arct@Z8ZdUdsGyUsQf;YQ7px=98n6G~R~%FGPP)#>rsy3tTc_q3SW
z@|ibgOi6o`kTk2an=?~Q?%48~KP0B72_@vr^6W4)xp(sWirjpSmMw>0z7@TAh-2zO
z&0Acncht|BBDr(UjK7YP58j%Wd-K?}S<8-{JA1QAX3^_utdncZj1C^OpOBfg&poy_
zF7D9!*3}Zrvfo`=<h^dCoU`PODXV|8{(k8z;aDnuGCH+m-3JxDIb{a1rRxGLqY@@u
zbNClJJ9}OJ*?(PocRdQM5A90tUsrJ@e(9r|Yjx-8nf^R>ZC8EF^>wC_Z@e}N+?bh^
znCRZs+WWTt!qm_dMfRiJY+3~e9d!SsEigOxdZkuUWHa+tQ;Cbmc-SOXIMg$5y>Q+k
z_si03ZPi;--Y&c6eP8{kQ$p$7n}-fX-E-;_{Umm1mzCM{ojhj$=PhTN&2#0D8}rtU
z55M0^lQ#XcY*t?6n)R2z$kZDhS$X>D>se0)w*339rnkZGbaAZoZ0Xyto}S$qHrw`U
zh-mEL3ilAR*}kQ_>J+bU-MTDv=lZleyRZM56k2ojy{Ez4_Y1GRHHtW?*eJSReRZI#
z%Ho`9oH9u>Tbp$@7dE8wecOEIsNJ;68>fxrt0Tk`%uhSLdDM00P|>-wrxMgpv(%Su
zICH3M+RYosjM$?ipCxghw(&`x*}qbU+n_a7QLWH5Em`t;TDrl^RHn;2Rb+LZPUu#Z
z`Ltn%vz=I>#(_Y-R*OZuwMAd4xu5#QlP0nAfKTqFuf3b>Z>)K}_Q{{cdyBoRgR{k=
zOYY{>I&X9LH|2PH;nJ~d({k+QAJmEU4_(4kznS;SAsObahTp%>id(a9WqR)UX|t|{
zy;*fRHb2;Z=Ht7&{`oITirLbBaBb^>7Y=jP)@VNd6;mwsc}wZVE5WR5v($F`ho{_J
zmJ_>J=J$pN-)9L=$$0o$xVp4*h1tYF|G8;BujZ^hdHq?{7Bkar3DI-aO5RMGn%uHx
zTkHPxg$HWo>Z2+aNK11YWOY`oSiODOv~{ski#p1h_3jJmg`Jm*{p!)Ytm%K^vHdHb
z)UWU}zCVd==e1+cwmsWcGkJ^UqSXqS!5NJ1rAzOdN+obN<{I~1{ad=+-hY<K;~jd%
zXLp)aKAUw*EpnrN$<lB`fr?DC4IfVBBs|`_PC4V<)u7;h^Ig*Qdec|y#(d+9HJ><n
z^0#G7_L0k9#uu**Ts!AdXjI6fQr@}77P*I*(tU0m3KiZ~*n0Hh*<XIwXDREv{%G`C
zI`*2X*lE4UbKE`=emp)A=XiV~{CG=pSMCygpU&G=e#kMFUqEupmE_%ezxo!;`S<Mh
zlP7N{uUjgr`)TJboo(0t_WRZU&oJod`v3X;|M2Di(+srczCHbZ=aG>0QF|8k?)q(Z
zJ!;A7Ez?Eb_L*L}@=W^mhtTWhqB>EpqCWawUoT#;de+xDSMMpR>J^+jp}Xhm*<D<F
z!r6@fw=QS8E?trJa9iW89rqW!TpRVlZ#%<fq1Vsvy)IhwjboAALucKa|EGtrY0H1D
zcX%*4&vx-r??l4~oAZt?<XF6|#4Ehkm3ih({a;6(9?-e{JN#}^APe{PPrm27&nKi7
z_+2+U74u-v#M8U)p2&?cC}I~ctu6PSo^<*Dy6BXgmy4JhKZ(ZttDV(*U}}i;P1)tQ
zZtR$r_4vWAZ(X~tiM)E;p4Yn2W%0Fw;MeoBSDiGk-}d#0|JwR}+d};gaHqdm`&w>l
zE029)^z0oif$kUFuk(wpbcko(dcj|O$2OP8pJVS{n7cl6a-(zo-04nC%oZt$3-r{v
zTv;pJ%X_=r_#fO^GF$j4yR6dl=v{kG{hB&&d&&30E9aj}MQz@nR^VyADTIgR+^b`6
zE^T@zDaSSE*}~TP$-Dk7-kQFdr*PI4f7X(@a}Ql)oqxesUo>>T{{x%FllH8BJzZp~
ztjWID?|xsKw|1%F^LgvywTdOzycWt2&)u<4UG9Q=i%5^0blr;bkTZvre{uNjb64E=
z-$6Sr_st3w`C$FlD^p%{%B`Hcce#vE#W6+h^Oh-(S=(jyJeklPzQv|qMC;9!y~|i5
z@4Pwnz%p0DRZYJkRczj-GslFdSyr4e67SE*o@Blzhv#VQZ}Tt9@^atEgsxe2AajfM
z#Kfq%w<PABQ*4wheZqLq>}#Z?<Rt@_glT3wU+kQJR7NP`kRrFYWlFMSyNu5B3EiSH
zpEgW#wqq;QIFP{CDsgDa<kp)!8|w|*75SbS9XV>#Rx#tefIPQ^P=cbflg=d}QAxhk
zjspg55fbMFq?IKeC8)^$R9f_CX;jZ5<JN75#EKJ3HJdMLiZLYz>FD(MZrotl*QVgg
zEqS^3`p)ay%&(Z6nYhSbJJ2G+-PoxqCZ=}L(mN$kDp&oo?<FlggU)wM+gyJ~XVojN
z-!RK`=DOuad|8$)nHe!-R$9_y=DW{V&p6@d=jRio^MptB=%GWiMYyH)U#^QgsC3J>
zCUF7NdEO8+&0l*wXWo+VmEWHkY;Dckd$xCN?1p~3^P-7cU$-nYO$q5t$oAD{yZ%D<
zYM<b*%f~m}TGaZI=U1V}u@k#4yJ|E?f7!0*TCY~nnOc-|$jDMLab~l$PVvVnsdDo+
zo;e;pjkV(VCV}@alS^6C9;!W0Oa0KB%5*<6?V<YVoIeROo7d|Ue{4;av)g>;c=j~b
zildtZl6QoqJ>~<I)?L|++YWf>d9r`s!BzBeg0tMbf)mG+`B*ECEEM>@HGJCHvfP(V
zg1h_{eW*{oTf@(Dy;NwbVd@M{ZXUx#?q;7G#~gBJdfy4^XN<c3sm@<McdE;8+r6cC
zC%aq}dYAiaf)7hKw|co`Ow7Zo1=4pP&2}`;I3YW8idpkI<MfQ_2R**0#a#UQ{psFC
zr*~~!w&V2V^Vd#j-m|rOn5&)tdCrBYKAE$hTkz}3Tt0a0S-oEG)}l2QQO?ia=i2VN
zW@2`I>+|TVL6-$LHy%jb+}P@z#w^W#mW_{Z25-z8bLom3%e9-=nf^SNm9=?#J5zN<
zMq)W{WN&V8wR=h2-LN~`Z-y?;WZl^MHn}8qamqrmZS^m1Wn4Y<?VOab_>IITV%uI<
z&G~fb8_%u{v0qnD&n|gczw4E`{&t=#$82|PxO;6etNhDDVbQ5qtD<=$=j3&Vt=;~r
z@xWWDO|w=yd~MUod%0@QmQA(6*RQM!-**4?tM!{U)jH3wNKE~`YhBT*ux;yK`mfuy
zB7>=a^{%*`OT9(J;x4Mo*{)b(^e-&>%F@)Ar*GAmZ`)Eb^}{5ycrLNM`kU^3s$Zr5
zVpa@GYWI=+TT<N_(c6}8{rG?K<w>7cnlU{O%GH_UyLDrs+SOwRGjmN%wmo}tb>5}8
z+|0FqmaMDqSUdIn^|0W~wKa{~)QUEhiv0fkGmW{iGL4zL_$-^*vl+ZHXVzWhIV#$G
z>{;H7rMm4kx!KNo@zX<3|1#eCG$QKS{KN%J^_O|C95Q*^JL&wiu7Xt)PfKo?7(FBB
ziJ6+@&gRZvn>33;tAtAg!xEE|_V9_CsU0iyNZ@YQ$+<Q)wKDlpgPxjJzE932)~>0`
z>VjV1xwj_mxulG7K;vSztcM+qw=C9le~#L`e_Q%uah|*@Pj|Jjn$6hI`c*9_c`m1Q
zMD`)QYcuLMv|rt|tE(*gO7Mf#B~$O*+PiV5aah)6-TduqE-Z__m3MR5><1#-qo)<m
z3;Z3iOxn!rwr+6A>B!LAy56PR1Fx2y7K(ke^zF2xyX5xlxVy>YeZ-Bt$cV*prXdZj
z=Rd{0`y@X1U-H&T2k*4)nAj@HdGy$kmPZLuPKAcb3oB;K45+C;<E5dPc3kAE+YjxH
zI;+C<lH3oSIJBoF>2ZZqVS&-Y9Tt-VZ2n9OsEP5>U^G*mB5+$;OLWrfTc+3Vtln0f
zXcyU?7^}5*^O3IV%UQR~_ME<7@~fLAZ{_-<9ePu@g<tx-$#wM%)#iz8S_Y>abbHJK
zq?38B9K4}7HEs5iS6Rm<cGvgrRm!MMXBLY{KO}Z-)`nhFwKoY{Id?~-9+C^2wV_{h
zuJ+zw!RhyA8Smq6W{E3~6T4S%;#f4FWyNtt@%NTb9x}Jr)VztAxoXP9oG(9?Fu%I4
zH2<r^1Mlaj<h)EzBm|1v3Pzj};P#eCO4f9i(Mj&;ej2-F=C%)^^`>U~UN$z@zpr}m
zs9mn&$4Yj)s@KJ$3;N|+UaV%_$@4Ai{6yg!i`R;N{WZIgM^NIl0C%!PQnHD&Oixlr
z_rza5(Kptzo0Yw6Y}TxLkhDpzV#X47JGEDi4V+a1Nj1+?#N*}nen@Lwx9!T0eLl}G
z*mh5i)jF>y_T^meE7Rhm@iV_?<z}xxs#U*uqK3rUcR`&(UT$-}?Ze^^J2!5Po#Y(*
z{L;^jeW^^ZO>YLRKivJaw)x_U8Q*)CIKREMQ<qEr!`4Peruh~r4_VuD_B@%;94=Gz
zah9{(y@C_Rqxo1XjxQ8=zdG((ki#ok4&JRoW+y$hpG`a$`|(Bj++yqSWrqqw=J$%_
zm`!X8s5hFhwtTag*t9bS?RU7jwp~f?zP9?uv)0I4JfaCDhQ_>Xff2R~yDvH<EV_B%
zkjkYtZnmty$wr-ZD#BAY&0D6OEjaaSNbEV~5S`bWyP7(AQX>7Y9!iSzzdm*Agj8GK
z*P%MEC2#SBPW_tG>=<(Ga;a;ip}>qpvkeBp;nx-gT-#jlA#+P;Z|35yOV#Ed&AGc&
z^ptY*`_T95UJi}-=9+G}()jh^LWlk5X5Cr!J@&-GqP}-tN@@n-)2}m~ez53UkD$no
z1J2y(6&a63_*2gxbr#pZxq8ZEoo`yXXVPajv+5LYoRC^%bnMyD<CW7`EzWKdm~N4l
zS}-%UNbHmmcX(vlW1afbGIJLHb$!UICAsIpgagHVkrl@jrTs0RJm74Pv3WM3-CgF>
z#|_3i%TMRGolMx*$fctoyjE-0K}KOQi;P2Jd^0!n9#(tvpo4R_Mb-{IZf@Qy$CQ{$
zH{5WD)iDg<_vXEFoP(Kn!+8hiH`gydQr;zLV-V2zdkeQ#z}Aj}{^l(E`Y(F?tnWTt
zIFu`QYsXP%cJrOtowq)7GK*SdE)eUJUeTM(_A0?razXuC{ZEGuA2F|JKJ>b9-Q<<i
zqfQ5g7W7VfB=B>iqd$wCNyxW(t2)o*T)&j>Y*ym8S@4F(sztAkdp|#!5cT!;(qfw_
zk5&0|=csMSivKCXpZfRHg+q~Yw|=ax@2)bhU|i*%bmh>qr#Y!>jW6ZItcm*9qat1{
zB{ZqM*7M}?#w8*T0)i$zPBfd=ol*HU&_+DV^s84;QGu@J&K(n{*xd2*vU{hpl%afb
zP)D_>XKiehZdm-PDG`CE9;944bLi=*P%#zh-IFK1IXih$H}}*<dLDLqYLodl*lV_0
z%%~52zhB?`)n+G2p3IJ?X1`W^S?QH2ZYrJL@oXXg$FCRXXE3q}=pH%HR*|+yt9HIc
z=c>zzoavz}l{=p$nOwB7QGWTeV)BJ6=5F?az7M1?=G3Up>ehGp{9$H>ot>J=*WA_o
zol+Y^756B#@!b<VdpLU0&kEly4C_0@9;G@3&zG*P_cLi+-pOO7)!Zzkx^|z<uNDhy
z3D-9<JqokhAG&ZGOvzAWQ=iqd+NfBuFzKu6r8>^^uY#BMxfEZVogr5@=}XNYg{Y4A
zoW8Z&zL+oKoZ~-b!E&S8ITBG8?k4Q%ozEVYI0eV6+0L@)T<=#jUn0uF$%MVV<Jm)o
zi%d2uQ621@^}e+ezL;H%xuY_x|FsKuq3Obv{NeJxSAsMyTl<*Evv;a3-e2*3rQNGN
z=84O?vWhRAIks-elZ5o3PaAbLS#Qjm;*`@l-{@D{*Q*uDVNq=9oeNvFT{dqtw>#o8
zf8#!vH!*LN%jEO~b~8Nh6nhlo6g+#*@=i5&?nRasjwbxaJH+Y}{G5XSzWe7g@2dD*
zeu1;cN*=v_ps=ynaO#c98BQkhlRL!{gf2Sycx1d{o*>=%EXnp_%^KCH22&UAjaCa+
zuKN)%y`s5u*Q1|DE*vUabTh)ugx$MSEj`oa^M)B2c4C6M2b>nQMoh?f8aTanm0jM7
zU2o31OkV0`!Y<vR<~=JzuKt>!?=-uMDKCX}kF5Gtv23wkb@-ux(woOS(;jnNl#x-J
z)$Qyud9U8Znm5W(4SQX<H>xjOsUPXDJnO)cBS&4Gj}|3mB&I)#3%bAgo}&r-?apUO
zGM?v;M=WBMn0&%#fswI(;)-KaX1Wv`G-v3g37$Qivxs%ZY>UDbem0hgE9^S!_s+=R
zn<nUc%)sTdVN(X9+qL6v?4mV(o?cAB!t=DsXHQBK+jcaaX;t{0xm$`h*lX^zm@&mh
z#@EZP?`U!2>cs5qJ>o%8Z2Ye#wHf6fxp}N?k>w51e7kK@+scHj`M;mEthu8a)sXGN
zU8ucqrNINai!AGe&mQ7kbaRKN3H$cWXZ4TxF4oj2Mm4PG^fhg~+gTL&^{#cG-l{|2
z1+3X?JLh)SxqQAbKf{hqK=**dqE-o!{mpMOUVYLjRD2b@*IDRXR!)t_8zvjYsE*~F
zzP$PR9chnMFUssun$>RZ^7*6L!kwE6YgA?(Sib8AtGn~fH5GfZRxiv^yqKe-Fsu2e
zOR-^ne}>#MAzwDXj}7<x+E#ROI-9zjRhZS&(eW&q>mrknT2zN;r?C6s<0o1cN@*Pv
zJbN%=(M}0x6ZyrRVhJHm+*h`!UzBt`eB3W7Bhlhw4v+Gz=7lbk4NWfEyitv6xa-2b
zQF~$Qrrfs*vksIkI>|RB!)%+-*@I$>cHZzY;h+78yWT15XQFeEP{jNXA*#D{GSd=c
zgEnn6)3l73JSAq0pO;*i>QV;nNkJV?KlWHk&iudBML0qze}UIz=8!%7MOPTM&ak*0
z;bOvmzGHp&a+l8^S~Be9gmn)nFKVrrap4L>>HG|)?~mrLyJWP(kw;giyPwPN%L>hl
zPh5I4imoWVtlUxWYa+kBL+nwSQ}D;LrHeRYykk5>9?ns26I&;A_L%4*%N;%@;?p~x
zJyg0_6QdB-aKA(BQH|2&i)Sy|Urf1jx6tb0n!J9+Bj*k#EZQmIV#3edDVF5m6s%qG
zw?plMd7-__<zweNc~%u}<uBa!aq^9mCw&&3T#@;>Jn8vk>5EP^p7j}7YyP^JH1@k}
z{?M3Vc2D^1LF+|3E4)o4xdqN1&tAk@G4;X~r~Ac=IKTLbl$fZ@S8X$DP@Kh+(DCen
z_C*^|>0`|4>wE8=(Ak5hTv%6^?(21#Y<#lf`D&?a9W66=ZJFt5Ed6-$q-IXflgGC#
z5lN_u2|Alp79?aLlwTRhU{<eVm)j}j73(zVt%~N!v`JH9_V{_(%~M~>&_6k-!@baR
z>x6|LYgV!uS;VOa{=PBMr1*lm=FT58ed5hpmOOb}9mMrPSF`np%=9Zp2e18*ysC9@
z+LDyS(x6QnjWjK1%$pL^1BycxReA5pK@F~+nn(WZ5IJ$@yr&lv_ajx=*4BFU$!SIb
z{$6I&R8-j)Pny*J(evc-mL(zyNkLqNO7k{mTurR0*&ESXsXcj8bExOZqq~+oNpueS
zWT>XeDlvPCQ%|;ry~(8;cb5H2{mg4xdh>)sS#mF%PQkK`e~v6<OE0)_f|XBlXKS-t
z-s6Ue);IE>7#%S$l6)t<v)|TDZ#kP=z20)pWsjylY&jXbSN8r1)-s8mt#94(l4nn}
zu1I>KCnvqL-QDfo<AoF1D-xb4zMI{3{g~$D-E$AxDvR&Pej@fxVrTDdw|5VwP29aB
z^NC!Z#LoWLZgLN1O>Ezhr4`4n?)%P<Exae@!KR7PJ5rvQ=}GNu=5~Ac$Xxk*!Mzi;
zbBsmn`@4nJ-aOpF`P(Ax5M$r0f)3^0r}9PtcUIfo4!LaHeDtLoQ@7Or^yT$lhLaSN
z+1Ie7l^i&A=nG%O%^BAiwb_HM6P_k{v9Gc5dDk$t-&1x2*M_akVT#+9giq)xy)=1}
z^2uj>5u7=%8m6}P%5K=SaUpXU-?j1sUTiM18$NB=##|p}cdg`r)`3@i5v>tZ;~dSz
zmZ+;9Uo=&AgHXgpMs4oX)(NS}k?d<^blx^h?N*iD@X25ebC_LQ`2np19efe35;Nl*
z`JOFTS9RYUD7!&O;x3~$cd}JNYH|+y8kwHg4O6>6g7hq84zp8RCcdh>@}-;Hvt`mh
zXH3o$NhsSDSl<!Z`!sxYM$e-5mGUK?hJripFa5(~m^|s=))48&)ho@!<d)sKQ86X!
z@q@xuz1`|7&E)1SyY-`DT9)vG%2mD{;+dg|596YYik?)@%u@cKb@kYx*CEo<`9Zld
zdwjPRe$=~q?C|dpX?gjeT&5bIt(+e<*J|b5@%47=E1Vyk%d)S2$*qVVv$B#ON3ZH_
ze!tR8uWre$3W-}Y(jF&!tnzI*xYA6KZRyuUzIRJfBm2^rFZ-z;-^94mOzhY4TQ^=z
z&w9Kde^qa{-AXgLs^zzS{Fr%dR_q-=<BtW}XOA2|YciR^{F0AT%=$l08#S-*+~~J*
z?cOZz^FPAlmPw?4V*4S^X8EK3kfQi}%O?+o+f(chFJw5pL#9n4s`6mHsZbVkg~4eS
zwvA_;?w>j@D}2VS{@VG2{imc$gw7n@S^scG`)3{Q!p6ib{vT4OS@QmP{|vYGoOaOn
z+QozB&y=p*d!OF6vi6R<&#Wx_a_z}8GvdEnzRpOrKAj_zFtfQ?r?{{qRjzO2nfl`%
z%bs1W`yer`RpPY4*N&sy&uU&pc2D<N==%HA`+qZww|waGy>cvjnq|ddBk}ymXAfmh
z*X&7;Y%qUg+EQ`aVC&r<^HQ1Q|3$`UT%7mB|Jubv?$d7mIAX*;Kl0fl?$b6ksWbcY
zb+|vwO;xNj@X4L=Eltbm?VN9kGv9DWsvS?6R&V*@ypcF_#Ir<&(=|LvGkK~b#F97;
zU-5e*y6nuAX>UT88M;<)E{|~Mz8;bG*y^;*orIZlA`NTT-@3i`<S*78M>h#<mt6L(
z;=Pf$yzQm$Eu~XFYfihl<Gc|&f5fv#il=RCk|G<9^8{NT_K#Hi(32`QZ}XXB+|w*8
zP8x~(&pZ`cUwwRi#D0eLkzz@DmtS2CZu=aewtnxYXA@OUCuqLTNVGehqmwYRxmBn5
z;~Y(it#kKweEqy}Mk?bp1E1LYQMCfA<R(NPQ)EAF`6P+8-Db^`3H_lmTpMOND_(n{
ze<o<-2dinEYtm=7^6E_9xWUe^uP%Sv#IKY8+P@Z05`Mfq>DJkLo#G9xsd{aj&Kx$F
z_EX~ACI)4RWlj$#>Ih3L?SCb5Izi}lMxx2-oSwv)%^P)!4f|5%j&0UCz%s3M#t8$_
zcYbLz4~Q&FSX59h_Bta`;qt7I9o8MGe9Jav?wWDTi2rh=SOVW^r!#3YcI_+t#kp?P
z{`6nJV?*x;^Ho+HQj{0oSzLdz>S;j7$6t{?A=d3*)q|%RN6lZfYIdMZkztdwTwC#p
z<Ar+CihVCm+szVvb7A+&1NHXpGH)JF=-w;yX+yiSU0Jcl0X@Ff8!O$lR^4B=|JjS3
zC!*)f?maFuDNv%_#^%9<{%RSnf_7&kldI3|xc1gx-o5g~Z>x5hn5PrEwPijP)X#Lb
z(<{_C;A$K6@M9$3%^jx|*}q#pd8E;9Gv~pC{>d_27sBhiSF-E;emRkAIeURef<n6v
z&$>Mg+I=}Z4<|G)lqoXw=?}T^JGB0!)-&04^6HBwZ11=GuJ!2exuqG8+1q8lJQFJU
zIQjFZ4-=j3{0boxwZS_MS9yd~ovezjcYc1Tn|uBfjqG2pdrh^Q+jWF14j6Iojz~*R
z*Q+`m+_TlhH1wX=&NbSl)7UO%YpyqQjnBTY@lmzr_UDVr177NEI(uVU_dT|$OLV{9
zn00ws%-5!q*}saz_a+ui*6e*DI$1hcCq-ahcan0}!dF?fdR2$kNFBQu{%`NeBdm22
zJ6r4j#robk#-LnoaN$HOk5LhSbMKww0=G<FdB4|<cfTDok#kMz%vMvK%^PQ>@`Y_Y
zbJS~EWyC=v`SeJ!2RymEzP6Uno_|f~YtiRm9zlzl-B#RQMmG*CHtv<#w4vYGXv?Ds
z&CD`Ig)>g>ZWZR)SU5+Kug}n>Zza#if)+(PK0}oQ^^R>VH`c1}<Y_CrD%_YDyY=Ye
zxN^JM&P<yvQWDkLbIv@N(EL(n(q?tF_hN1aM~)S=S-dzdAYLo^Xd%CI&6hNfhWWl#
zLXV<@zZ5-U30&WQEo^?(@1IxJSxtWznX@pqa@)SOKkgor{Sr2PgPzn=xu=VKU#gro
zH8&~CJAZwP>9bk&A1%J`xB6?IbotheJ3BR_En25Y&;A%PyYt($U$eI9%**t<V!S$T
z$BKQ+W}basT<P;t%GPqt#?F0OpXcy-y2eOsin}1V{>1H1y>qS8KUa7bN7U6@#|3^r
zmwR?+P4x6j+;Z{DBRKY4ocrMVv=?8`RCs@mZ#c@c=d$JWbARWxGszd#UkuyJCwAP#
z=bcxRXsXg_-`X{AmEX#!_A+huml3jXmktw5E$B^Ux*nPKknMENos^l)(K^M2vv;#_
zKetSIY|dx7<A9>LxaE_FLhUs%&jK2>Ww;7MuP<12kHf0-m_=yJgM`_g(H2=x%>1^!
zyp{6MIbp}*-H}mC^M97@nw_LrQ14&f7`k45?uy+9R+&$byAbPe)!U<AVrQ@LE^eK=
zmptEJ%HEuEdh3;IS59VEUq9p2_}ym9hlMkrXkO!#%`h#DT)Fm%TloFEQ+VC>D*s3}
z_Gh&-oy@nx>FFHP^aD(_zBiogg|}V2-y+LnkSNalGG<MWZjD*Y^r;7Pg~fIx9};_4
zKWjs8wc48p`xZLo)EEY^$Maq}YR>%i!(E5kKL!DkmnAYf?&bJCy>g_GN%o0$M1sxU
zrK=0r_+RYV)Wv<ryomdI&z)nj%H;*ePdtsdoU+4)`>{`We)1YlYl-ATdTz5fv<Ira
zNnXOqUXikEg8=_*kNMXw!PXAsnO<ZIuCIvQ^=h(Q#;o8@E*p~o?tYW<@R?~JuC%bu
zo4KL&xmwQSR!-}R%o96$kF&jc&}o(_dde;NfVQw$MeZT7dowolZdZGguxi<I-Gr}Y
zUov$<O>OTwu%=0@nBy<CqBoN5Rf1Wsa@Up*RzECf>8<`_^^+$rYgU~k_Z@>o?(4oc
zj)~R_mlZ@_zAsYn(DiF^W<|uTe3?ZP9j@j)F3>L2X_audP$}3l_0F?ElO_9#Ix0<+
zPJQRda$OddR${kyVNL(7Z*5KnTN|zgxNysQuuab}3@L2vcFTL1Ej&}v^WJ9N+c%<q
z94fcnXEO2Xqb5(g=pQXV(syaiejgY<fBN(f*$=hE>R)j=M3tO0y_zh@ytwj*`YkS{
zh(CPalVTrw##p=++I22=;U@2cL2DcTtTwwIv))eecVM{9tMy(VYc7cP|5_bl^M32m
z%S+Yn-&l3;L%eeHBN6V#?V4hnK6`E4@X_k%p@XYMxW)B@b!_%{Z2a(X4qufjcV)k=
zaX{m8wycMpji)BoA9av@v+y-T>Z_#nja4_&7ubD!y)r9l6RZB^Z4Wxk(l*?2U}ckB
z(Rz?AE4ic5O2TXT0`PRdSybE72o4_qjT;P_woi!N`6j_&()m=qX6Krd&sh2-SF}E6
z(^B8T_Ub`S_*-51QYl~4yPG7IzTW!OB5?ZY>!zn0Kg;kwTfO5zy^QYp(%hW9oYm81
zZ^z_*yYhDF3#Vh&i#DC?UADODNOX48)Za<R_Ew+Ok32g4!qOKt{97Yt9^~TVT(ji2
zo9mQ=deb=Hq|Iz)*4bP*15!XnO{<JJZX|y@QY?Y}v{TO0t}}<S&iS%^cpa&>VNR;p
zv`uG@DNVDCIAA3HIr3Rzz1-=Vn1slN`w?P~Y{FB_t`+2!v86|-70gN%^V@jlnCCQ0
zi&IA8?h(%(Dx9u)lM>nR&sT=~;`&ZaBX;Q>Dsy>$6?s}Q)kdT(G(Vm5=TB=gU)_c?
zN6V*G{y1hNA0H|9fcvyl&A(PnBXQ}SO2x)Mj;Oxms!5sI%CEEe<GfV9d-WU79A#N2
z6~q5H@>#OXX{Mgk$PU98?av!JwT<+fFUCxocR*uWq{K-hY2_Vje%v@yD$lsBK;wwn
zF0K%VyD3xR`ybx=eAWEa!?GSdi3yuue><o9)^}}W&(%+DIlj+#Wz?DYiK~iM6pER|
ziK}{c?^@?{iF4P(xJ%30G=6sOvJ7ac?_TNuh$m{7*#5%P5?iiriMXh_>_YC2O^zQ`
zu1DW6d85%Qt(zlNX4pHsM#^u>F=sp8<ui^c+wq!vJvN-*7VYymvwPox+qV~q%rjh`
z(J#im<bUz8{n6e}{x^Mme=X?C|0|1T252{jalYU3;<i`+yi=t&*8ke3ky-LiUH8{E
zjmnSpPx80CG~c!@<?~OTcmECrH&(M{J>1uLt0G|mpPbZ+Zg;j<4>vacs`%BtZquwP
zvH6A-_ZPhupH+2g(ytY3pDmkrwCT@*2iFeye)qf0=e@)3`kbSi<4dOAys}w$b=Zll
zk741V;I$ER7CbzvKTCMZiYU1=54P&@UsYpTU(&m^cw4<%{Os2kZ(X_3G=0~xTdLa2
zwKnbC^S8B?X?<nJ!>jtUK8qzRJY2U(`d;dEHSd3o>z=5-3VLDi{<WUwp`){QAO9h4
zeP{QspzaU)zDGs!Ui<8F3*K>PQ?PN~%c8))3XgnaIYaC_cLZ)P2>q+^NNsat@7XkF
z_UJQgdfR64+T<8ZxYYC4@cp}*p<wXj`u=xco_jW@@m&3B`uvvE?Auvcvr``5TW4K-
z)FGk$=7B?gn;Uy$)0o+JpJmhgHiOsZjj8mH8_T(4W>s&!a^<o4-KI#r@aPu}ZvR$w
z?kidwC@lYR^|{SkZ|q|KyGqif=;CHpUem2Vk6F#Ry7reCXbnT5+x8W)^#=lHORSJS
zdMakY{)y2qmY=PA`LIj(|Eb`{zqvMB3r;xX%4A<QxhnSMYU=W(>*8GY{&Bo3RO-EE
z{fo8!3oItp<SX)@_l%l<(A-ww$C}rhqj!l++r9sV)iR|XBOA}~ouG9L>wg`2y5nk4
zzr60OS=|W_qv~E&ZnQs<uxZ`XDLW6;+bC~0xPKy7$DoLvyL9^$p4VLFZu8?$Zf@vW
zRk*2!wR_g392T+Vw<01YWhEzPuIg=0y`}vsDtJXd<E?4aK`R)xeLYeT>waO<`rNhI
zdNxh%8*d#`&`o*MDtar}TcVWdamhvBiCjJA0o=kRfzjSqG}m4EI(`4P6`l87V$)P7
z)hCu4hh2Qm;I)Bub!q3b>|0L^OgyKHwz8-dpEx9P_o=4H-A3t>@&whqnDwW7*L?Y<
zv+UKo_1Ct|s)=6b_;$MQ)!5X`^`8XzS@}L+I3##C_v)?9TdzFyznr%E2<x)fS<7Ng
z7yX(y<KiO86Sty2XBk&4yBBE4y}9IRe1~5DMI{}(dX0vgS5|+Sa6ra3$e-iP(+O`j
zTd3^T<~upB%X!n}MdGoQDhYzRYd?KvP=DFv^E0s_SZ8y=tW>je8_yi9yrq*OJ#A}L
z&7L&FT(OPpy5^VM*^>Js^A`R7o4Yn^&A9|l-F-|#5x1P=f~R|gK1%<^Q0>C9@4|9X
zE|uoGTgI;ZV&CeYPiSS7*<?7unUAgT#L)!4N{K^?^3Ikb2@>s2Jn3E+4uvmc$*oE5
zXnxOA__0}0uFmL)d%jve<1Yghm-x3!-L{{pyA>!h;efQ2v#!F;y~S~6%L-2%EaBTZ
z<DeqHvE`Fwv3902&jLCuzf6}GTG>$CvW+G5h~*?jF*m~_$1>XLEhL=Xk9VvvSR?lP
zrBrzI!_2vdX8EzP2kEfzEsHoY)1j-i_pqiIU*D359Tu~a9zKldYVChqVxbXrV#f29
zb9=Y#UAS-K!iDQ}^^ZTBtSMHM;jwW7Gt1i(%H2UJhST|2LSqti=PGagI-&mQRin5>
z-Pb&^MV+%b=J*<KEYLf9<XHVr6JhrKOK0xbF(K{I!=zcA{ocDK>CZgjq1Jp_Q;aD*
zNJl5ecjJaa-J?!^#z8tUI{q6!Y*0FS=(v~fae+veezmnm0gYGLvJ!h6Z$)G;;JYTd
zqWde`tHkEUUpG9$wQgToEC*c~(Rb{LU|9dc1Yuql<r`6#yUy;?Tl|Bgo^xA<+u;m#
z-R8A2LN}IK$F`&>FP+`(sy18wbjsnBnZ5El#UCc8>Q$K+pK*?#_EX}-CWhunv7`*+
z;1scK#ja_o20h-Fge1-xaVtlpJr)etd9^Tq+RlojM*Qm|pFQS1%~X>R+0kDzefxo&
zHxIhboO@tBeTnXe-c+Ns)S12dI`zdLW~S=dZ9H?hdfLy5<C_@rBgG!EhNtkA6}di3
z`7p=(l3>L#BX0l5w8xyMWo*)Bc7KdHU24;lJhOkJ4!1#Ds-j!5`LgcVn29?jdmc?H
zNfkL`#O)lBmMm~uh9_ZW_dlJ_7y47}er?b>pt`Q>j)3^iuxE+p;VE%!*LSGcHomUc
z*<8?^YL-_N`Eg^r^b)2wPXjt?Z*iYU+r6#ipzbe=<w?1bl7GJ)$o=)CbIH>mzxp`2
z@0ccXfA_g@tTtEB^G;Add(`z$=e|4Va=KaHSAX%|Mp@*otn708&7BE_y?-Q{yJt(f
z&o*_;uZjNCkhdvdt8QJ3rStAN3T64n5`Qr-t>1QP-Oq(-zCDq5-k(d)epUUbNpFQ#
zZiW1nsXm#9e>3pwzPWzz*fYJ}twv$DulsyUxm8uNHZx~k_WA9rVq5p7F*n+#F>~)e
z%Vt(KllO^3l3BsV!#NKUcdz5wb?u4u>Z99pZA5k)b>=poeR5k&H2=*mQ*W80b1zHp
z3Y)O{-a{L|`sok6#j@HzuUOYBR<Pwk?c9G7ySlhzjEf|HzLF|z{C+Ay=I+Bazdru3
z+tnWV_43EVY*~*j?mo<UeX+^3M1A?%O^%gIXTEXX<|;j9`d5bsLB=<C1TFY=Q6%O{
zXl17G=caWlL-UjLu3wE$75;2q`>56T%;#OFR^B>dv)q2?t3``c>(l03?Om@MyD0Of
zWbLDi`s^Xc)U&#GZDFpAnq8Ef?U(j@%DS^t%76J~Wo9nVpZIN3(Wjy=*$Yma8xJsT
zZfyOT#w`8$3>)9GSI7Fg9FvR9&s@BFBkT4C#p&x$ukt;4^Hz~YuGcxEfX10@S&41i
z(mvk|67(}KYRq)YOI$Va_Kc+ZCwywJPcJ(W`qKAO>yg&ntDU<Xzh4)#th%~lxtRKk
zcgrtbd*%I0A%b(;gP;1d);S#9R<mwraiYilRlAKcFWW|c_V%vk-uB{C?2UPM&nPzf
z%52);7=H2T+ndo}CLVgU+f=D$wq3tQVfE&yqmv#kXyoF#aQClkR^o!jQ;{u=RuVb&
z3-sC~R<ti<dzCz6-Rj)4|8C98T{qcuL)`TuuZV=XoY4{4hs>_c*wB1c?M>2D&gzJj
z*{m1ScHQ!dUzlyT_OA47>*Ss5_J-xQ>l+s}F5R_z<rdj}F6JyE+YgJsmgT<vP<r<N
zJ(ue>4lRqn5`DpZ%Q4Z$uWK(}Kd0SwCg;$JL%Uk)lah0t3OA@N+z~N1z-En?hFzH2
z6b5Y}ua2jNuC+g&r&q^JWR=+6^CI+Hu~44aG!+r)ZsD$GSI(nH54Ai>baeV?XuptU
z#`FNEGr4O+lGkNlk11Y%>s;&1NZoT=!*8W_ipswT6kf3TZT;n!`a(OF?h{MDrTup6
zlIO3p7PW^NTh!++U|P;|<&Y=y*1|&$vNHMW@2Imz#aGEcHRR)zc{-t0S!Pq=3}-&R
z!V^aw`6?|AD9Zo7t$i?8^5m(bcCrEXV)s_F7k#=Gcaw81o8+FPjsw|k5fz68r1K>o
zJ&<*d*>gQT%1`5~gP+RR0|$j|3qFSM+t^%YmOuYh;Q+TS$H$<0?jp&W{L4&@`)(Np
z>QDP~VVOG9d&#7Svd%es5<8l=^AvvcouA2F`(=9O;_Y%4KUVsmI?8YR;e#uGP0h7t
zhWTF<jvU{X5*{YM*XvWW-uA37)k}(B%sYDgTS|FUrQ@T=-%cG_bR=oLT6Kz<K!u0Z
zlSAAB+@I5WGS<6X<BU0TsG#2Wn^$`M0i(v>v*sL?o4708Okjt1)rNI*Z!g*?Vt!jf
zrJ&haY+dn*W2StTJ5DKzhg&>(Xw+U4^C+O9TZXG}p>E{Fjcpfp&t~NQ@^YF_gzx(3
ztGCtOPe{^JYP>D8si520EU)OqL0-O{JI*QcU$=OYY$JJ~d;P0UuGFSRE}xwB^(}J@
zS1C>PlnLRkn_Q`3(Ar+4cE(xya>a`Tt8!h-uN$rlFpElLEf8yyT+us`?Nx%v&Q9=J
z0Oz^JmCc84Pf6Pvo+jejeCYI)sOYRuMM7qWPq3C{RlYp>NU~;a)kBr1MpA}F-0OSp
z9P?H#|8VF;tc`h*q=&@L{^e0|l@I^b3tw8QA-9+DWuf%S*-Bdb^6J?$C#W(<7T(^v
z!D8K=OV3srt-7POH*)4`)&03C0-+ImPs}%4KJ7;JA9rb<MUrpCHa8wf+uYddn{q{4
zVnt8>6)~?Xvrl<y^FRGF^-j9zjDt?hq7exT#GXm7=&fXXm9VaH*NnsQ6Xs-p4)EJu
zsgYj)z-G$iLb+3wmi$je=C&7YJLha!n7(l8K_j_SFKzgrn#^l2ay##Axl^5S->j{x
z3bV~rmsWJQJX!kSfnRiL>8@EPZg0$9IQyW)q}i+Hul@S=m{Vh&%@k*T{#+hKMPHpd
znVdsR%^sIRZwD|}Y8W)Mi~ah1;n;<|`kOD$cLls%u)6;0?BY;vtBGmJWkE%T+M1O!
zW=^R&<Ke})Ons@q^T(5-LalB~osQVDrUW!MS+Tt(<+0DDQ%7GedGatM=u@GQCacB7
zDNZu$Q+#S4+h}c+72VvFmRKLOX`{a8&Kt9**u3%dvMW<x%CLJ<P{-VlJ+AW=@B5iF
z=DKX&Fg1g3o50!nqiKsOe@yhxvfC%Dd%%2A>yKIgSNr{6>D9gJr}LqJtDnUe?fl_m
z!au)5>_M_q@O{r3f0M@Y&NCu*f_^-@dsJsNo4XW$oRwi0C#ZYCdr@n}#0yu-x#p`H
zNz^jFS2W!6VM>PGJOSMU$%|Smrd+s^zFuCy_uMq$nVohS=9(AnuGBwY`(7|zyu9;S
zqSVK)hEp>bmkH<|dD61X&dGdYhM1bb*<%%pEG67c#FIOpCGuRX>G8{W6?}eihnm4A
z)3}C<-=DGxI&&*`q$OKil;KgH)xFT=vq4LS9h<sIJg=q8WM$rqPZ*p{r2lq2TcCe2
z=8NL2_Ioa$KN??5xFY^AY|GJl)32I4p1KG}TocoO;UN0OCMhFP^J0#U;;iPQF2x%=
zGUUXB&K_r4)H-ASg)8EZp9%RU_&su3lz+rmtxYUV`0O#AMV2!>O~j2mo+VmctnpEf
zYFO#QZK%3%rA>cUcW>OP=J`{m<oNh_@u{h(ia(w_slCwi<nbFzL=t?0xD2i4)n9+{
z`lxcJ+6L`7L9f#ZyoOsgOwKSX6F7UYY|+V$(=z0)37$QkwTLysbBn-gp;@=iMthrB
zuJw+I2}!Q*T-eI%vU%gg3_df#vq!}iRo?J2k-yy`mSBF->5XSbR!_a?d-p~83j2G?
zceUor+bgt*#R;4}R=miv!p%h7zw_Bc&WrUmHfm7~?k?OP4Hm9^FL_^m)`7i8j=Fw4
z7@CyvQ14>S9mQGAw_S=0yEEkSgw7u4UBtS>Z;QZnp;=w#>jZos6g_&hs3O^8(XG%u
zg`F0+cX*hvi+4PGWWK0U!tvb0WQ&VTJjziW3pvkD6*r%e!4!Y{vAB4x=J#|ZW32{B
zmpQpS^(wQP8C{Am&d-qhC3x07ei7>n=Pd$zg=Jku4%GOhM6nv&zcqPQul$j72g?`j
z{NZN8AK&@xG51BL8nvhne@<UkD|sQ`)8XQuW=TZ-n72bf^yMFgS<U_~#UJM_dHz`T
zBGVq#s19>ZUso&fI^Qg&{ePwQPtdqz>ByryM}1aru}gh%!L$s$a{^}%XD<3_;kt!E
zU3gYkNuRLqgNR2?i#*cx4Yw3b$uR2^ID0U0(M}5&6Mpv2XO9^!GQClc>Zs-Pefsn2
z`i%<Cy-L-SCN<ynJb858k|&AjL0lV@HCroW&$q5knlar)xI$1rY3a$pR*6$9%l8=G
z)@rMCdm~e$R;D+vUcg$uzeDVS;zcJLj|{E2o$e-$yInRHv}c&*37<WvyJ+VQPZNIg
zj%SapE;7Y<XK3;4^f77lcG+AoF@sS}#l-Z}d8Ju%BvrJGrGBalIdf0$R8zm=!fmLz
zaAjbn%te-M>V7i4r?NX2w&uEQ-U!a~x(BKjwMI<8aOK3Vwv2kF)xwfJZr64mxo}8p
z(ai`)(E6EYNt_pLbkw36TwS;~DlA<2w5ifXc*gu46Ee2km7OnkJ8Mg<$DG(4rCB^P
zh4*Et+;KH&oa?fAV{gW$XB}b*$`_q-JTkHjqTNjzw|-<*Tbf!hTOumL*+hDE$Fl_0
zi!o~yX0<<c`Mj|wgK=8Dnu+O*yDGB|^d4z-X}(#Rl=0B&Vor?mtmf4&#f7aIa(cqL
zNAfv+pFRz+GwWJ$^T5g_PadQM6%{IJR$9!PQX}K-#n`8|RKWd_Wbu_9rgJiw4nJDD
zatqHd0cURKj<jThi!wY)v$`8yJ{vS-*!>dLb+BL5`eOElD-qXbXE516uK&Jr@v+70
zT|R$My(eiAxW>?a;sU9Vd+KvS89w!8@ZA&e{g$O-dvmqm+2cx!SR*`4ZeCV3F`aQ%
zdDekDF1+r!B|3&%3}$DTr3s!rSg~lQgu4m<;!d$7AE)5vdrw^07CYwi8E!G0nxVH$
zSoZ+WqShHRFI-75GbwzOVQ|}vN4KY5VODRm3-^Xu8H#4=CZ-Cx>a*HwUAPNDp?-(A
zbJ@~k4U!*sgo?iU(lT?`merod(w8SqT61s7lcb!WPX=n5KPBc)Ve0YnQe1aLHI(1~
zab@qSM9?CwmJ0pli`qLqPagfeWO4g(&y&ZmED=d43!2ocrnhp{?HN;Kbo{;arm5De
z@@r3?H0SG);`K^xdp%Dc>szAo_|1|hkAi|e6{u<cw3svH35(@CeNj7~?&y^-61{>p
z8E9(Wl$be1rpL=m&raq1i-*ZUpFV18vi^{n9@6kZSCg}*fBP~Gn;RnMTf6w`RBUtS
z_(h$RtPUzF)YGioF=0wgjE@)NJC&sZuRlL^ua}UTef!G(oP7(I=}AkbT-d*xk^9T*
zxVmFr&Bi}2ojt;8C%v=P-!1R);)&Kj5}xSYlit~0?)L8S+KKEH$xn*%;wu|kinwci
zk7lQSI5lOD>W51wboUq(NuTe%bFd`&UyP4&5r1&+o#P_P{028qJY91AN>%O`!=1N_
z_T@fd67IQEf2cxvyWzPLvOT6n;>kTRZT4>OlBY~$m&kmg=r*J4x?}$2-OB3BZhGo$
zZh46-Cf=4vdcwyhy|dfV?Oo!6iN7ThwB*F?eZTK(msfl9csD2ekF-OI`^tXH&;2*?
z@ZMLOeNAnRcTCDtDcE`Y|C=xVnr@PF-Ze};u#zt#(&8qgwsgI^Rl?H;73^zbWL`H+
zZC@<A;Zxxf<}k*2r3bW*T>iqfsX)_K({hr{%Z8~39`i*+R$O7!mgcukc>2JReND`r
z_YG6qt3i6UGlv;Xdesoxar>oc%HyP}pdy`JR~fY%XUlHbRIr#i%xqoxfl~*!@<r_2
zaf?x#-`XnSX>vOI8m9U;AWe5)iavSZR25WYa{Dr)cH>^z4VyNsXAU#_2GZ2X7qQdg
z2BS8AyH$ef@`{(OuO4kLQRaVsxwmGHw>ejV*<FnzGxMIdUodsE{HnbCWTqO^<Xuzm
zpFB7t#lYyQTY}4~-d4?(W@gKl-LjZ5E$eYo)vDfh$(3eyY0GZ0)X$ijC7e_i6&f*P
z#<U)ppU;-u;yB~Gb)$j))nmv0gh;b<2j#}-_-y^SLF4MN<BvjSGk6E(I_dbX=8_h^
zG-+b;olPOqjZasaiG?k>bt7VC*5icGRlVI;SDMLfTYl@ujcHlJ3E8WBJ9INcA12g9
z85Nn_o|C1#LG$XdL&rj-rKN-Fb7j`}Z!O#iTBmU<L|Q)kQrCr}J4}T6lP~+!^mrO`
z8d#lGIkMu^`&F}x=1RYwa_iRWu-xrySJk8__Oa-N?prh4LGsPBJ32AXCiH5{6ctQ$
z)>~KTanDTV)5d0J#<C)fBYJ;L-R;-hJZvPnB{c5f)D+1z>9V?S(r5NE>l7DEs87}7
z+j!=1;<TR@r#3OTM~EfK9=_7|oNXFsPRh(yU!BbxXQuLP+i>P+*tE(U$Bg8EM~Ef7
z*k7b`#Gq}Zdds;jg+32*X74j}wchvmrb{kQ`pjlQonpgDsd8=`&m7N~#wu}mlR&cM
zva)vd4L%8v_P^p*yQMqts8!UABSzAbBcCPIbDfUyNuAlAsq@)zZYpEi2Av~5Z7Yv&
zIcK=mGk4RuG%np+xv_`TvL4#ZUeRm0W`6TdonpiORJmuH&m4D}#u{;ClR&rRGCA4b
zy*u}1Y~LOjpK)>9``d2kE*>+UW?6C0NL)YS*+bRSHG7gG8?Nhce{4(4`u#)pG|N3>
zR$<#&-<s=7w|r<!HM84v=Aintoj=YP@yACzd#rt$sU|tH<2_IC>__&IY8PhjWL?~T
zj@{Td#J1*Md#c&5O=leKr|o=k-iV($LM%byv=dL#j9XLX55?4c$+<6i?c$-pX*Vs7
z8L=OaeD;Xtbj_TU$cA7Y?!sA#S+D==oMt&^$SQ2hQ_x&GrC!$fbk3h+M(oogo;@-;
zZ4;9?vwyV?cR_Ee;=2E<&Dg>fAN%rg-bo4yQT;ZfcyqyQXR~(&Cl1E)?c8x(k^j5p
zlgEthOg2vfI^2I{_tmY~F(sAh^p~@%KO8>FyJm6gQ=QEln;_FdS<@;b4jaj5M~WrL
zo_1Q3J|ioh|I6dL`Y*qa^{$!UyH<yLLvO0$He;XGU)D3cx}5$tuUXtWS7-Ca?o__A
z&1a6vO#|&AwX{!)Z1}6gUD&YmhsK=yXDn6~{1Z5xBa=3>Sy`vJaAK;Q-i9;BnWnKu
zoZKWZ`%C$rS<-P=*88vcR^(_e+B@sC<+-zmB>8SyoK<9BZt>)iN_)KxXqm%f8LomJ
zXT@``CeG5C_k6;E{9lv0ZiU64JA0^<@8*t!itP8lOkH{FYB^u!kAsTx_Ld?G%%}GX
z)PC_eEAYF}W5ND?OBVfn$Z_uMAqKvi5+@Ydn=PIs8MNE*Je<(KP=?E($62xM^~70X
zb{EBKO|-AvKYww_2ifOo4<*}k>TRA+Xcm_#`Z&p1F0Syz@oYZUio**9^1phV6~15W
z@t}F%l0}h^Y-(?=_I%{7=PEtl;>iQ!_L!Q76WZ6yd@`JUI<di0hRZO#|H_jByLJ|_
z7w`9FWbK$#ym`X}XEU+F69<#{c19dh<oC9Gk}TQIr1LzWL$qp%-0QlCO2HHv&NcPd
zriYmH%bZ`Dk;vPgv*zi9=7};zhF#8bZp9~#OYpHuoL(sK_=|_Hu6lt-!lHdk7Ii+=
zId}Gu3g68cXB63kEuJK4wcGeSp3whNhRdMYSuyS3r|on9ZR)N%9GkmuS@!yA>+UZ4
zUp(V#tlLbffcrPj9`cd1nz3-+xg{GyYu#4*Ce+X4jGmEw$n4pS4b3<2{x4Q{+2I$p
z#e9W*YRZkttBf?>{JcK*pUuj&4Q}eEZ+lE`YrSu>s`s<h)q|G3uO6*&`&!_(_?N}n
zz^5&Ki`yb*2V6aFW)!hBkTonTgj?HWRqxZQS&ORz3)U~=nU)dKxK!%uA){Wc-SNGz
z5*E6ZZgf~&RX<~WV6D!wEW2i5sn7>Y+_n~YExu*3E>KqH@(R7atPp;8lU4nPudccx
zH9PcY-sKfc(Wa|fb)~KzOY41=FxjniW8mVd8w&z!*DPP9bKhGk^uZ>#tp%=&Z|ztW
zC>wKmh2FaC5Pox$RsFMVy<@{;ubW+6v-{cWO?zK$>OHF~IY;+<eKrf%oR@L0v{onT
zH3wHI+z$S}|K%6)!VBKD)fq|rbM*{XvU{!wpR)e)7alH-Ij{eHpZm{@F>2S9Eoq-`
zvfVtz8}gvy`~Ejy(o>_tuWRT(6}!kXPw?!a;zc)i-2J=1<k#x1u&e*)rFYE9E1Rx7
zxi6()sxwpgj4wJd2_3!NJcR}Ior-#T#zzkOw*A;~a00`1Nufux+C+Z6cC`KClAz%H
zglDp%*{|<EKwaWlF7J0QUvyxK+|%miI%x&_jdo27ZLF5MdMLIx>#<*Xkr8)rCSQ)v
z;ziBNuiQN<tW{Ov<0{|3LgIm<sIyIn>Atp_Z{c5WJ&8NJR#N8igad(mkrrnZ>!sb3
zJZx)K{)e4cNi|r--pt}wbm9;T-%Sbm*Ogr!^D=zbEZ2Hz^s2MeCe^mtwMt<_0KbmT
z1!n2bTc2;+;I;a8#LBC(Yc9Ofo0eV5e|pQV{-sg22ZYwjB^6q#ti3jSlPT}sS6A6n
zd?WfE+T=~Q{VyggcH>U0UnIx7TU*y<v;D2FD)3p5-~Xyn@0d}AurzCOzyni$-93*M
z_D;`<)BTe8Icu@`X_-GMmrFi2r^@ZyeCD|MG}a%dHVNdHelJ`<O-*v&-Uky7So1|z
zoL7{Nhi1-46WaS_K7DL7aV*{2Wq!rXEV)+a#EN5C3X$mx#IC(s`A0c={=pk^Hlh;g
z^$Wz@q*wGB+Wy;k`To%rJ^ZKl7P0-l!Y>f1x8eE;-8W`M($yUKFE42&Z_e5G;n(lC
zZh9LIpU{0{QY5`wBR}g@)Kjaw4y*Q@30<0JlE^(fX|IFggOG#eC7FklZ2ft-c%Ck7
zRkYb+Xkqqj>xzwA&92MUzAUa^lNqkq$Skv|V1jeK8Q;-ETRE<}%7+{%nAL3-X~Wg4
z;hy@nwD;BgUor99LfJ&NODGjgRus#d@_4h-%JrG64}M)zo4jtX>m;3ZQ%|q+NV#_E
zLza=@*Q5S1F9W04GtZc=lGtRpT+MoV>81m!b8{Xp^4nIZsQKKuh^b}y(-%v;@=iTA
zG!#GeN6}Dx;?Mfpq&&^f)kk8s-mrNmR2)6?zT&g8l)Bw9C%p7quD>#9{%8BuCBfl*
z&~A?jJZ?oE2_lo{wKno>H0)61V>3Q-RG_Uw;*@~=VF{rG3umXE3lp4{7eoXvYn6M*
z;jAN*+R>Z5an|G|8;eV(J&lS@aIL&0d)fHL3U;R1TQnmhR$k4mUvuddUs!gjc=ncE
z?OUVn9=BR6m#{aCf1_ep*-|~1TGd}ZZzhRq*4g~q%2$$^bxhhe<6yMxiS64S#_pUR
zvfyIYnU(vqi*qG?y6*CZdL%3o+xj$Rf=#0{)8p!Y-~IjvI9_}&c=fwy%A32F?p>J`
z8vFU9+|q|SiOLIQ-ug{xX63E_7BffZ`&!O*c083`#plHLP5nBrbQ<f|h3u1mew$YG
z%>TGo<k?Rlp6RncZnSCaYtRysyb%!SW*o9*LwlE5*>Qg{(PEJYeXm!tG9`aKa7e;-
zgQ3+m?-L)LR%O+kec-rfp4O7^y)r2qEv~K5j(8yWSc9w2Tf&{&U&4L$gB?=}x72^^
zDcr){cRFdS+}USWdLwtRi+u=4u;bS~^LSzJPMZ>g_IABAV}o7a(>?|ysPXHbd9bke
zrA^6(j&?n<ZyLJ3S`iZQWkS9Q;um$^^tUoiTo&fa|01Qa+3MyY#ko1j#c%F)K5=@s
z?M35(Fxw3qeED;7)UT%`yRXsIS{t6bO>uht`ERT8-%LALEG$-$ct|X6)`s42mBkm&
zzc%`@ik&I`%Yj4bwm<$$R=>Bf?EC%Zb8~g`+pQ_~lTtmmt;sn3u=ejg-_m!drP&_L
z>b`mP9g~P==jkQ8H`iX0&78cbWKqi;V}~a^0+!F`FbY{dpHqD9=c=khW#NB!?fS2<
z@oVk8mFs@hhn6mVIn(f?hS70Wr?o#Ee%4Jgextmzaj%N`#^oiG*=2qI1Ww!^czv4N
zsuhRauSgs{@Jgb!f30Cx>sQ0BUeTqU`l~(&R#wb8VxZ(_WMJZFWT@k}$-u~OlVO)u
zwL!s}o<NDPqZ)ty^#uCl^aSeE$SuBc!$owqwoTRI$0}`xh4qsfHx^FnEG(SV`mu0Q
zFXz(li<<wPc)#+&-^Jof1=U(4=LO$5V9YhUBJ$PyvpbgAHJ7aqp0m*QByWkr&d$C?
zZ?4t^#$CN|WtCyJNvCcc>$gt%xl;<xuI|0rcC77H*bV)<O;&!nStqs~s{9zt73gki
zwe_KO1ZUWS#Xoks%w(G>Q!ilesHNFh5b#GNDJ0{r*P8m~rPHcjMwNtZK4q_bacOTz
z{l@gQ2NZYyOFAF5>VM+Se~G3V4<mO9^jzP+I;LLj)qUS}`xiK>xj%8*?DV())YtTd
zzut%F$96Mjh5h`+pL6)PtLF-CC1s`yk45cLPwcT|x*Pw~@#gmArwb<dN3~_w&)y!A
zT)4Vm5BC-(@2HQvy$?Ft-HqcszFdLT>w5U1-YMa;9v95qQKvg?TTt<7_oPT|OQE0Z
zF1U8OEYU9sQ(9QkHr>n9B|l=Fi_!}|?I|8pemSeF3LWLU7})8u!#zf6U3~XcFO{y^
zq|nuyHvJEYVEnV@gZli>_1})C{<r)wIluntJ)M7N%WIbI=jGA-o12vJGN1dfSN&~?
zxL>yqc3irz=Qi!%H-lAQw)bDm7wb9@E`C%=qQ*6Piv&-ycfGVk_^;Xe%e?EOUqt@8
z?Y92XeZH#c|H>{d|FXS(-_rfHU$n&<*C%(m8W_Kb-!h|yJ@nV?`@u{1``T##)V0z7
zoBP=HWqm%ozIXlZjPR<p?cbK}uPxCKJ9y~uqPwRSBwtK2EYbR#yP)`GzHqEpee{c{
zU$@=1U%Jn?3uMRQ3QcMLuCwnS)P3|9|K3$!wIlk^<>L#?_lJCAf8_sB#pnL~DMCE8
zb)TMYve!{DdLiTW(WyO%&8jU(&8j6x>{fe_*{#+foBF1FljSjcIF{Pj@Gq^gsa_mn
zz`S?1@k86JI*p?S`csSy%%>O`s!!QuU_E7%q4ty_1LG-0hRRbu8Q4zwWVqHcNv+D%
zd(V7d-lY=DT7uZr+Jn^8T7$&wxR>Vm@GO<_;aD2e!@ty~hkI#FPp+QC{_a_-_kJI1
z396Bn^!iwvtWkf|yldf<R>6fL@&Znp?1!B+#hqvC=Ph<GZu)-XSxa}`Sx)}g*}`_a
z{yeEpz28+=>e2e+Ystp!jEq$e*4}f<PKa1wE)lW9d`85TN55;jI*hM$1YJ?Hka#5|
z!&W!tfkEK^=1uQC_&!FY%|2%Kb?2dJ>lIHPy71$A>iw(HMn57K)we(V@ApOG$90$f
z&U();5kH>0^!NT(zWDgTf1fWqe(V>rm8+Zg;^W7-pkRrN$y0dcuif+gVz6iO3&Rg(
zi;f?O?v&&9?Ua+wSF4hl(J3eHw&*ys_m>|hR7_htw|U<D(D<j`<BP$c@11hY|8*}W
zKav-=75kUH==iaE&o34~+FknVyZ8Hlsra$mrN4i_Zx$E#dezj!%h%<rS4sTSx|sYR
zx>HWLe&&mfAH+N5r0;|D<SjaW^!p^IjK{}4#n{)YN5<@#nDX(%WR>pz`TsV&sops4
z*MTVqABmmRYg5(zx9!bu&viW4mTfeA<CSc@!MOMAkv<bM?%S7d<m7lJ7jH1_tv`Eo
zn~53!?8`T5Y9=mP%cJ8vQF8u0JOAY58&i&@B_vDD?&QtP5xcg0<IRZa$DSorNX_m}
z%*>H%Tek71#H2-QeRRAh&ivunXkympfB6Ovk5{s>p+WE2Lk%Wo(tj`Ckon@BTzt{I
z_pI}L6Epd}!CR)bKGigOES&P)#H=kZGl#8y*RqW>Uraidwy;=A`o8|Mjg~KF9(%SZ
zyz9lSh>3@uCZuvr?+(?BkqcX1@H67_qt~hNQ)AX&xBOw(8vDoL;M7OH7xphRIDEfm
z@tgB1cOv2^ALREuHz9aaX5_rn3rt&eJI>p=ZCnw=cK*m_&Q%9K#O#^w!m%fKzoYgZ
z`QsXUULVt_-?ROg#-8S58fI2UHTbNKY3NxU(U7}!T*L0xUPrd$2e_>)YSe6n7aPp!
z4dj{A9q2QsKTs#8BQPhXCr~D)D=;ReFVH5YGq5IRX?0)c^e^5&u9WJ!CGL=0EU`my
z@r)gkiz9Z3F21otcCp0{;l(?4NH4C~A-?#>4uAIJPVu`of2`m6h;gpud^x#e8f<b$
zHPqyeYl!(B)iCoruE96&n1<fG3!+;uw(l#K`Lc}F&iq1C`@Ag=79W>>6uY$J!k>lj
zd91cxIKd;k^Uw5+MQrjfQ`-1TH#OE@KdJW5S3Ua2T)%A}f4|R4VSl^DwD=?U+&2%W
zTirbVe{tukM!sFypBX>>zgmBi=l$yxX88=QbH`7A)7>W<ZzeoHBZPbZ)fHy{?0a85
zFqgV|biL`S_I}e<{qdU5ADvxg@kMUE+?;k^p2txg-)}zVm8_ZeAYsOo2L=X~2NRRL
znhqbj!qVQG$;rolSy)CdO~u0Ij8DOj8B6(hHE#T+^P|?l`rttW^@E8Cc}<6p)Z5fL
z`u1Fu*6Fz>ozrtkTBhfUbWG0$X&avl(ltIgA7gtP^%w15c~x@XM_XToqtfeAtC$bV
zzvN~67m#o8k-e(9b^R+|vwuGM1s_cJ9(3j2d+>1ma`PWQ_-}bg|JY^5T$lXEeQ)DK
zdytOJ_YxkR-<oRjQFLt{W7OSa^Rr6X>+2U?jjf4XUHxNH*!PdePtBf@7<)$Qe{fUt
z!}^eOQ&ipA{%22WeOT|kN#e)-$vSGMChLf4P0}&bnykaOYLbrLCQmVb-N`z3QL0Do
zH_V^Fxj#70bCW@cdL+-E;7Lbae4R3VD>(VM^O_E~O@2_2pl%Tp!O6$}zqsk}@&AkF
zq+G2xHPPUgo>Qyb+WXK)YIfu0%p5hpy^3;y!MW?NcYc_2Wrd#Kg;f<3riMS?;g)K#
zEN=@_XpKYr{vRv+(kz^w-`!-R9#<2wILg8$UH4o4qoeGlMu!{Cr~GyDIG3<HEd9o^
ztGstEzfyabRm#16>#pADsJjPi*S>qSGVJ>Ym({;3*3@&aZ~VJ!`e(*h6T{LgR$S$^
zx$sKuT}COl`PN;%(ouI0macvGXja(wg3#5!cP!#w-&wnB`fSOVYp-~wZ`sw@9d-8z
z>)O1Bd&0IC`mMH}vFK{7&(&9Q%Q8#lFPA>tx*_~~k#@6W)ZD~nVde(jt7p$xdsX+$
z#aCv}vP#)MZ`sxTb65R`8#fkDmVI;Wq+Z#}6n^W{P5rX@e|rD0v`si{T*@|ivM<l%
zdja=u1o}ww_&-dNn0P2PA%<&u=SIyKv13aLZc5BO^fV!aYkGH`W{ljg<pn<_Cfspg
zv-3XJbzX%zc!vbr(gMjJCatHBoOp6*_KX=hn+z0hrX<&FI(hIx%H(dvll5x+&XaxZ
z+Aelq+Tgk7;I?HO4Rb0VzduzsA>(vHu9p1aSs`}JtAl^~tnqrfd4ti^^T)bE?AT8S
z|BTV`e)@TX$<*`5Cz+g0ODLZ8=7;Pqi>dvIfm-t$TUXYoi7i>#)~SE#hEC1AV`<63
zQnQ<TGjsIJmTatyn1Ae9@?GirxNpm^)^8R4xqe~BqifeB=h$C$TFCR<Z|je&o70)2
z?rusgo&2Nk2%oP|(hCW<t&OWE_efZo|Bbvk-(+#r$AeFz^H23W{5Jd1-Xl!hTuNFh
z`;IO2o*W?};1%qgJe#W|_t)f2_ClL}><MN2eve00^4)$-JI*kVPcl-cw6iuzmSig#
zIKNk`|2R$Q`1-WXr8j>)Dm8lH%*|6f`$&8Bj)2*Y>o48svF%=ZihY^8#mNhMU;3Xu
z_35O70{?xU<&tuHIyc#iz1;O_)qw(IE{VK7ns%KU4xz=G@+&7iEacplS!Nfgc=+;z
zW-pmf+;-Z3&wkh=5p?mmQH7wN(H@;?dky2K@1AIKcy3KScSyUR?h$<f*^}EHM2ux}
zf3@`-^?(1x=5Esi9$UZd0h<hW{GTyv_rbTvXDgfv)8(^wQQS2zQ;>}(_K-u&j$#pm
z5-#S#m3@H+E<E(<R$yWjus1XM^|oMEqK}4dT~cz6%2|VVZ=dlT<@erWBfG2Vhh^vK
z<<8*(_A54+O=<oiTHji_aLW(L#;+4i4j)U3vZ*}s_2op9`-fXDDRITST;iF1pKn)k
z>Ay&oya-8F9_d34DH}DpB<$*~C8`$1?<;;;(!IWOq2i~b*0CuX`%0Ft=6Zd>x9yR2
z%C6~)ROht_#jcN#o+svNE#qk{!?o0v`+esU$+GrKi)zbVFY#DAXI`w|XRVaE&xLcT
z>+f}aOC-ZYZ_i)unte}qt3CT7OMMr{!$&Xw6x_Y*gQ(@qWnM9L+7c<w9qr%OCQRVn
z_$ns)qQZ_J(|+72jyP$$O?Q3fWYO|JtrZg=*6hi65VI%aQO=%>hi9T6{plC@Z0r%d
z=Tt%PVyPVq1ASwzuMk^j+R1O!9#a2tZShshIW^i6{<AAf*9oaV5nHkHP~lG2tW~d8
zz1~za%lg~2DW$dBroPzce!t5^_pxua%B;c<p#|-WB{x4?W-G#L+->sqV8z6T4tp{Z
z>i1+M#qY^T%-@nZ`{;tq^={G%i;Q9}u3*#44iTPivZ}TF;<D7E%kIkMMv0lcHQUKr
zQGYpaYV?9DTjt)Mwn@(<clq|_slF50*&i>=e|qb8nabfy=6Qy1s-tTr#D>a9|6Y9k
zRQ=?ztFJ8_3gg;1e_jo3Y2O#~`oZmkhuTjcY%;nW5_tDUKyYk(S-$w;Ab}&_^S6XA
zY~<q-N&a>FQSs-%6W5EDI+<Nw^=jJ-wdqRdUticOQh%^1;A_>o1*R$47aqS&>OQ?G
zpnBROiNMw`OG++_E{TfCnB=9iZv%J#JuMxRLtB{mz5gz5s6WDf>W`Pjksm)+7})7(
z_4K!KZ7z&T<nz-!bJVl7(&D1ZR?pgPx_KvC^Hv-`yIpBw`qFRv19$0iHD&yNtz}Z+
z+h~&)e!t7bxIwgjMvN5m?3Ee+J&QEE-u}NCJ@H$5&~Ja8UXx8aS2*)sZ|z^fm07dp
z@6mFrk}2LYTmJ9J`29SS^_ZLUNtfT{0W%uEaT#CqOB9Q9yFH=vTm3=<_Vc389?d*t
zW7E5Bzr@5_NlR)H@BLH0r=@fIh~%0Go3$G29)D^`ym>ob^LLP-L1KNRmS9_De!Z#7
zky)?%A~v=N9`3%ZduY9|_WGR@=N!3}?bp(A^?FUGfli0OMy6;b!M3M@N@0oJs)sfh
z1Z8bJS0|^tHDZo!x6($NYAxk9^+1)n4X-x#NXWTP{IPLayV0ZB&KrMen>KPhds8sG
zBS4Sqy6TUE*ENL_XUHfj&1M&>PpptoT;#gUt0ghY!mBM~;_rz@-SJP4?De=Uwa8b_
zwMa<!Lxhse4nd(U4K5YiJ7eOH{7?JxOX;8aB99~gclAiDbM@AI^xvq+R`6fh`<kVe
zH<VPukFIuV(GODkIYa5++xwkDb}}v<9shrP*y<zr|GbjQja;`lRlRGPPA-3}z3W@@
zvz1+3{(GsU7rMvv3S5-?u6k&;p_5DXov9r6-@A8Y^xqar+##yC$TZKZ#XPd5W7`fr
z!Fvh$?MnKq>Z~t`Eqb+GDL1im+YXs?$AxkWHJx0dW2SH%ZsicnEsRs*d9LIl@?wpP
z#5tFajPA=qi58-Yi*)_GS{}NzbZoQG6RdAb7F4?RXoZW!ul>SOHZFy~Tp6A38wj+%
z6lUewd)Pr_X}E~N7ar!o337o4F4*$8OqURpyXw*}A;-4JxrBSa%a`_b59{uQ#Lql<
zWSPdp?^9fOd?s~tp7d=^W+^la`(bwT_42Oc9Ig42WMl4Z6W`}j7NL@xkfD;BbmDgF
zOVc0qldM0M&XxQUCgCjKD*0lyf$(3Ew1xW*<?z((-r&NS_Vp6uohgq5BPEP|bn}{L
z6&kGcSGGJ{($p=T!FEzI&8#-~;iI%&g{xRqKb-xuQY_2w(Y0BwF^+c<HgoFz`YJQY
z_>Ag~7f(NXoHLmJV9|Mf1<QCh0aLN^1FEZzJ@VV(bG1I=j$n6(c87Gbz`^GDDizDa
z30q&fPUc-`a5%tpdV;56&yzo9p|7QN^w$Y|<qeYz*L3{SneC#`WO|(A(WOP+Jt~%m
zHMXWH{l6%ZCb2ka;?D>!<DP7-IX>pe7jIil@mq6v&cfuEM|REZzGoJ9HnwD&{*^nf
z@vmK;=>3XHS1P|)f8A{L&hB~JT#qiApS#rHu$gFg+_t%gc&uk}HE)*q8&!P2K_fw8
zM!mQS|Bi+6DreKx_7!rPC2l=<k>&o^WXG2c+mCFrsM>Wj{u#fQ*M^F3rAtJXPg1E{
z$lUI~{YTlqhE+$L+mqT&v<^G=W<6+dGu;@!IO<0EuA}9jeuqY`6;-cK-dkcczom3j
zqn*{v^J-Qz{dJaqelKEn`jJG=`b#pVp*I$rxW81$`Tp|J+_))R>WRDkws&kXz5g(I
z`IMa&z6ba;i?7|ec6;eIK0nTi=={k^a&|pWYGW6Fl6F}vbz@DSug~=rV#_=~_RVoi
zGjLS@?Aqh^Oj~O1nd#<MHxCu8TwDLyeO~dDXAg31qci)0qR&sdwX5WnWpK)r^L7%3
zP1QoXyN_!tMl{AAWnL&C%I;*{&F&N!*C>0``NoP1o0w#~y%#SEI{IN##iPI^wm6M5
zM}k`;E7loE_lrJz(0C~3PVkKOz@y5amAI$%uFYB{tsQ@G@1siJz%@_5JMDjTFiNni
z-g(ZyqHDZgB@~SBHQR;GXgtXEcy(B7q=c6H{*8~my4_oC=+jrH@WHQjcM+>pC2O0z
zw!obY5mIkC7}p#Szt|@ba8c0Ay*ucM=nN@cufPYgiB((Nd`em*xr_~h6V<}B&K%-u
zy&192fc><{v!n@!Y<POgSj1j(lrJh2dEOh@Q$O*shSeci%j|oC?@slUxBTWZ{@|0S
z=BIh)P^RUtqkRRgeRV69?@ZHHusSsFk!Q|7^R=_2vMZO)UgcUoBf#cRxXQ&px9^Mb
zZ$7r7#&hG_w@x~ubGwDRpZ^y$w74eg`b_%G=ej#jK1V*z{U~@kVs84rR-NqyEbO;7
z{(OGgwp*vXK5?$erXTk_pJ-n!7rQ^dqel7Hx<_3We_CJE<Zhk)Ajx#M`u_#qGp=9h
z4*eVFC9wK??a`<4U%W4V5594vG3hh=j?~<}KT13OyWb0#I`3N<xUi8?%jDu}4(V0J
zYrA%THhix!A#n>AZ%@#|&WZ0uR!JYY&{u1r`@xn|S3<&#Tdl4BQd6tqmW1RF)~&a-
z1e6qe0xM*aMVOhBMTD8}X?|Jipn2~~a`$mvSA)ej1i2L|EVv)v`+0cN|A5b4Z1ewq
z=|A-^`K<Pt14rhwojCYze(lybr%fNdT+n3Q{O!@qjRr{>>i0Hs1iZc#Q<|Jx8_ak8
zh;g!DSo`ZoGY!wJI(umM^qTrhIgz2CtJq#2`Qfa=^Ez3CSvsXvwD`8fVuPHnK%N@C
zWrhn~<aStV<OOva$z(lZ4xD$2f4^+rub`XqEJ3Ag-Lr*xyuBhrrH|gPJDITZhV#?R
z4;FWX3pql)jBP~UZ<u!JEdLQ*;m3`KB&V5+YDIKStB8)_dGcLU^#`Zj$LbmNM;A;<
z3aUBF{L*yImszbzWhb={?m4i&+4a%hts0LP9(@#)(!FEa`B&Z|w@$pQ(Tt80IWu`v
z>d&0#UXmLWZd-DR-aIGvzUyhG^M~CRHZN*OjAD_H^yy^Ux3%K6c51v9!^UOjA1t(J
z=Mijk4?MKFQb({&ed&x-{JQHp0wuzxSqat4TZNgbON332n>>HMH+RMzJ=aAmI(~c+
z@$T37D_ErwCb%UozjpPT*G9RkR<6}qe^AO$*JZ2x!u*x;qSv{OZt9t)Hz9wuT~Oo<
z4e$J;TBk0>YI!?wr$%Z|ZSCAtqG=kRyYFbYD`S5Q%ZFuA!mK>m-nwzo86VdPCB6_-
zwVCZ#FO>KqW>wIShv!R|epLAL<@v8Psj5H4%#M;~)2x{8H{@mc8Pw?Y<uxU+9JiLN
z(VOR<%f=JGN7L&jkI@ZX!F}5%bTsZ2GA#5^665nea!jz(!a`9{oL%Kn;(nK!H{D@P
z@1HLgll#*wlxU$P$b4Ki=~0A9vT*dG1Bb3@Y}lyFRWFm%&m?ZW=)mD~8Xq>k_BEV5
zt>K5km9z7`Iv#%WKRQ9eO)@52;;?C}<c?Ja!m)K~#@us7(-u!YBvTSHqnnTG^M$xX
zyIZW@odU)M?s{_h2d8r-S1dHx_cT!A{<qT?jXwssiOHQfajaI-a)-LIczNoRhtqm$
z?r>c5%Qc?yZCOOU-`1xOXZ%WQsF`zSG1tE7g7!KG|3B(AakSZW_P@wm`&Y{!>50`B
zCqD6K_Wz)`b3WTVg&mW-ZXCZi|6^;%kC_>Dg@!kt)o<9jsEJ>)zfMBg(57!Pk4@*~
zih}wR+XJSA%zvsaP-wFKpZr(8wo*<$=4@dZwP}AJ^Pk!$`+9|FdtrU;KgENGE?A#T
zS`a?zsLT2KAAUFES?n*zUQvD;v1;<qt^jrEh&7Xab$+qi-F8a}+$JOcX8zv^VG{F>
zYp}%~(NK%~HzB`PR8_;hO?tz__sOMdU$%bSrTC@v<1WoFU+d4ezkVdQXiuB|sqK5D
zRe5HsCMB$P@p&_?qcv7&<HlemzWOqcBS*g(tjxIl!D`#y)9KHZR@N-FnST0HbeXW@
z&oe#&%<%$Oj<_{N-T0s<|HI4V|DOMg=AZ6K+;iwhz+wYoVbQdQ#;rGB$R%#wxsA7X
z#z(pMS?-eM$-k;=T*D3>e9q2ur$+zFFRhQeA4skVkvOc?DjBiXKsZ|@EphFkoHfBS
z>YKm5Z@sm_OQiB}bxo0;;J%5sB^Yarw`+1$1iKlne0KShM5jT|)Q-kNAw$DBB{4OR
zBgc-sI9l1?$s{~`@qt6rG&UI8aLM#^Fo`QKK5%%2#s@<yrXOibF7FmRAin$I?Pg{>
zDesnr>s@%hOz!CXC$!PPLCK8GO+Rmex5$haQmpl2yIdLHUkiM&z+Gg=3rSYFD%ZyT
zdlM&hF5k}Xs<S@TP+Mk4POfTLo9xY7Y-_5*dzx84c;(i9mG;d&efy8a^vqT3^S*j1
z`~CY<oqAuXB+MkHPXE{et~TxWohRoSNbLB(RpR84y%r}AEwwm#?CAQ7TQ#RWeQ-YW
zuEq=Yq>{}G;_GYu&xEhadwBAC#?fQV5=ReCl{k9z>5Qt1)}lEbn#<!ae7{^3yYXV*
z<+V}aSy!`5R$rSM_0{U`s?t57?=QR!J|6wGY);C<m^mqrb!2;YB}INadS%;<Rn^H~
zl2+ea5WV%>q2yhyuX6762Fk>A1jfwiU+KOvwEyUo%{Nw6*U8PAd9*$_qwR3|4(s<T
zuN;YO-F0Vo+{uGa?=iA$z39B~(Zc>f7Sjup7pQF$x^hUU=~l$5fR7hW2rd<~+aG0q
zDEkrT!>u1W7MRJMGO76WP0W$^j+%!2e2%P#c8)(e76fdps`3e7_UFucB<ZMYqrRe7
zoJ*A7aIWHt#$p!J!g&kC^aQRPt2f%I+mzldE_~&HQ`4*nhXC%+oLR}UosK$(JmxrM
z(6)fhP58<Yj;1IH&j9IUQ7ySMvs?n&PO_L9v@TFvCUoVHNz<(v>n=PfjB5I1tM17A
zMR7&vK9;Q)`WKk}(hjk4GMll0$C)E5LBr9PM|nl-Lzb-@qZTIh+;RwL>t!+B&{@Ag
z%}#wqt3F3o@@A)_C$=^(;IZb+N)UJad2ZqYu{5D8$8=`0`ESry*Er18Bo*NqAiSF6
zlz74?kA%*^cZ-~kYLrh~z@yHY^+46pcT4aog=72tShgBEFHCxK+bN)}lEu_u`U16Q
z0#^>@G~K$fF2GP}owCN^s3xfh=K$gPLRSveD>Qx5^_uZ7IJt85gCh@)THn|Boi(E?
z-}Xe<!<iNB=4Ya>hlOrm^X$~;@_n)2&Mp$NDp<oI_swp3pzfSYE6n_ktvYDVnGv#&
z_1cO*eb-iqP0I|CKAjwTvHw~|$h~P&R}WbAW+gVeZQW=XCTg4A?Us6DRUmK9<rQLO
z86ncv$)WXz>i!p2@Cci(YCLbUs?$^I>Y<e0tYoh>TF*Yrl$x42&CS#xdhw@^&WodF
ztPadQ(;a$r&i;tSMmiT)uuaPf5uR?ks#RO+>anX4p=$*5GDF&KOHF-WX0obtxzyD6
z7fo079^besBG~4_3ZCz#s~W4NroO*ovZ{5j)YW7AB0|?V^3`XDv?)tnJrLNN^=O9M
z)`FPDw=4vgv&oBFE(w(6%L-v;H(AyBSL*5^=iaQxfortVR=<{-`f!$;=?0I*vm#am
z>grrrVfO6!sw6$>^?{P#GD4Vlo384NmAZPUtoPLQOB+|sco~)*(iSQ;b$!0+s!ma<
ztB0z3vyxv~*N1-N_2RXw-yo$QwL?(<)(#Q%F6(NyJsF8rdoq$|Riv%g`1CaCpX@~c
z!|#8JXdF&xl9F%=5O(IwO1!bVJ}NA1$=2Q(U*CnF-WTI@JzaWJ?+nSh#Y+@#uUeVQ
zXM8x{jCBp4@x8xkH|L8+CU0A~anGtvK{i>I|80X3A{@Bc#4a^;y1s7}J5kT-xaa7e
z8Bc1eRE@age$Vvc=DD2FxL!V^QC~KralKT=!DDez1sl4>V%{uc<-eVA@OYS!QjMqM
zz5^!`taT0EaLDg#YvJkJ@2$<Fo+QGoo-87~JV}Imd9sLfdXflxda{W4^CS`e=gA`S
z;vM_WiZWZjTru;8Gq)Jug{EHTEf4A+80hY>@ZgsFcDbp)Hf6oR#-1l)(<a|J#x=?M
zN6!;IwTX8Qr%d`i!zpAzn2nX;+>DN>j~9-ZEPC5A;ouI5*2J8iqy(Ryq@<Fbq{NV(
zq~w&IM+q)HkCG~S9wpZHJW7_8m0=FfJa|MT>cMW4s11glVilJ!H+6Szd63AY`$Iy%
zo_p%k(%#%xn{WKjd%?)07!sPYA*_`r`_SfC#<UF+7Su>7t!VtoVrtmGpk|5sieAgC
zgFI`(6yxu%m~g*ZYs*HTR=#PgE*upSt%_LVB;P&d()I9tUFSZnoq4u%vU&-p{Sn0-
zKXekpEBi85=!oAxIK^?hMC-!po}>ltJxPnwdy?uGhW~hbZqcP(+q7mozPIRH=szcA
zLH?YSMe%b|7TT*my&lN?<bdgiO>ZYkFKL{fHJ^+3PRPPeX{{{={(A#>_4Y|usi-%s
z580k`L{+u<6_4KP2@kutcw<5rc4ljBDTr;{DtRVM(b-*8>cs*l;kTg~3+M7kuU@q=
zymf1Zq+PD)hI-%F(8^>n_Cwv#AOEfUQRmufD8rRLD_wF6H@iK*WZWu0X8n+iM?1N6
zZGso}F8Au>kqMj8sm!&xASBVOPxFl9@(-8n6ZEXK&N#ET{>;$dyo+bviR&wpD|Euw
z^|^nX#wFQya-ryXBc9NOor+pp3?f?1>_WXVA50AL66P08dsyFiDCbW2jOJ>t;==Gm
zxpx|8j=wEGdU&4ew6*y;SrtpC{f|GfCtB|BPPc6zK4eSXJgj(jb!E2fwUc6UnMTjI
zT7LR`K}GIFt5Nw<);2wRe%^PAip|^)zuP-;9sViN+CTl_avP_v&S*of`x!?xY8HPq
z-E@J?>he~@!oZpz{-Qc1^-JOtA8Xa}vLDYpcvLg$fw@X8Z(aB#ru;XLABvW5K2Ts~
z^R%sn=iG#i;^nViN*p~<YINw)yuD(JBP_%g->~qyHvj&i<u7i{u<+i-_5Q5-i|`ve
z9ODlk^o%MfY!b7PS-k$?;}_KngJ*2B<mp=S+Tg=p{i%N)^|C`!qEidLt1o4#KRowY
zOXKacocB(1cjm0?T7Rf6%*!A~Kwj;HI*&|--wcZ%!BhSg+FcZ>2|xGr&+9o44n6;5
z*~N0W>sd?V)U%wztGPNK9FpdiWD&o2YmR}zPRqokpb0Ay50>uZFyav6xjjpmt?d63
z|5N`o=4U+Geq&yfSWITIV)p|t<ypdPZU5_iu4s8Y$u3n1DE?W{$H63^BJqyhl8uv@
zf0l3!lZHxD_E-HBftc47ip>wAj?WTS^J9C+BjkHfTy*Y<{d)4}{3^5U=FFWf%(lx!
z-KRubrHOmJPKrQGg=_Jl17DuCbV@q*HoF9Wm>O`Md8?iL{e6yoj!o+uW(%`bnXCJJ
z(Nd{z;{L9YA`r79z4(yB`e!Yj`y3x_tx2(FlVss+<2%dA{C2jm+C0{YwYu^*SGMvr
zy`H0Wqv^FwuHOe&UxAwn7e#8gZ=L(|`qM<&dE1{U|IREvbYQ`=md?FDxt}ZVUOmCF
z;34l>PG;}f!fMl4Ch`jX<evZQ=Jr$j;=&{6=B~=QxvJhKdj8rq>(|t%M*qw*Yp;|4
z(CB?BDz!M~<?n;vPwf*8T6HwP?#lL+**SMFXkA}c%2r*!*wo<7Md=@V7n#qvvD7@`
z#?RUNb${2L2#Al?mYKTs>(@W>akFzuZ|8{qs`<d5TEBSX)sL=@rG3|>_uBv1y-9w>
zxoJ;(pPD|DsjmGHf2zK2#b?v>o0GRa%PRI-XKVK(Kec{-LhiX8)jQs5y;{9G>Z7kr
z`I8H8oA>Me_6uCOCim_xoAA(!%NCYe-d8G)t+^)^YyV^RruSa+f@-+sPq|I!|F`Om
z^rn^DCOALm-xvGM*E45sZft;c?SbtxCq-$*9sjU&^`>0y?Bd?9TTR_6YwJr--}-g^
z)a#?mC9GO*>*Qpu$&HPjnR~Q+4evq!yaju&Mb7^lI6G(Gy^QY%`A_e2x6po_CAB)b
zTc7u#=<(Cvo#%FyY}H+V@`0<A^x@AYX3V!Q-SEk&wJ&jR$+;T3_0GxFJ5=u-P(H{z
z`#%5Hs1pH~HI%-%`WQ-Ozk2m*v+nBpIraQMpTDo)|7Ly2><FzZ`@~wRF8@whEU^8~
zvG4n%zfE6de82Zq_C`gw|AooPJ1hSF`tJNae6!zO!`JuzJKo$Ln*I8d{Pn5(<AS5l
zvESV4Db{A{DaO1yS@-MBPg?f`B-eOtGFaoYNg~2Ae4j;9i}wCG?<9BLYKzIul{ME{
z%v-vCO8xU6bC2+P-{<G;JKJVf)U8)J|Gkj&zAU-xvim1W9{zU!!`r+mA5Gi2B&}9m
za9|gmm9gH5`)x?Z<EQJN3VqxipsBk5sYu$w_Cq-(;WL`^xQZ`^Cd%#7IOG2Oz6<;6
zwqu`;nenztuh6<ZV{Oip;A2TI+s^Lpn!7(GTIFtEWpqYTy{6@P&%Fxob1vst{I1Km
zwKD6$XRi5O+MB-Cxco6)`@zw`&G3Vco8d<jw~ZfE+%|r+a4Y<v;a2$Z!}W!h>sC3s
z>^F*DbE&yvqW;mxuFT&bxeAv*cI7Uw`#X2j{tU6`Z6`y`!mdB-a^1CdvSi-N6lU}K
zzf(8qo1WguljqU$@YnkK{ZZeZ8k|gcl&^Bcz|oEE*y$5TEF>c(w3VfkQ=TMj>51u?
zG_if6(I>-4<`;iS>`=RU{K4z|m!)in4J0Kcw3LONQ&JK)^yJiNDYG}HJV{#6W5Y9H
zV*kIOTA!GyuaeU)_*cz6c_G}5?bnGDF8Pv?FI1JKZBw5l++MHpBx!YzO?}S9iT!8y
zcU?Pv$V9WeJAcE{>ybS3PoFrTCOPwlhBCKwN=owH9+@?hCw6Z&`n18#%`VLQ>qf)S
zRsCnvt6!Fy&A*#^B)wR2W`v$H_vw_B<dr=#Iuj>$A2s^4!Nbi?%<F5Rf$OUN$p@KU
zmhv60k(8XFt}I-<^iHhLv|roXJ%v8je~hePdcHZdGS!){xK1?cB-f0!^{wl>H+?nT
zTGMVDz1Zl?uh5JsQ?Bxy`>(a-S;!L}v-&lcCHGx;#b$T?m6};*DYx|2UA??fcMn#r
zeU~&h?E8kW)xRS?Z&cgE^StEY(}(eEPtV(5H#N+BL(uBk5eu*C>Rf+iHZ8N1-Fxe<
zZq=yzyN8q3zDu5{w}<WAt3#*N_qXnP^V4UC!)oan>#zErx%^7(SyriZ@s?f9H>2(z
z)rqa}nRD^(Z!y2uDbmYJHZ@PSx_Ojy?wf}@{Js_X7%!8Yv9i)I|LbC_nGZYs%nBmZ
zXIm_ptSfWnq#56f6!zbxo4RYQZXS-C`zE<xZJFA-%(yf4(_?p+8XeY~o0G8I&upW!
zdi0HTlXJgZI>}e{Dn;D3WK;V)tDElTzCOA!SNF`&|GVo|N}Hb5O^5WkIg2LyZMzVt
ze*49O$+BCnpVYhcGKF8?{?ZA%xEc}pVCis^%Fj=q7yI6;(fsA3E?u!|vaij>lVWnO
zQ>6P#HZ`|f-8{-X_f7r7eSY5x{e^?sr<)o+^?tB>)}Eh5Kl=R43Zm6#?^rrn_s*4*
zW_d4C*o{j>iw$Gdt7j~mT<df7&Tl=v%(ypc2CnMHKG#mNsl7}Qp8qn1Tex&nFQ?Vb
zgB5e%B+c>rW)P<STViqN%J%MA;?<Hp*G}>*F4@#L(dy<Aj=4FBJN&j8*88YiORSn4
z%X9Ih9NX&@`T1E%uO2r&J6gxqTw-+CVQx-BgP+;Oc=hNP%O~f4xq6cC*NYVKy``Jl
z*Up-{^?mZ`;|n(@d*+=mdsgu5?*;4nLkjz6PG5T}@!9c<XX{dPYx#`r_~zc{iU<#m
z3yePhdC#wp=BM^ag}z!HcmCm*-wU_zt^d5|gI^S1xE<fz{m-_V?R?Y!dehXHJ%2vV
zpRTCV_5Dck4wbzs73)pH_3m9f^>C5M^hGz+#7~GXQ4-6p`uF%k^^!T;_10%bM&FO}
zc%HIylgRwd^6uKxRzG!JlRJCu^Qm^qtt;1U+qKY4J+3rL%Q-gJdGUkgc76BQdreDi
zq<*HIu79w^E!9FX)Ou0chIn=3H<wSceS4K6TwSuM^{&;;V|sJnBrNwU+vu!bePi9^
z+MLTrt{f;l+x=5--EzOQ7YirzmRvunw(DgIcWmjVUOlUuj_GsXESl{1?LwgX?-vU?
zS9T`P68{_<Q$6?01wVD^3QgXbf;W$F&&_$b({I~HA9d@B`c;!-Z7!aalY5;a-@ioC
zNGIB2RVUZ|qqbL`oGmZpFWJ=i+v?^K+qpRp*ZFNLbXT|Dv37Fool7o9j|uwPmlQ-S
z-es{Q&{pQk3Ol|UnJVU)t8;&>DgGS3@aBy3+EXJxO8Dij3-VFfsvG^NE$PI+9fq@4
zp9(YGb54Do%)0-tUZ=*_cS=NEH%&44o6KbFvv@<}%7;zU=NV|_XPoG|S?yJEh|9Kq
z%E2cRt%*TBNe?zl96fSG;^?6kiKEAUNE|)5L*nSsh^UokAB6m<{XF4NfsvbGfs&hH
zp^e+d0v)%Fg(hx=1uAZZg%*Fl_<Cl>R-Dpqc8;3+a6y=PLBloaRowj(-`4Zn_X%99
zk1=>tZNEjYTOw-Pv3uX0yXQ@sad^guSF4URr#_zdVbeyH&gBY45`PObenth)t={_M
zPOx+A>qGM;-|($3%oIMn?nAM4V({!^x4J&XT+O~3+Pl7E_45tJe_Gs6Xx%Jpkw3|G
zvuXEUKbw7<|NgxY+?eS8c}0V>{H0cY{(2sZABwSy!sCRG@}E+fx7hlFVy*4R^pA{(
z|H`*5lKpfgJS-vp%<I>id%r%tYU#M)yR&BB&*Py=iD#7GO!S`nd{gdrnZ`rK=D9}}
zclYv^-ds~5Vt(_;hR=rsuIHMCUcE9U+$?PS*`)TEgL@qRh+f%Xb)D<BJ&$ei)i)<o
zqpzBU)ldH{S`+Y%XWP<GhB>}ZjW?)HJ%8jGzq7LY>#()W_tQ^3E0XNt>TgkOO-$)Y
zN^t2(N~)*`)(|mt<q1|ieBr~lwG75DyaU?oSWGX>UZ7Sbc;%4z{1sP@J!dK1(78a*
zOz6sCwx(YZ|2u;cnVqjRee6ACWy<{VumOwdhPDN2VZ!xS4rw*ritr3z*XDSYWa((D
zqr9SjX;!N*Pnh<Z@Q>bCcz!&p-8ieU)&FW;a`FT&S)P!E-HcjaF2uLm{nDJE&$91F
zKqJrmdX4FEHH<%bn~Y-AS2Sj`m=;c5Ahu56%CXcYs~s)@;^LgI9x^%B&I#AfNtm4V
zbniDAN6B+SR}M(lH_fu}4d7nRk@Z-`QFe~PitfiOUkiE`*!8UvHQN}t^;2AR%L1PE
zt2{b60SkM-YL#s8Yt_59>cU}N(O)}$J~Ti5PlqY~bp6rui~Kzr6BTtOA{@BYo?UKg
zt=y83tfy-+!<kzz?Q&Cl<dz4?&(s&{_6Qtt7c83b(KBP`jN|LChSy*1>Obl8Gob2d
z_SZ+Em+d*%dVP+t*&I82^+WG7nJqu0R_o-isMl>@IWcj~!l^&5TJ4kUDw%BaRC?iw
zquf)@?-6-+S+Diy9PdQChe0<E8F1Z}@S4(}f1Kmg_9T|4w+)&;=}I{M{MNmo=Cx}8
ze=^6b<dP-b=3BICS<+9mFHC>uT<=u3|J3wbPbKE0tUR#XbD9^A*QSufgo>$>n?h2Z
zmr72^S#@AmO0a5}`s`I3ULC&h(&NMw-g7TE8tr-3d+OliXAy#NpWS$mF2A_(&*2O6
z44$maj=eWQyI%CP9nV}1moGWVy3>w{C<dJVDVOf~=JZb1ZhIbEP5B_{O})IPTYC>a
zuaC}J6&-G#6zHRI>q*nvD~Ad!j_#lOv~=O$%zw@$)9pM>3}bxEBzH{6F)FmXney<C
z`a;{8Keq4q^ZfQ-)`x$iT#{$Vua=zgJuyT1-1mdLUu~|{{FozM>Dwq?mQ;M`?Ud^-
zAGlR#t__q-tCf)2_&SPxd0?yfJYN21iJcF9DiZkWy^}obWjVcHho8!pIy!Bi+T{s{
zS7yvp?R{Ecq;)lX+tbH2-`t&MN$RRh-?T`##Y9<Z`lOT@HF=!*JDGZ)AGbD}AF;@b
z`Ti#My>{)w^IfJKGy9X5eRI>a{m&{Y8e^{i+FJB+<AvmhoIy1kmj8Izym-rjf)+6z
znPsfP?HLCTIY!lQC~Odud9$2V{I?yaZ1=V9^H)<ggeUS;i=-uNKjiZ!Xh!Q?uFV^r
z6Zy7jpE<hC-zj<Ok1gpRjl<V&{uw-X<-^>H6Bj=0`k|Bh@UX+3?T;4k*Z=)GBL1VZ
z-J{?H`M=jU?e8^hvlCFU6ZjVR;_-^45885US5vfq?q9ok>iUrDA!ha0u54YIc_Qhh
z`@*S?&a=7ZE#~-s?Zc#19g^E>gro$#ESkTmq-VGnG3(cR7Ygfp<UO=JnQQY~H_(T9
z_R34MU$`Z5$7<#*=5CcOS+=3uPvqN$iHGd0TH2@EJacxP+VSV|{bL?H{&mt)aY{jK
z+mlV(qBqWJoV{^Yr}V~It*<xEs_)G%3^n**;b-(w!*A0E1HVll75s_{?EQ)g_5D5-
znEQPye7=1l<LZt3Y_2QxvHY~ytMbPs%HzcQ{bJc6ZLU&R4><K^B{jNjHHcq)YsT_G
z*)xANOqRD@Id=W^rWv0DRrq#V3C@pMEwipI|MIq}y;F0wqo-g082Mkh>+{cfPuSAx
zC*3*1Gbwt8Uy<}>k9P^L+!x;5T=6*e=UOJoWi8)Jm(I@Rag=luzH&gLX_ka%0Jkzn
zR&qtfI{kYdlS4C-CUNQ3EOU|;4t<f(#1-2UzOcPe>x<zu|EaC#d9Uu7mANYaR6<g_
zSiH91{K{jC&4XL_Xs@_%;0>RyQ{*Ov)6?f3a;rc1V>NqO*n&pKxzP*W^nc!98lUvc
zU`eG(-rWlku|HqEW|aHm`SNJ*SJR)9_&H*iF-Xp9<%(=zo40y`e@fsC{a>o0MloRv
z8?|MlMC_YGLlx_$G_P-%{?E^e$NSKVg`1Y`lS%*Y>m+KSyx42bv_Mh6lN#NVjj}q0
zjj~!F8&$E^A5RJCU2ODAU1s9N)fRv9kJhOM%;pO8)#1CoN%#Jrlmd-EL5&i8|Dp>Q
zhPg=EgiGW*w@Oy5G7$C`Nqe~QP>xN|jOKQ(;*SoAa__Xy9Jk$>#m0BSOu2bCSMrX<
z2F%+<(;iJbq;n@^Mz1tiaY1aN-a5@QheKO`R{VJ%bk*n0^!nU1w*G&yX*~R*X%89?
z`P>Pg(OS*5xiCDD@14e(qq(h>JC+*An?GF@c|$$??5PKBe}cLn?mEO16Fj4HHP_|>
z*F-Zt?K1~eTX*hQVZbjg^6c@R7*lE4l-Y9+TDBU_37yes%w>G>zw^w<7t0N#Yek<e
z=sy(mC2U4}9M|WIpPg6MXYt)SeYDu<h2s7zi7(a}Fy9qPTeP}{Q~d3dhN=q9`(Nzh
zJXR<*>jlM$^2}Z8-KDQwrxxm1Bl<D8RkC7{f$(h6w1?cSH-E$=@|kI#IqKG0d1HZr
z{OhGNLL^?Og!}HX)!HAj$8wbcbGArY($+&dYl3F<PUR}z;FPF0P5aDYqx#mL5nADA
zUEFtOJ?ahkx%5$PK&xcL5(8mw(X_<ILpf){XEa~tDlYU&l=IU%bDVQ$mYCIv(8r7K
z75-dWbH#d@0kgYk+M|v`Ix=B1dY!q73jz}L_%zNOu50}X3NW|oomn+kZfozK(qDzO
zNU1++)>?UEm4STplU0@(>fycpRs3~|#&*2gXAUU0&aC*u{ZdFT?o{~K4^D1&^SoCW
zHLC~3O|3DRtQUFGeXrmCDU$NC^_qLH95A*t7e1ew^02ihXU`PD{#kSOc7HSabivWh
z&g$Q$9fm7cah54`&zipDYw6G6=2oMm2nA*4&#5W(N%MMi&P<)yYiU$u5bdUycJjpG
zGxw*Sii<yP9z8>l?a|M9F9Q!4ye&VP-*%#z$Ir9n;TD%4PL4`y=e&*_vh2KJA-{J=
z<I2E<M+G7g7DB9MeQu4-$$<|ZRftqr2(sGwxjE?0-!uDm3`5(x{XElSZOkwEEAjkQ
zO-g8R@!^@$QQzt)^l<TGeI@bd-cvI&eM<V7xNR35a67M2a6y~v$0ltqn=hSA^0oCp
zm#3z>PB{C}$-?VV`5XTKN+}h(-QD}O?_IsQ>R8wH*QcbV3SO2UeXM>?D_-;b(@mzl
zkK8NyE;cnbZ%KH>pc`?+pWE!)#ir)HTOK6w>sH+OyZg~@YemQUKM&aY{xpUC5RqIw
z!vZv{DYy8Bh2UZf3(3VFwmSAadRVe@GG}W)&+fW#8!yoXX4g0E3Z4Dy(}N==&);{w
zKUCD|zBts^?EWsB<F91OT4wM4@b>5J`fwW=;ro4|m(A>p;{L3ts8Xt9GQAvfdCI}9
z&y=SsJ-NMxPxs%Rf^7j!HT9E}HReC#IHhjY^l4?^0<n1lSB?cYSw-9nT&fo)aOJR9
z)31oDfh#vON@t1q+zDCO8m+aZFuJv-dySKLdFYFW^SEm7OkZdr8m%iHH>Ydu#MhE@
zWb)KB4vRHO-S7$!zRi)9XzloOSN8(GGNCI+^_o6e&skviZH1`WhOkz(Yb!6*AF2|)
zbz^}OyL9M_q{&>iHS3(@<6XSZyGVZ$)pwNqR+{ti>!G_heT*f16Wa_$%nYU-QacuS
z<4^_H?HLY<?82IF9vO30&u~eUpWL}i`i6gi-By7sha8(ey_~s#k5Bl@QNgAv3C{re
z#UfgHJ2Y8RXRHdb-0}2T;EY7OR$iS22KD#n2i-WN#C1EuCz0J-<4uxg>rcNqhwS3E
zg}=^AkP;LWzRa1G$mW=PMrB2FBulB`>;-bq1g;#9>D;7N$iJ=ooHAo-#(l-8rwwTr
znh){VYUV5uZ}t7MY(uM^$hM2q5App9yy05URW0F=D9_xvOZtUFfUqoQ)<R*&+!D1F
z&3g4Lr5C3zklQ7A#l5_>tGYnIQPM7SmCT*y<+p@q<j>SN^W9hE*=%*L&$1$|Kh3%h
z@#zKJIO@t(y~8C@e)`gCga6a6CnzbYwFz7~6wq`_!YzRPFz2fz7RR3&UIBJpURN@N
zzCRFMDb{2ZGj)~B1)g^SGal--^4?jzq4T%Mwhs-5>iOh?ZX8wSs;=<4a_oR<)2tnS
z0o=jWtF9d5J@op4nq%x8r4{|RxkSI87j81L3Dpw6W1qeBw$=fj*BUtqwynN73pTX&
zifr51d5F($`G#(NjW>zLt+hFUzZUPPpC>42_;Jnxv1OXMs_*8Dw_HEy^(ad(Oz_I#
ztfpTP%7L3b@7UKzbDrAZyQ;{+*uo*Pty#pZpyQAlU+|4Xf?U=XUWwwzHQpqe1WGgC
z=FCcBchvQnaLXk?+M08Hb1X~g#@P#Mwl54Q{NJOfaX4aW(ZRpQt%qukdL-_fvB)6a
zH*kjja*a0$e66u(mTqXTbjjuEQC`vck!7nvVCTLa%4z~}?DGZduN+lr`Xt-zvR3Wl
z_vgY>5*tHyHl%H6I>d9_TJXjJL9WmH{1dr<Yra|Jp3YSL;#Q>Yw#HVMR39DH6|J5@
zGgwPE^nBPAu`b|Z;ce|y0om<ygB~n+{B60xVFoT~38zHi#Tq$@Jgu@lOE+{EihMJe
zx1zUEd~V38_M)b!8EafZO6u>Vw_HCM+_bC0DM0@3l1VomkI!`oXq$d1PJ4l5$yBTG
z2FYE4Hyp~jX20-E-1l+8hVFeL-!8<jT6BQzmf#ha>ZYg&wbsZ0_PLzvyY;w4WxoeE
z8S#X1$&}pb_Ht?NtL{9+rWbtUh%Q(34(~+q?;39&?%TpBdgDM~(<}?O0B-I2DHl5T
zRm}UaYllLh`ioM2j#D23v<e)IBQ#x24wyX)x^Yy8>-P*z(`g69#DuOK6l$u9Sa{*V
zi`E4^lczed%uQfvm23;XalnA<Gp|Ui?wf@hdhd#qZEQXyXSaMqzkY|8)C`XRVPTF_
z$HSVeBzyzJ7jv8{FA^$k?%OhR0ncqwt@>G6T8@&-JVW_1*tj*$Y-l}H)9#ok{8}R?
zQMWaA%|e6tCgG!I2F(l9(!9!-Ef7l+ymBx{iCw%{<rQmU+|mHS3$ri9>S)|I@GdWO
zkUST7<3J?WYzxnn+y`8azGtScQeV+pDfG3ui)V_~BX-eB#i!aD_p7~Jxit=ZWIp0I
z*k2#5nUl1_WItEV1H(c`;r^->R}MXvn>e|qkZr2t{b$D)cTZT@U$XYk2g}X{JmyOr
zII<o{Ir_$^u4vU}*;+Vr0iT}Gm7|qSRTj<x^2=w<zo!?(y!Wccj2BCtxQjzGlIL;B
z`h+j+&eZy15ZY?D>_otq^^=Ppx_-A(ylyN}Zgpa9z37*n(Jj{x<TlOP;T*8fO<_fE
zHA`v1`~`Y)0#^<@H~p$u5_aQ&q3A4&B~IMzAsNZ*xnxVi55HYBo6EK&aACjS#SH03
zzSDT*++U}3H2>x~CphKd<`=p1m-Bcpir!;mwqeF0H8EFnH%2pq7|l5xH(FmP2nKMA
zSGySV-D*tSUsAu9LHdS!qHy)nq$jiAPAWNAvpZHzLta>Ami?w(UIE<KRc75kb6<T$
zE4$Fy_w(Ca+J7`eNoZaCGpjV`yvOJ6$tQT?-0tt?^Ygo?XJy82(EN@i^@U>qvn}VT
z<El+jFMI=}YdKym(07deqO5UWLGa4)h8MdJHA!WxcM_Hj$ym6!o-4N`Nb$b=$_e?4
zLtZRg%~e}6dEpL5_Ei%SC&Zkux)`OtWZs<p(tbi$4roHU>ggO=k98bn=O}B;|I7jI
z)yGWPy?lqPedywZqzzoUJb?>)7iyIl__XS^t-f%WL-d!0obF!95;L85a*`>ZOq$PK
zWHj#2ebcR1_xZ-rdPlC`7E-d^%sCIW0{Pg@IoG%MT|9V|$Ei=%Yi9Gi5>HLn3-7LL
zzDZgXba;WOx^VcGf+)?o851_MJl*TE=#hm*^U}Mar#ASk@^mnMp*dCefSKLW4b2Bd
z$_$$h*|~X(o|)ftUSUP=OqNoEZb;3M)7oX2v{Q)Z;u`HK2`u$zZ+T|zXSn^sF_C?*
z#+yavt+ii*Z+UI`Hu*({Xn^#2j#m#X9c@b#K7G9yxag<z%c((Ix!sRkU-WyH&9l8T
z5Ao#%+&G-e^?Qf1s8xN5O^3$3*2gW^k8w8bvTzOHU(WgJagUSh`5S9k7RRw9H(#k?
z+_rJzAwILf8;5JSe$QAQVqJgZso>Gg8#+GRitq^#_vU<+DCt<MGd<(qmGVg<J%=RU
z1gw-}+_tg%5MNo)jl*VKzi)Vn963_b6g9&oKzhF5m7^6+RTAz2^2wrFRmThs(_FY@
zzVvL~AHK4;n5DE}-h!GvY8vye*2n*G$?2SBvCfHGJtX7t60V<b7dq9Iub6PZUS@y4
zi$c9jb%>Pd=i?jQm+TPfOJ47u9ct0L*D=8EyU>-Rx=p`!$m-_(Yxp*aD>o)=VY9Z@
zmx9n%yLBfO1?E23AjD)?C==u);3E@%LX>0Iv9u<u8%_b@(VVZ6XUD``<bJo}!m(IU
zs~yXo_-BW_c>Fno<5T)d_N4+(&Ij>(DAsdiuvIS-<<PjlSoq38yQW<?`~&3K7abM7
za;Qx7){TWuc4{jx9M%*4bwjt^;Y-tBFAf*|8_Qc1Ua%golb3wXl(jf~_lpnW3G90{
z-z?&9t^JaF-AQZH3r_Z@RUPvRJX-nqR$n+QDEet?i3!io$M^PL`l6sAFUaoQ%DbUg
zQKZbEe##-aw!j<5Ka^cO!JV^6-ck39`ifpgmQuqB3*^`YuN+^_C0d)*FVs^d*i-0b
z+w@G{KapM7<(kpA0{bd{mMh1ZclsXTF>KP9(>jr5t6|pyJvZSihb5Xm%?w)f^YZ7r
zmwFX6_>X?h&}GVc%<3q+r{|st<JSv*T~Q6STc+nRH`e>w^n6QZ)U^p(*n3>7<fBWg
z+`Ls6j&IJeesW*?hGJ>^gE{w|%$Kq`mcQ^zWVh9LvvBzpZ>Bek=SJ}f%T5s!`nyos
zF}Fl%MfW+DuNPVu*zMYu9r)*upF{Jz3;(_wCBG2hU6N39eWg=doR-Oj=vK8~D=s+2
zi{5&%+==~f=!>L=dM;a@kcIt@Ub?&`Au~GtxHeyiOf=i2amF#cb?1v^2K;wLpDk`b
z(&R2Ia?BuNRg6J<?BBUelIvDnIFKqjYsYdY?(d-)j~lsUZ9*1yyK8;<5YcKkZ;D-T
zSPR=btusetTO)U@HIUveax8oUS8<_pqTD*|9(@yKo&D<ds|?!GC)S^0)oK1Y@fnxG
zVJ1<jh!sx4-60u?8@Y0I0v9$f)hgNO(<(P@^@ZbI9{+_e94KD;Of631%pvdAn=_U^
zd7iNCP|TUY8SOi{9$Oc8c2=h?JtPt4IhFg}%!OJh63d*J4~L#mFB1J!8Pi%L6~3_f
zqE?BaTdUl$RTqw1ES<&{rhVo}y;N&t#A*ZS*CNjn_8yAK37FB|%k|k%<<O_)qK`$7
z87KzrY)Cb5Zsjpn-ki?B%hPQqDH|Ysm@_Mp#WA->Wkqu!%TsOrrcYDF9e<u$U7NB@
z^vVGjRVFpN;2Eu+T$>Fe6Zw{DoH-iOS~+8xf&Arq`8sxm`WB;gwNG*zA1q;hT>tbJ
zYx((-CZjV7D;j6Am>PC35K9xja!jVl>V-pqxGd+Zg~E=tC1Kh*2~AwSJ|PQR&xd3r
zPvVmG30v5$39Z&#6aVd4-pwVs%k6cf#haJz0d4<SObr?qsIduMIi%2Z>&KJ@d~Cv3
zjtVqYNq7aw$FC4IyWrcZwrlkTr+R+TTQ62RvF{Cev1mJ&?Uz8s``=C*SnLQ>JS?_z
z-od?Fr!V07&5@O0@8~O|u%fk@WouyvykT$Q6(E273YYYaRZhaULoyO~bLGAXSlB#Q
zt7M~ht6bUY3&-baoRME78?Jb`GsBkKJ;R&h)P^Yw*ro|yIa1UV72y~lU9ZjgDnZmS
zc8%JKc2kzG8^hLaXq>ENVi?{k_H5;aV>O~y5euBexkFzhPUNc9nYM7p_G>FAB<_tl
z|KMJf>|Js8DT%y}ylYffbe6Jg-7s%~nVG<qgKkZ`Zny;S&ljH3-}1;sH7b!=`ijPk
z8!Mc+XNP1wE*7<lSQmHVD3@qe#7d`n`PGXI>UDNTw$`ZhTr+Nv^ik0`oX%ou(78ZO
zP58<ok)~TSyaL!SbG%Bja<o08u%drvR_jlX6;5_St0wffXgyJ0$c4(~Il9->yOn42
z(<-*_*MyorO`NcxMnz>sr#8#hf~gD4)(KuYSlaYyt(~Lo9hDXR_0d_ayk`Ox<vBx7
zxI2k{ni$YpqY{GP8gPAf-@QHbM1gwLd4{wPQx@=?=Qw5lm*wg0x~5OP_Kvw*y8DhX
zmR^{*K<}H7WyKBm0Quinxukcjb`t&`lJRgKSFTOK!sd3Zl8+v(a&oIL92b`Cs(#(T
zCCPW{E6=;N!SyVu7QO+@=^R;)bR2c(D6i=K%u-s=xIj-&=*nT$re8bOgxxrxC_2kx
zjT5(fNXFw8T(UC33%eg{eJOBiwe#DS{c-N0=^w(MOC7Dh`D@zKsg>Srr*~zg*gY!E
z{do7#^bElww&E>T^08a3?k~tH-4}lKRn6^HSAX(_t^Ry=P1y6_^-*ijZQl_!_kCIE
zL(>f|-;0!+Uq;PMYzs3t2wpvV#?q_0Z!W$vE6Xlr-@Rp5_uQzvhxyjNOWv)wM@{T?
zTwdA+-_^!BS6{Jh%P19|y>(Zs{uZnF<5{Kbv$yQ(?v1*8m~HL5<h^=(#Kd04<$c`S
z6P6ya`YP|5i?7tevP-#FZ?U>x-;h<xuDxYf_tRY;ZbYn@{BxG4`tBL)C)=L6eA4b&
zS?)*k*7(c8PnWIA@%{N)(&o*ijHHSyOONt%@8=QEKDD6sdWyJnyNDjMZ14`B60e7w
zE?BmncAGAu#~vHJBc{ar;in6>t*71lpM;rwu-Mu7!{?JiYxl!CuIY`{nlWncmKD@T
zN=%aBushG=`>@DBr}gyF4<dT}%0WA7dVKN}g#9NmAAG(j@TB+?<4Z3@^q7-_cKG!8
zKHOxW*LwQc4-q}~=HMMMHM0&qO$^|g-rsnVH|1ew5%b5JIS!v#f6lpP-+J0%zlff2
zZSW4AFP;xKU9@gJ?YLe<PuzBLbJpX?Pfs2_sIS~~@@RL;<o4+&)#Tsq+V&&v;rC?D
zU|+V&yE1rrf_HEEQTMQ1($kqOSy+ZASxAPBP0hlG$EzUXhsnXj<btNd2Pd$!cQ54R
z<3B7UW7qb&IWM8hj@xc`uSbDFk57Sw#MB1{hE@j?6JwhWAG^iU-fYUrC%#%(MlMX%
zqGrt%_WHX=QfkF&jzvv;kPtENfx!lygNaF*O@|LnVrlO^%E`yxEhM8Sre<NI<5BQq
z#(Mr;t)9Phe(0F!A3SJaelRh?uj%lSH!SU~k(_+e#=<guX(|>mKG)gL980fiZtkpV
z?&hp&ZvR--++P?Q^(Xh{_axq*C)Jy~=LX-9&@0XRSYDrc-+xnTf%Vk>hkjb~8+Wg)
zQG2)aQ{;~Mr_vugYRzwMUs<CkxAaqG#hg>mAA8P9GcK_7Evs3!Yr?7H1$I-<AJ`dU
zC!8MqQ>WzZq+{ueqqXKYzgt<OSGD9*<%^l8o-YocHT%+$sV0dJi(}uLOn+(|H$TLV
zxi<Kx&lm5fn=jZ;JzsyUA;gY7Ht1(eiQm)D7c{4ycRy}&Htk{7thC3QQ`=3ZKP^uC
z9b(7)Ht46%9-mM<ZvCL2IX3=Ji$Ca0J%9AFN%O4U?93d#b;~#I+%fUkvxjL?v-_jx
zmP+rNwd|HzujCppGs87+*4+N`%vVy!<6+W_`G-;y^0}sW)@sIxeOq2|v)*FDp{EZL
zxTbeIYsSd&Eid?KG3ky2TbN4v(e!JJHDh>=2kqdI@qcJksMLD;&@~Y~>Dxg&WODo;
z7Hw2+J$?9`h@SlJNzx~de0s9xhs-YP*6u`quIY`snlWl-%L^iZD7BtG_FOZD&unSI
z&KolhJxz4xn%@8QByUP$WKr0ozq>^0_1d;-#;{#mQZO@O?xEC&K3vm#FKfo|`7JHj
zX)#kKMB00@FW)qk*)ckPW|a|Baz1U`P~0s)<!|Vmm0@Q(t#_$JNNg+5{CGX}{<TP>
z8xvk6M$CU<SYUQB`BBWG<A;8_^!IXi%CU<J+v@45R@ub(efhCt(iIW5ZK|n<)Az0J
zl&f#c?v!J`E^Moor&6UO<NM`?#k3cN1vVESKXO@g{P0Pa{{CQ3-^8TsAhVi2>$xuy
zEhfJ(EKs?a{HS2j@k0w;`g@x@<=EMUZ1ujWSJ}Mr{_^9->?<N-+f-5yr*F3Hlxw@&
zDaX89$X2aPtxD&P=a(Bh=DsK_(7yQiQCbj3ZjN95CW{|RCm%iftkT`?>nSELy?e_K
zx0~V2-oCwofpMXs!NJqlu0KALe_!0UsRH?D9$%Ihsed=+@vK*OR`d2g?ah@kvT)_*
zQO`VhfHTUVaE_Rc3^#Y_*R8!<r*1V}6mt2nQ|&gR=(}do`YoA(3;W*3zs_zo2o;rL
zPV|b%IPq-Nse0*Go9$O6?)WlMCB@ZZXTi_KkNlUpvDhWW|I`q1UU+4z-ufERaC@%S
zJqvxEWy6cMu74PHWZv_w>yu)3xb*63uP(mYyGHT1a*ryDGe@$WMqN-ahiU28skw!J
z7aRO8EDiM)TWqiK?_aU+yQ`;Wg>Sa(`Sl<=HU9kKTVYqHE}CpoZxZ@sKiBsc`;TPS
z6o1zTtqPAi{h(&gi2}tqlLEsylS0k7Ed`cwTMAX<N(xNlN(y!3z7*KTeKC9*|9H`H
z^Sv7<K8?N`arV&3h_lC5Mw~tPGUDvfnGt6X-;6kWe5Zu3%_2L~W$zw&e$D*v#jabC
zP+Pa>#0G^plMM!OCL1;4w$yL1h}*JJC9Y(HNnFWBowzR>Y~sFbR5Gh#TXsB4E$v8_
zSlY2Hv$UgGd}+tC^qw8bl6!V6%kJ64sp*TNQ#TmJr9^yPe_zk0b7hz0mrE;n_L{D0
zw3E8(65pG(aK78ti}8!CBo+k5@?2RV$Ceo)KmQo}r30@jE*_axaq-Ztii^i~)mL0R
z_^aaL(YA_<hmTcUbU$wq$m7!d*tJcu@FREIqK#5h6X&>@8pJK0HDf`buFsVfW_FiX
z@Tp~nh)*_M)qecH%=%vypU!V=G%+x-JEhikv|Ft0c(<9`k#0V>W8HdgN4w?z)gQa3
zeWFj<dxJ@hURmz5o?A2Jt}f0^D?h?5`*CLd8J|r>+7oBaof#7U)imk)u3f8EXWd%1
zYL-dEg{}(>ik!RxQQl73yj&bYESzgOoV1+;UVn^xc3NESJKL=uH|KeeA3nT(`0=i4
z8&l`$obsoQ{?@h&oqyt|@!yN}{L_Ehr-hjR+uya~(b}Nb%)RFL1g6)<TMn@CXm3zF
z%$;+jgQ;HHc+&y38V$WUr>;G1y?0v9{^h0TOZAw4y?V~`a{1{F{u0T{@zXh(O=dn@
z7PzZKV(r&>OY_?0y<3a&tilsw&xuF$bF*H1p47;@BP79DPy9xQHmljQtVZ7*%VNKo
znD<_+zL~s6ulCqWql7(r&T21=9!c-rYM5R+^TS%5%kQ`7*B8c4=QDru@Aa>+f4^C;
zJ#=g=t&B*pl@pDaf1K6qfoCIcr0#wB*!?mamK`{@Mt1|}>nGjQqo%+A8ux9-O7Hpd
zC6Wc+(-pUuN*20LH!LrmS>Qi8GcRg=sne|4W*L9O&-q$&tvP&->Gh`72dZRrH`pEL
z$~o|nN!n=R0k<=Mitiq)KclsQbMyb0w;t1btMsdLE-gKu7Ry$%>huKNT|GBeot}}q
z%jbsP=`Yb*-ZdKKPCu>9BsIUsM^2mZ;eP3BgN+Av`DksBlNXJcf0ETK!K;yXW>kXm
zGSM3|Ub31!-2H!9*^<*7d#BsBy}pz_Lyy_*e|czI&&AXu5wXX5E~g%<@Lg8F^W~gt
zoSAvM{@kDPPy6|@Xx3{F%Nk2}1}E6Q6N{K%&1&|bu93GgAVFD9?8XdtR<nm2?@iPT
zFL_xQI^FJiiDW_SbVc(L$&Y*WlJi~}J!FqucAqWkQKz14-IU+!b-dq9d4F|N>5b5Y
z*fQ~mep}XS>G_SkH^LK~zlq=IU}iOYR-e%5YoT&~^UBi7Ro^!qH;(;fb3OF{cWhhE
zRcVopzS9kFm(JYaJUKJZYK?cha@7B+#g|Vqy)IaFVAmYI4RZ0K5%bq`=Ny>HB>l;^
zvDYFxAvsOtMoa(Kz7oq--u=nDzF4k1-Eh7{^3zT|<$V`Y&rILtW1)EZ%k41lGgp=#
z*4g>L{?w);i<n+-*l}Q&j^+kAZ|*hcH!(?X*mIz5jm8E+ZSI^itC(hQh<fia{pPMO
zGZuT#-?@ut#`4n>e(vgtSa5nq>n@)kE}$4+?A^W<?7GwcS4Fq)`=k@OP46$)n&a%O
z*Ou2e@=63GIJ1e}=x}5;ODkyfl~6iwwX!sF`S(rr$E#w0<y=TT;1=7Kb1C&mTI{i!
zRi|5YH~H*ex9v#4PT^DWyG|SI{C{fGksVC04fY+_<)g7dPMCYm`6W!!9|9YBXT~Hb
zFB7>jV<oFu;;efU^$wT5G<2G7r(7!eVWFP0+e@RQEqckvUKk~=QM-J{Bz8KV@fJ`#
zXBXvZMYq3Zt-tovt?}!ReFuKs(b>RgFLGl-G^^R8vc}$>!3o0e{)KN<jy?DC(&IgP
zwR$g%9xT#x)_ZC6Xp>&@x)(+dSLs|{|68}ve>&fHa85tZz2<N?)9X*cjbCr5^*`G5
z^=4cGbD7AE33FM^lENE%Z^kAFe><@~H7i!`#ojj`1E$;cm()vsh?uVEUn2Q&v0n1L
zS4IzKs$IUbCH!@ZiTT^hzt+#0-6tN=ex7U1>F2E19(Oan{+!y#YY~{>>?d}kBbe3f
zSxKYs53lzg)Bo=JVzIz`e)BFKizTNg9NyJqvFP-S#k+hg)K32p&&>N3HJva038*+K
z=3aAn57TR-{RgUaG&b1Pb93h$P-itu32f|*h)GDECUT=?X_fn~n#JDzn|FQr88)3!
z{<TrcGCk$AmquyZ^n{;fZ2Dt+dsj)sI#5ZJtFwV`HrJZd&zN3sTzcSFj_wA=^Z(oP
zoa01pbj;_<Idht6_J!~|Q<JTF+F#<nMXdCmAG(VtV(sY(rn`C~R-c|xTEELDLjUxa
z*UP-WOtxP5XX(uvh5d5z(^T8UBiaR7ucf9me*LlGz^@*y4UCJqb56`)k~Z9S;8>6L
z2F}M{`$}G}_wHxh^+j^U=?2GLJd$fpcPQ@akz94UC2^Kd@nx1+E1xT%Fg$nid)7^>
zIr<y)#JScSKg#sFc;x}M7`+XO;av4ON3JqS7q2~_7V}>|%YB#6rRtlHm1BSTTuVJ5
z65Hl;HT6j3-mQlBUl~2z{a<l!x=pQmY^U_9;vaGAOiESdUR-*(P%l>Q<)z0P^=jw6
zFnZt~+h%h$^@wrou^O%T$suYvKkK*NE8e;C+nVG2t3K~sx~t*#D(;<&cXjMu)xC51
zu9o`WUEY^3gsFZr;m!@VT05s@+KWqxEA?Why}XpXQ?EAcg;By%J?F5OMoC-slCQlm
zN?d!ZTJLt~^Nr4>cGjWd8@x*ucZZ69Y<-pFw?Z#*qr{%sbz2r3v5K`?Bc8sY%fwsL
zaB=vWNN>@I%?=tH(pm!&&I&C&Fw4}b(X_jTDLag_p7olJFxQ$$^^TdVb7IY2?0vH_
zaJt>>63Gpb)6<j{_iYKBmL|W@dvouFr8iwO3YT?e?9e;Q75RF9kye$;KCAGJeEr;O
zPETff{V}=mYh_dd^E}ZT6LeVxU2}9d7~bZ}Ih3<k`D~ig^fdj2-kYahNIekycGL3C
zm-F<L-@P_^)~Hu6EO*Li?kBG^6QiT&eVC~YD%)=aB*>bHMNIc)y_Q_u`1R+O18i%w
zHz;Ov=Nx&&Bwf7YfSQls{XY@g^ge%y`!-{}_x#jdJQ3?oPY~VJ6S4C2jL=;s*7d&2
zcE0rfnCzeWvcTuhoe0-y6=4ao^TZ;i%X6<eKAGwD=N$*wY_v8g*7I}c9BF2fF5Z7Y
z?apQEOHWtm+4jA-^n8LIGv6ztCk=Ya-(DL%Yt<8;ciQN0hGQr9u96x5yf^+owaMi_
zQ}#7q)@w`S8^6AYPN<FRdhfLUM#FrrHIY6dH#(lPnmx;G^!*Wd&Ua<$&ZXY{w|9Nn
zx%hNL^)8;B>rZz$?hi>l;}EM>&vPMV*6d|3FTFW@#6K@2r8AQCT4Gvb>CYVps?KO^
zu)EBibHJU|EG4M1cSmeOa-QgomXlTPyL1HY4+Kt=>n?q{*<(86{1-+k3-y%6UKpkA
z(>wbsVA@M}ZZDsS)(ihIa_gPczO_l`<IFifPhWdj(O7C3lVJNzEMop{R<j3wjlA_c
zV-u9~L~qQH=gK+cYk6|{wKcP!oDJ8q<$G~y`T9LCe3wf47X9)}J>j|6QBb`^@^h=6
zu-)~PS+n<DUwW8#?f+9dGdI}CPhET1xH3*7dUM{S_n$X?t%ypfohN#u;W_KIGbOCo
z-puA+bJIdRVsr5|>q}2}>DjJ(dFlBoJ?3>UjGokQ(o@!ZY4mK7o^acrpenJc=bBW1
zb>5#D6vy?UF(&hsPtqjeI4xPb>q}3I@A~p1Xu6$xiDW_0bj9T*l7&&z4dY)LJ<R_2
zyCdl3rTDjz{fw(VORm_};JAuga?P#|#Z}#st9G>{g0fg1D2u%f1Z6R`*OwBz^kSF2
zx|BStUa$7q3!{W}dd|;Y8YRutOP==HD6#ccwO)1T^Ns$ccE3Z#3mi%n*+az(JxUGR
zL#G$Gl)QWsmbCPhOjzgKu(GsFT{}Mw@6DU8EsczLeQC|=(#&aBck%pio35B%BKdKv
zp6|JfsfRK@mM#z3wI*=x@vN&qbwXng2wiQ}35q?UzN)@Ea>cF|)-LbjbDCEz=Y&|T
zom1TR;?lzjda-=3F0Iij&CGL;WqWfu^+a5(+nbB2XYyjz-UL;jf7<fu%$zk=^Yuf-
zKkR(vWEUKJr10udnc&z%fftv~U!b!wxRlTOh@bXuA@d`99V4w`t}i`p8e0`}b?JH0
zShkpJsV7`x-C{1J)}N`2Rh#qseboD%IpNjU9`CH0b6)l;>z%OJ6S`Mj|18)wWA-ZV
zA9015=YIN?^4-^(8+Oj}@zli7bC!=wUK)i>mrE{rX&g13vHOT^L1bIaqSGC%yLuuQ
zoNoE~==Fn@B{P@x%rSZH6FT?2$W>OKu-FsstGZ__*)^kcmG=yVU0)_otXF;3wQ;(=
z+$oujmrt!ba(!3H53lKZ%S&GtdQG=eFO~eTRL|M&dg_t%T|JiTPPZgyz2A8zZ0>QL
zt3S_##2z@lihJh5T^%o1b<bS3tHl@;ih)-xWk9i9Y}S##RoA=SdsoTM72f^ayS`Yi
zKiv?R)TVQK0)MHbVbF9#<&v2O^)Y8|tSqry^KH#>#j8JMLShfpU2T069D5}0>d`lW
zv4@ub_bqy<F6Yf7oUC8}X7a+M<x^ujr#}kS@ot}A@^X`ZjYHHlwf^q@eWx#_x9Oez
zyW;eO-M=iA<z5&)Tc{^2_jl*DYCY#G^S(-~v6}C=id$mEt_h5*x?il{HG@B7`UU^O
z`pk2cYmUr&Hb>oS`PAZb39(i@7nhz6h^^|mv^4Vhi%V-5OESe6cbTkah@F+%pm)~F
z;jQiT`A3##to(dqxz+r-thtQxEA*D^f2G8>LN9H>D<QT{y}v4pBVVjKx2E_gDE?QM
zzTDt7T~E98<wmFJcBe}vH~36f^e&a$=rY}~yR?4h29LM4n*{^r-eg~KZu$CGOmSVx
zdZmi5uRd0~wo)%`?JJ>c8hU3fxkI0CaCo_;=-kXz-tC_sy%tM-S>!ie?*7Y5&!c16
z=3GrZVZN)!V)5x2>q}>Tm|CQId5$qCKUS{#JahG~2Fq34KO;&7jYGtZV@o+NyG*Zh
z+IS?>@Y|>3^(L08Wl=Y$O6clopSqMdMK9Lw%F^Q=vA=pQq#h`UZR5F|dL$tBSdHF2
z#nhP}X5QMYC*QeVY}vWwNv~?uR_P@)y>dFXS}$qNtE6MA^b&g>U7AxI(`kFw^7s@#
z?dNlBca_{&>peYo*OwbBz30pB;<>Tz^n_eU$3l0PNj3l0`tLD)tIj>{cvZu<TJOPx
zS5ADZ^d2?5O8T~1FLC{gOa5}xZmh9t_XS7$>Rlx}7I{xUz3a=4CEoM9ck%34aC*Y$
zT|E}dPtSN?GV=qdYL*UtzR|JN&VGg7gJrLr_JzkD;k|k^COr0#>CvT@&)2+?*#}OX
z`z|cKIkj{B)M@ogPbbG#*<4zB-Z_@d=0fU;+E}+cms8Kg#;V;BjMw*DdRlU8y6wKO
zx#z=Iaa*k2H6eIax5dg`GlW-p|M+zNzhnNXb^gKgzm?y5EhTl!`^^8)d9y-4uHRL^
ze{I{e2*t$qsX}Fusv&PT1uT%8&v7Mvq64!Sw@FH;gYq;kleC!*!u8Xlw=7(@dEJqz
z`%eY8pS~);WA#E+yXhMaiwl+g3_2vIuJWch=n&&_6`7)_LxS<1H*y$M<`lSf+<iJJ
z;D+wU-WJ(&UN@dbb5`^G&Sw10Griyd2WNZFl!7DeLVc2|4_hwQ&+Whac+rKN^+zNY
z@|{my(-M2k^G5QXmRdCri-bij_0DRZ7LSxUkNZq3I3%K2HlN$+&Ayu|+@(MGE~~sT
ziajJ}tn%jb#unx@FN>6EEy`)$7HNGg!q2!ivd<Au;`cfu{E9>7nfHy<#ui&KuN%*W
zIoa?0Sln<n%K4C>xvI?P<`&_+zlQSFCoC1eZ8(y<u<yddzLwZ`UN;`kYpH$beWPB7
zTSewmz#+l@mJFqR!bMSM3L9tfzKJjWzbd2EY@30;wdbDLnXb>dt|a=E#jUv@6s+>b
zAo5V!Vx5q;M$rr8HnY4k4qm`0&ux;@=AgWc+azt4gYdE_leZ^@CbPUUxX88B$NSCn
z4Tl*ycgsxKa9ojdcI<){<~|RLCo5W%`#f*d^C)r74pcev&d5<CC+*^S)toQ^p|YEr
ziT$!d-)`zXY{(VjyQ%fCLszKpXUHMW*{wIu`lySTZGNG~X`M4=!)Z3o>NV3hoVVg+
zUo*AfgcPUynrRzuhW%-6INSC}|I^0bJ;JXHHowSS$Y<PrZ_<X-{6gP$XeQ2oubPu4
z#MvG*y`cVxDd+K+sRf5b6~8saI=x8~+pBXWG3}zaP}xq6#C~p}Z<hKG8;XVae)=5}
zyzgc4Y)y;sKEWjZo4QBx(k>c1$)<_@jXI<k?|I|#mX=ySPm2djTAcknEFO6)&g!r|
zR4cnVd;#b0x~Vf=@0)FYA-;8LS=oD6d+`;A*|*AG_x0wfpT6NR2j}jdHMx=EWiRyu
z`WczNN@`qaaAe|@)Va{1$kZ#Tb)h9u%(r^ek;k`0eZ@;Ff_DFTxlHxf)phc_H@@`a
zoV{+shL_x&v(HV~@RFBv_PYriUh;F!=9^e>=-{o=O7XIvfgN|xENXADI-^q+aY)Zy
z<xOG8A-m%$G6gY*6zA7_T0AP|JYJ*tutmT1hV4AAjk6=av;?%zboKYT@pMXyuiL~8
z=fj2gencHoOjnWl*xTZ3Hnrf;cBeOX=UZ-^)%i6Sr1re$ji-BB&iW`N&hHlD+oAGs
z!fBzt9ZHGD-Ch<CX9-jvC{`>p?v4`&#f1{*Zk=fxjtg=A)|pyxpx%hHU1xg15iZW-
zYo-(&(o+03K^zndvAUpGFcm6`)K2U#75Wyb|FD5qi0`Jx!wz4ezMDEMk{>5ec$OT;
zWwP*n{B@sobD!$IYQMR2adx+r*8;x9n=hw+7yBCdHfn*iwawHGo`-H$?#}MEa<f=?
zJfiW}7vT(t_{O$9BInZTfB7sh)MuIX;m)E=|7jIU0qw`bPNYU9JzUTF=0j&oteEGG
z<c%%0(>yE^R<<}#^R!6X*^(UQVUhTB{pXFlZG@7{C%@KO$Y;EB-Lws-r8ukCOx<uk
zhLiow#DWt!obG2P6`b+mRIkxF^6q0m$6cRw#w}K7o>;{m(z~qk#xV4dU2wgMj6vif
zMR`w)q)9EwYF-wJO#-{mGb@%E?|div%3$(qJI>v2rf)dT$oX4lO2Gj|&UTq;1xExq
zkIPIgIAo~!t>L%Ro3tWZy(@`o*UziG`LwgecHe{z=cfztStvf7Fj>e<+KBV`n`s4y
z^c25Ms0OLMs|ivoD^zw<FR?$jUg+CRt%nV|LVQ0%4hhaymD%jcVU#q_A^91%N#eov
z#*?#m72o~wdjI9$|1}nGTej`kuV?c=zl{I?`CfgH>f85IUe$+PzjP(=`kgOs-pS0-
z|9<&b{jc@jZeIFl&yldB7CEceiS6>aHAm>SYL4EK`2UCR)tk3_^ZZ_XM7FK_PHM}E
zdRw=yJI|dbviGc7cQI?nX}^_U<#wyQ*&Ka{v06oDQ}`jl-zqYj;}3DNzj=M}#+``!
zS1#N+@xuP!<9q)XT-);Z&E39pyUv+gOMG^Jy<pq5v|>@~`>BW5{||h>KRjE@eTu|y
z_dtuD$*rd=cDvs<TD-cEv;It<jk@(GwJ2Zj($puu^+7#{-LK7@bLzxwoy2y{YaA;c
z2o<}$GYEg8_u1v0Vf+(2ZWp-?0a?pVhj3Q=Ox|$5gp>Wugn|>th5BY_KAaIL<ToQX
zzW>#U-$$e>{m!5G{g3~e<JF1V?@3kqeLu1LCwrj99|i8|(e)doVk9fK|DPaRnOFAY
z?#5ZuUY$Czd$mM+ef71OcTSzyt)JM=UVoymflKeB(-S`X&O1++p0NEDrM%|QSvS`w
zjPeoU+s?$wd9D3kp^`ZNxDa23(!&Yzsxlu#4jG<T$=T-g?dyuZJ^!wqD6V$9$B?~a
z*VT#J&q-D0eLo?cv2>f>Ljw;nz2>)aYkT%6hMoJoNjZPT)h!|6ZucDPk6OrVI`<^h
z?Or0Mwai902iEBpnnmrwO68WiMg8kt-hJMBg87`s*%C{w#QtQVZ<cxw8_ui9eA?Nf
zyl+Cmndw4)7Kvd0ACsz#JAcADTRud#@_YSMS@*c_Cw^a(N{Bu5&^mI*oXyfPl9kWb
zPJEv3evfr^=E`+Fd%C*{ccj$MJ-NPT&uO8u9Z9_nTzVU0p73eAyxSD?L~gaqyUkHg
z7_*n8?TVSY;kYU1@0jTY2b4J5b*2>@5#l_qGqvE5(eJ7d_j^&<Pk!1e=g+MTD1CBw
zv)jD;wx6y=Gq3Ts$eGZ3+HLp2sS~%Kl&W+rdy=~i9PYnE4Edth7_&~DxIMJ~P?|l&
z=J+Rk>@M$&zSMSB^9b)eUoerqry@RM+081iwcl?jCeEKL#CJpW;e@+FX1DV=kH48(
zaL5j9`-$(HmGxgpR?6?omkp7vEMGfOyrh3m;QQ`FiCc7Hj@_`0lB`U=f4*;zf%T_j
zk>FI<8{Tu6<+V>DDE&>@a9AaqC8VHprc(I~)uQP)mA=nVE}FkniGPN=>E)-&oYg+l
zHk=pXWcQg`aKePs-Di5i86HmcnjBE5&(81NvmyP7?gsu<eS560o#5W>e$Oepp_H@z
z_5034PmfiHHES!s|NVWFvi_;QJ+t4Z&IYBs-;RcS+t(PoPMugDU4MwL{N8DBx?6lg
zc3#(=r!!C3&g;JOy!8Zg-K1GBi^31deOGx?9Dj(BAKFk*k@*~Ph|~Yg>p<B`{rji;
z_N+*M@^!0QT!?Jt_O%nmOZ)fKT|4nwh)@2+p{4svSG!D|Xs%HF?%XW)vh8)M(wpo5
zg?@jox63zs{<de!cGXY&<(3x~pY*zDYU203EwXukR(<<a{#fX_>$?KKCwlig?>vs4
z_<N3M<pJ{`<JfiHH*`L!yeSSn#2Bt3QxthfaJq_2ao{0N@i*C_vSsOSvaeqIRhC@s
z{Q9=8>b|hKhr`2qZTm0#X&=k^x8~QJS%rm{vMR1G-X<(m_T$nnySx3j>fQcCJ>pY#
zefMeM30t?$JLy|aFdqw<zw>&*bVi|X5*mr~9fkNLbRJGn6zY@EdN?Cd$WP*5_Uow7
z<414AM_*r+7Sj_v_i)APWAoZ$|B5CTO$wbKZLIezlJ|X;z_sv0eEMq(FaA|{Gx3D1
zT-TkajVEl={sld<+w3A|@O!$Gaco`vCb!b-%^SppzE$cyY)BX4tJHefA+IX)+2s&t
z|Jv#u&mC{fd9{4cZlAL|W~{bquiSs;+KtZ|S^JV?#3H^{nm$ficy)7Z|4K2>8;L7R
zYj?>9zZKtkb5rM?rwdNl@^#*MzTpIOpU2sfpDu^wx>et7b~%)GS}k#N>f{YKH+kO3
zxv5g0vn}V_)>VDoi@$AMB`JP9<axoJRU4l>UQF*Q{BdX1`Sp_G(renvZC!3@B)0or
z`}(r3V@uo<zSl1AHibQr%ZB99)l1TL<xJafe7VrKn@SHG)(Y|6)O*+=E7W&W^I=Oa
z*!Zbn<F!McZvYu@c+TkPjIXVs@d5R__id26_qF4BOUv0`hd@zMA@;xZh^$!WojVtl
zzDH;j&1Y5OpAlcH@5EdF(<y83ajlhG&U<mPubEJAqKMO7XL7-rAWn6ipWNU$*|UGc
zy3n^ai>%r$!+LG`Bh$2xB~A;Ck1*Cti{gD>#qfD!i)>oN_2s`A&#+9iu2CzhpZ-|s
zyM<cO{KZP<pF6JjOn)i#ZH8Ln{FOp{Gn5`qI4RWk!{d<QWR*Dv7x#r-EiAmTFU<7u
zqY1BM_@Yd|*)UlykZAuKRvUPB$BlH;J+D8Wh~u<=vvHmO_s0|cUEghpe4;no<=w`>
zCw9^<avS2ZmYvSytbQ|T!+AeW_BRtY%yd_&m)Yoh$S_)U&W58P^EaLYnV+=wmCUsW
z^{kAXH<!N|hegX?U%u{duUha`XYG&SI}S5X+<oW&)BErLZqKf$j!jqozUI)|ulZZe
zP0EVCPrsYL`qiUe*|vKt>lTMZZ@zuu%DssDH*Z{+V>c~R$M8dKs1?tprKb~Ot9ULh
zJ>U4fe)s+7sVT)P_kKLT<L~MH*MH}S1_qx#e?RB(HT~88lV-&RJ11X`?tR<)_U)ew
z!fBCPr2grdS6dyuP`*n1+i#ux*Ox_q@=mmlc|Y^>th;^Y*0-dhrK0N3ZJoz`@y>@^
z(zQ|2KC<lh&pWm|SH39v@pm2rZ_VnB`;J_lwLtsKL$7Zp^_tp+Z?{`!-1-^)J7fCk
zol>@XPiLGzD#N{FLbBrYqURku<|G@27wJ5FmA|@w%Iw|l4JUha^G(dQY%?i(y#4O}
zM^_eK=8pfKmY&T$``-V{_x?w0n9AjqqxXex{yzQddF#@CsU4hmJ<{mg+huL%=1;v5
zwM2HCXK8s^$(PK`n%zgf)ib@`u=v2PH#!^Cy2T>q-(@vR=)V#l`)%zrwr^gF%kSM0
zIeYd^_5b*<|I+W?TC%tA+_z)9?52iXzxXR{_ssL(uFu)Oa_P#=CuOc*UK(7#Ji0xT
z^_q@ZR!(tj_esWQb?;YXue;M^%(dq5@%^uNp1iDeKO?8`_3K+Re(tWn{M$dfBDy}d
zdby?9RoVP)TeohT{bT0W-Sb#NPI`V_zki~f?e1;Y*2Q@RpOTqqeMWTWX`6}FXT*0#
z?(Mcoc=*0bDCze3d%1qIZyi$KzuN9Ye%|^e=iBCQE!$RJvgt+nmw)$nhq|Y8J6Ff~
zW~@6ovAbS9p<UH<$Ks7Q=d8EU)m{5?J=eQkzfRYuB$_3f`o76opZ)U1<tsO@9!WIS
zef1{uV%MzT@QsJPICro4b$9=Zzy7;E%+8$}c>CJDAG>Dm(Z2lU!}nD)x1NvY_02b3
z>YU{wXVtT&wO6-vz3KA#e=FDD>aV?*Rl9lezx}H<uTDJYI9Ju}s$5hf-{Q{~QqNT1
zZQl92Y45)@@7mAx(Nnuk7F5l&es$L4eb(U{-**+~dtFYve`v?|UH8rIe#~?KdE?{z
zzpwB8-{WI7`|d8j%g$40uHCnH<HD7j|E2eSwNF1({eRo{{Th17YKI#4zmDTc^<H}U
z$AYXM$7Zhl_4U^_H@4^x=`WuzZhNxu@P(`f+YLt|8m)SSSDdbBtnv|9QGed0k<CXa
z<Agg??+l#_Gc=ieCBB94Y=~`p+PzD)EquT1(srvJffc7c8mnqF0_F!Y@k;1km|)1%
zE1`X1Mj?~0#C-l6$rW}9=ilUg7OmhDW_@Mkxj;^y^Gf<AhqL>F7bpg^N*VetFucq<
z%RpIv!}*Sy1N(0NeXjjMHjV2_>a=<X+cfSg&)@w&bGf0KiFc>|g${P6-b#%NE$;t~
z#S0Fcs5#)+dHg3AQ@c7->CeCga{jEZKKm?SJkKii$!US0J*UaDr4GWso~<u9(f+gH
zu+ZO6*VRjYI4scn%lgVtp?*_G;Lk0R##a2o$NsFVULM_8`)XEve(w9^X{OBoS5;qH
zTR-Dl`6b>fug|W{H-51`i!W>Sva-ov*{{o8Nxrn?e_*N5n>A;GC*S|Qzxw}eu8iE@
zt&zr8XKvoIt!&o+>)W?&U%vd!th*N0Tdvo?`TPFKruDOBtBZ3V=C^<QrN8(8;niHr
zySJ-t=FE7wyXlkeTHT$$&hx%~`t4tTee);V<rel^uJ5mZ|95>B|H}NgmzS?w`f#hc
z^{*?XGnBP2n~1l4`^CTa|JHyFD^=J2JG<>%+p@l*likkMe^0M-?GD|vb@e)L=jy+!
z@BI(axVU_yfB3}HJ1?7>><hhr>(b48S!!>;sTqshTbJ!Woh!WU+pqI`|L+akw0Wz4
zaP4F1x4p8m>rJ=MGCg#)K3v>9`O(>9UN2&#Z@vEi>Tmv(M_<#{Uc0jV;+ok$Z(`(c
zot837y14z-th4w2=Eq!n_~8DIHK!NuFO2Lz>|Fi#_BvaaeL2C-t2Ohi9-Pqjnt9G>
zY2VXz8NQmEjm%v8_}_l*-zE0n)^(PYmyE0uSG%%v)nCu+o2-?+?u7Il{@ztszjL8P
z|Ld-gJD1I9SY5O@mhbeT#}jmF_)aN3Sf}Ik?u62#Z8}MLCzT$yEAIW5c>UPo=#nin
zBA<4hNY?ANc{u6FS(Bo`Cw$^A?~3A{$ld4N$Uei<N^SSwH5=D0+_-n=*IuFYxX>dH
zUn}nYS9-meVW~%F<$9guZ->`gO+6jHUhC1u`X`~THDdc$c^p_2F3S1yNvNxm*uGUB
zXPUxAJ!jZ2xo-dVUUX>plFaQJ7q(hDSN-i?mu_LZ>8Q$tQ=iX^rFq-lzj-H3DDbIF
zTBQB!si{h7`!@NUIlf*e`P$+2TFGJmF2_e6OT49hdWTPKs${?BdY$Alp7lDyA1-R2
zZt1B{o!L=exL!xt_}kP}p|X9edTtiHsYsP<=UuP!c+<bBsW#X4Zt6LID|{Mf#OG5+
zimT%z)sn7Gi2J|i^-8bsnX^p8JE!iPy>3->gyE4m_o?%Z!qc98dFX!KUUu6vvDsTy
z@4v~ZJ*#u!RR7*fucB{Ei_W?-W&5c-`CG5=m)g%fId!eSu*>ZF$R8V(w@a+mO`F!S
zR`=<lH)q!JWpkPBOZK~Y;l_oJdEU0WyP|$>-hO$<skc7j1-rZb=KtGV-MTw{#oUuw
zT-&biKXz}?tXtC7w_neS3OclJ;og6R-`kc3Z8$GoYb6~ucf#LUT|Zoc4Y^IHZg2=(
zH}kVe>M|?eoo6mixp_DHRQkka^-N;fS}C2&l%{2ArOjL>G)?=|lP{t>PtX2my*KVI
ze|9(bjx|zQ_a{Abd!1q4e5dEr)t`%Zr&N8JExYEV?lNuGOV@LD&r@1o8!Fgd>RkOd
z^SZavRi(FI^RJf95Ut*P`Ou1oi}HPn-Cx<u%*#)^x;u_rx&3zL%9sNo@!|hJi@EXC
zzyErESDe$^OHbLKlzyG-yH=M+K94;hS^K_&>6=hjnYMkaI!;dr7yT&lXO)s&b6luO
z!h_XaEj$u=?|ZVlO7`8p=JEgGw*!@{_ig^S_Lp60uBzRIrOx)TyS`jqdi-?asxLo1
zr!#K;nswGIcgNmxqvYj^cR%Q!UiWdezMKB}kK3i{UtiuX#XEh+;hu*xeSOXK4gJLU
zl2acVxrxawPJQ^fVul8j+zF*8`*f7vom6_ZPDkh+&*Z8jsk?4OO@5HyZ94Nyo%foP
z$+K=ew+nWaGjM&PIN4RsFz|_?u**DyxUM&*#cS&~FlFSgt~q$yE!!Zp;><F|z5g7)
zA3nzAe?4F}>#O<?FDJzPPygP0R!euI{~^BLs&9%M4#^3tyfF?u#5lR-+s+)p701IG
zf8_{g94KpSdn1%_B(L$<8^Mf2_iS=?KPE-Yv$Bz&#=X<DR{diE<L)(6HyoGZ{Jmyc
z!GW%aKihWL2+w-{F=T<AbgLAT8HY(qZQnNYJ*Pjt>B!4@QS<ihw)$OteAD<&`kZl_
z(fatcZ~mT}apCi<{8Q#XmH3|)?fmQ0*OQ7fCAaUq`PM*kd-kE{Q!;ihtM*I(E+LtH
zt@u9A%dh^s<mZ2XvCS@R+4G#T{}Xqe+Wd9ewe3I6%CDWf{`&HE4-47e`eT#cNG&|R
zYh~=5w+pYBZJ#O1_Pj0ST3+p?^_}(ae)+x7JuI4PW^vfq@X87y?$GB3{;Myg&R$lt
zEn6$CeVLG%_gjtqrW=oJd}F$zknect^G`Eh+1Q28JukkB`$tfzqB>i)-lHY2lKfWc
zJ>2r_OwnKMtClrut)?5VGJYIeYL^}={$cGar+vY(M=Y-%of95=i1qAGRlQZ`9!`1{
zqgTKB+~clSHS1RCJ(%^%Y29kQM{Tc?_JzkD@;%F%c65Q&W|^S5r*p4X-U*z$vp*#L
z-Qt5YL%oj9w0(3X@u$eeucCW`+n%m|{V(mV=dDD&$)=(oCN8<eHf_cV&34Wp>r<<a
zTwXY<_(x!=o`1;mj}fJe{UPEX14=phH}Nx8%{g76Yg0eD^L=*cET0<xQoZufbhinw
zYVua=J(%{&sV*S)i0{>z*50L@@>$A<lIL7YRrBl*nR}Sy>Q0{UxyKEz{^SXbJs_aV
zGJoT(M78BS*Z8<47R#muol<zaVZy~(T@p)I&Uk)nfp3MG$$Y;{`HHd@I-jRl))v?9
z{(E#W^JeV>^NgnQ*LU)qI`<>i$~L$2;HqMYMOM=nt}+%6FSR=yDsJFZs;C?){xPxa
z>fNVR`;wBTROCF>R&Yy~e-u9FYi)e;l6P-^>O8;uM&;sC?Yq_frF`E*pMP>FmE#Y6
z{@J6Hu|HJ&lS`?fJ)hXF?azL1n%8waY}faj56-YDm)g#Emz+@R5UO1-`|I_kr_G5o
zmly6lUpb}1ZpV(6!`<Oi3Vyy6RkexRx2VPc{+qhx-<*~IZknn7xwC)Y&33!otNzw|
z&ZJGaW&Zfv={&vadlP>joN&flYVIxRoR{<WPAq!AO=?2zp^G=~UAiZr^2fxa|FDq0
z%9{_B-)1_p&R*NsV%z6^<N1sh=02}_izgi|%6#4y&nC18^Kn^d6*_=3;U@n>a@DGD
zHoG5U+^s6J$@`F?wQ5e;CLzBaIuCXnQRTFbnX=(DD`)kb=^M^la<bQ`Kb(-SDpMGC
z$nd_0#lxP4$wKkoHy&zp?w&Jk!*NZ{-*cuE9N^?^uhD$ip|2vdxg_FQq>rSz`SN=I
zX_rcGOk#SyamRsQI$9eT=ZoB!(8_9-<k;9dGd@B1*~@D=^PY=Ev|F-XOD${sIwLfp
zHcjkCLqB)Ui5@0t!@UQN`Dkz8yj_}YcI7tLn#0$aUT<1@psFS+q1H_NMuRV_SxRza
z?~Q<j<ZI$LT1t1Be!h8&>Gg(X2X?K|+aR~Ptv*$3#@%g;_wL*K@UD<{_m;z5F+neU
z?q~c|Fpn%>_I^{1M&fjLAv5c8&fhi@3l8){1_;uH%&Jv5)%OT&xOx7+N@DwTp|Y71
zq9;kbF$_GEwq7Z5v+u+WHy3)`$njH=G4?vdxtKL)+k<44HwIyc^d76cF^oH8H(5pI
z!^9TndNps0q^_3aWnLDEvl@IK&C^S4mvlB>e{f3SnZ0jLhosIbu>U>Fb!OS!^faDY
zn~<$(8fSGj@NMQ=<9(m$^~LoEe$@mdF#i(2F@cfQEGeO}S283)nC<m7o)e0!*AiFc
zXmfTa-qiKESym$Tc%#j1wfmV_b(@#&+q!h=*R$uo=sMOPt_ro!xpePSRqfuJVl$V0
zsR>&uJxlCM&6=fL-fsj~9KKh>npSk$xqofti_N<H%-fzPp6IhNIsMtD=jPOQ&fUcy
z(pA4bU3jzR$->i(HeW1ewA;0RGI&rRH>)>P&Q0d;>UaFD(-OUB@ANocbY{(wP3+6p
z9Qkqo$%4aA_iyPhn>o9F^~L14zMp5#Z){+-Obc6WDQ5W0+^k!2b~5MVoHV^NqPx#N
z+ZA)xaC)}ovqIbBHF-}W4t%#1D>6Q=RQKcbJN>;Ho4+*O*);L++cy)Rp8lwET&8Xd
zf9cL-<^J7fpLV7%Zuo7+Rhh83qus2lGG%egaWNzDhbwz!=G{E;bZ4(kUTA$i-zx5(
zceVu{kd<z|vo-L@-uU!i8y0Wed1QM1hM9*uWr{6-w$FNXYW~{ibzi@)=E<sGyY6qg
z@7%Pl>H(W?2(O5I&3Q%VD@#^b6_Y8qZR4!cc@Afd-e14k|6(ckb)oZ{4?J}J+P+O|
z!I71>;(R<i`|Gn6+h>{;%}iD9ziIYqW^(=F2KN0M15P+gyV`6DJd-S~YV*_AOs@TM
zn2p*I`L&OIRxURys!UVve{S}vGI4Rk{2Y-_m)rzv*Y+8leqU$i_2a?zn-wwpHa#+2
zz5L&`!nBhn2i)|SbDtQVaI<U96)`yCrs$k2Vt6KN<?-v+ZhW##p4gDDBUE%vN$`G@
z$}{WkdX+h+`EsVu-{k6}KX-e_Vb<;)F<Uy0t9Jj0+2(OTw7Vr{tH%-3?jteVJr4Ee
z2*~Mgc0KdxeRa3Roh==w`NclY_&7cC(dUh>OleUnDbrk)(xO$;`do#c{YzEjn7{9)
zQrc$2^GbZ5bsudypd`nw`{;A0E0b8HO3Fr8rD+i=X)Ehpg{Gx8bG(?YD>Nk{Pq1B7
ztS~ZDus>AnV`T2c22(N4$n1$7j$)mXITKqHZz?$1oe<;n=GoeD*r0m{&-RYv9Nj;9
zws;(97vq#no!D_vtWz?1V#~&x3QE7yyIoX|MIK4q;~JwDaU^+>>zTYeN@>b@f}7d5
zcigOtKJsRdZjxF3-J1$YY$@GG(wOrE+XZj7NbT;~(s8_9?4xAr#D<GvoRY~CJ2r}S
zN~TY2`MCcq%R~c%+fSApX?f$=dDx|UhtH;t<L+V~XXZ?7&=ljGnKiK^Q>=4l-ozHk
z{crziEj4<1%Y3?oUQxS${q4V^ZjyQ5Hg7VBj*8szW^(KCy2u|g6Y5<LI7YU}OmaPv
z7<oiyqU)i+jqYdO#n>p+E?%l5(|7vNQ{%{r8a?j$`YD12;bMxPQv?m;#SFPqCT<9L
zBiO(85o6k>e=cHt-6;<@MTp6Hr###oBF1<+MQ~G$n4orw;N~DP&ecccKHgN5OGx{7
zbyGovGWYbYn?6LSbI<>}iQ`7SLidE;O&vE>x@Vl-<Pq^ndZjzlwr=(rsYfo|?>Lk=
zQzvHH=|jn_IyGUZloF=uIE9^7O6t`~x^_w_aqbOeMe8GShS`(8Ci$jiZgLdUlTLlO
z(bJ~<h+pBIV{e2i552n|wqJgEclmz1EkDgS85+C4y}aeyso*fbzYEJ|-;1~(cfbC|
zy>rvfEZe&AYw5N$KC{%g`rVDWl0T|yqpOqEmuJrp+OmDi)_-%>XUV5YOxaia<h9Sn
zZ^!IZx21nu!e;sAgvpY_CMoI9=BRCJ>#HieX~3K7r2D4k?b++E?`att^2@3{U3l8P
z@`a%#f9<j-i3hImtf~LoYaV4~`I()2nXTbl^Lo?MGtRXM-T$M=EOYMP!e!#8)<2J3
z`F8J=DKEZPb6eIVC{O=q_DLc^d48iAmqg0q2?x!(B$5`-SZL-Y@$Gkx4PW@$3ZIVl
zHIIE(9yTkoOj7P&Z1%}AZE?e6Gp?U!+yv*})_BG(ty+_L^l{PIuH$Rm{34FeEIr{V
zy>rf{iN`ag>wngyE^b(#D^hgKP4Iq%?$;f8y}I+I#W*8!CQeWl>x{^nI3rceGh&|X
z4ZZtm-815%5(V3*iWNqt3ie+W`xu!#v0<wiXJq=sj;~^!Hxnkd^xjmk*>_sZ@bnsA
zvBDcUg46wVA8kCUWEZU~wBf9h;%!}_jfa&Ct#v1D(3WmFzq39^aI?{^T)}o*vBI0#
zg8j8(A8+PQY+x4Sw9J^;@$hD2R+xpd&Lo3R-)AZ?ol9SB*gcbfGyBZYGaB7HdbV{O
zm+1b{v(@8(MR!Zjc8?=0-A8=3cpO@iBcLe0$#KTl<L)<`&m2nL<QlUq;z;r;*P3OK
zDhaz>ozfyy9wm1lIkUy1{?M8n0YUN2jxMTc>HJ+X&mxYbu5-0{7I`FnpDUACgi6Xn
zS0%fR9%q!gRqp(WpQ(_fm)hLn{pZ8}O-CM1bB)Q1KJvKFwdP%v%7b~XPVb^s9yPiq
z$wjF=3^eO#Iek-Ml2HF<c2z!qokyP*yV}f)I`Vv`E7QDal_#yPN_Cq&&eVH%t9<!e
zdv?|KUvW)B>8|fSynUZN?Y8ZnBcYKNF_T+Qmqu3Hnb3MZDUwBJqU(vENEe;Su4jrO
zRn`bpA9-s1k->QXx+$%PwIX+{nbvw-D)PsgsjdgCB3sr>cRj)uc_e3w>mjv`?l$K-
z*=L-r+N^V?&U4Ml=X*{t>vh;XS#&~KufEgf*`^c1>z+tQ-8_7D-QC=2uGjf8PQTAK
zE02A@TGQ~kdF3mE@CACGSza01J74xq{w2C3+E~)`u1VhMIrnp<q;Eyvj+k&$vNTt9
zd-}CiPv1V^leT<wW{%QbzIVTBb5mn)AG~YkW1Z~(?Z&yXZ(%2A%l5wgde%NY{_B+3
zBdPUY>$6G}H&>fR+wlF_S3Q63!)5Y(X<C}~f^Uz$+P42&tdFW*=beW|U%S#OI-@rg
z?9k<&ZoTQl4sGuF)tfkW=yy-JyQ$-ba`%kAn>=o)f9p6c`jKJsg>R=1J#5g4;X4Hx
zN;$3cphL&0@08M`89GUQr<EQWZ*;d=*Tp`g<=al3dYOF_T2D{k^ua=rd;a8292TnG
z6E1J+_~9jH7=K#nVb2X^yX!7;1+h;Q&0XX^?mdy5*Jbmt{gd+cXKA00>-#AEIQsf+
zZ~eFK>v6wZBR5P*dHkU_DdwEUvB$52eklu82K(8(On+hbU%!=A#!g%BLD8Na4adX(
zKaKzPZ+TSXHIwD_w*UN(s>;aiUDR^=aQKuD6(8nbl3$ULx9*jX^t6BJcdc|ZH|TY9
zuQ|Tx{~W$kQrWXYf4O?IFTS&<AjmLEAW!QU$06^d?RmeXEh9g6dolOueGFZB!g`M0
z7ro_Ndyk(K+FQN7)a9MNl+>-Wo2tJbjN5u3@%q8I`r~hmRxLQ9)@@Orv!&xSTX)5p
z?H%WLy;&JkmezQ@Pv^tUd8~}LHz}lKi@D^SY?|S}Ss}4_S?c<kei7M%?WSUe-cOtN
z{#zFrSTga~uD<ILQ#C{We|8ItTGA>imYG~RZAR6!RFCFJIo+)tlGnuylW!`e{Yg7H
zf9j7TN_@|a6D5KkZRwTqyLsa2lKS2=JW0y)^>al&wDvmLZ4W$>Zf3N3S+7uE<i{Oz
zHgnAkJsc=)DYJ3n=|t(7rcJ#ye77_nH1s-syRDHlzxT|p6K<T<YbtE^ZRVP3S>Mtt
z(-wXFXHE9RhRtG}KaVL1rt2iBrFE;+ly10w-#Gi8)=PuQ7dOZhOUz2vb1piQyy0y9
zEiax^hV6`&&o0>?x3N26v{|jbw{E6Jl(f~$*)NZL`|_=}a>2F>(QkGCq)ar6OF417
zcm2md4(s>d{avp;+0J*Z>b%r{WffHmX60Sl@2&IZq`hWA!~|Bo&zlb<$BF$obGE6$
zGE%_Enp-I?)$z<39giPB5^_(+)}7gM=Z=T5k$e4&+Zk12JA6(v^{<S4V64i`q?Yb@
z!aY*JP?I}JEzR*zi_dA>c_~qOc{4xGR6fINtj8U5EUoeQ44n^>b6FV|Z&G-2Sf@i`
zCaYmKf2zZwS<YK^zgN!5to${v^^D-Y!>e=(B4@J7X>U4^UMa?+bGm85(nx`gD%?q8
z>5hjM`J861mrIS3o3T@^*yX_n1MV2Hl*Z$KeC8_h8-EWv(tPH=;dkSD^*gUKouk_$
zKN!3Z_*$0xIwL!`zISK)s_(VY?!UBC*BdVXz2>EEZrcBwGxy7;m6~t<RNi@h(}9N-
zVmo9`GxaZyd{C@XEHr)Q%>A}@=l;AkR{mUm;LDl&ikFS*-F@oM{*g6S{ak+N`CZ%K
zf4l!~6*}FQ(s{V3d&im$9mh{8n>H@ZUVeD%#AV*gog)*zJ=wZkPk#>I6*152zP~pw
zXswOBaO@tBLFt>7tS@I}mW$1pnj<#jYZ?f%&G>rhn@+)vu-o50Wo6EFm5D3yH;X;;
zbf>G$yvQTZSGqFQZSgo!FWl{7v(4j-a<_`jn{!U0k2aa!PMLgDK84?C<==g-G4CRe
zJYMHo^DaW=!8TW?yhxQt?A<f&Zg&;hcb@IxMpfw+&rjx?*k|h0+g49Fzx?KF$qkm=
zPG+f&M~>-q+?>G5`FeM-7weMO3$yR8?#_EsaMevOJm*Q_O{X;7l9O(H;<@!tiVnKT
zO*Ve3wR~59*6n$E&1zS_B~^-=Y~1Fti(}RV*4&=;tS^N!?ktR}-~au-7W3xvI_27?
z*rXges~*dce{b^Grb!g7`1A3;j;>+e{s!4&UN=&ET5R3CZ=_FYVgBZ2k<#CyT;^?&
zHoZl-?A`g}?+hY3?v|Y7TgVq&wYw#@ew*iw<kc;;+dM2D1ar3MOe;8|#(6wvO2HvE
z#j@#+PH)sC*NVR~kj$Lh5_`?-MsjaUZJ4)3!c@pwsMm~)$L~xlICPZx=M7snu8p&0
z%EDG0R@s!V@}|h`kX*d?jpy2&>~p3SoY3TSpEIT43@4|0jpmVe#tt2KbxN0WmCmSV
zeJ{ef+h^K_<L*M=W~w}F=oI3csqnDlqfnou`ooruPH!0hw%$0KRM`{I9%<A+ZNq5|
z&gvSC#Q772_#_k`PIxHPC!zLm#zG-K38f=)pBe?KBa$`*xW6#)IHbp{`o_@VklkNZ
znGcOE&c8e@7OihdzUFO_I9H(B#a{8-iX%}A>-$b5_O`@^dEZE$+EN?lWs%U@;ylgU
zB57tz@-#1t#7==~pLoS@JB}nR?7NXTu_e}S;)df+oWFG@6db7GY(F!(;7APT@iP+(
z4&^9*YnjgexplJ8<`yfV_L)LuKO+u-R&N@|9%8(#B2)BsLxb`<Z;NMbEoWc-DahOS
z+{kMGje76>+n*=Qvx+&PFL35gUEBh>=Pa*2&vjtlCz^30veB(Z`N9liCf^@b^?C{Y
zfj=8AueUlMo{;wCGE=FgYC!*FrmvQY7aH_gr9QPfDBFo=oJnW$wV20$<N4v518H*h
z)7BsGdF;;ms>o%5Ts!NlVvhxk?5t8n4hsZ-vr29DU+}E{*jaWN10(qjGxyY=3Qu_U
zPMoQ<QZJxCo#|_()`bRnR;f=e3k3UFr9OKs;N<_z*xqx3JtyrA`%kVjEw%9r^uDva
zDhyv>SI#0;5WPTgJB!rEW{2cFt}7~QYYqq={>(T#^OxH`CS&h-@fD{{8>?bOSDY7Z
zWQ!5YIHB6;79)~Te@3ZMt!5IxMOuVig0Z>&nec>V&sdpCXX*#^S2BH_sePfrl8JYw
z?uCw-OuauN7H}GWVpQij%buh5<mFGUGc`883-p3nUm3bCu$#;(^<koevl^#K(jtds
zH4c-+nzF;Uz>CHrA3YRgw6?Jd`uD7mdwPXtQTsl3)pwWtpUBnAcihoA<tk_JV8sQW
z>Boa!+s^a2@!Xx0-DYaR31d!oo9P8-xH;ATlq~tRV6A`bO1;{Li4QKmdTO|0;kvyW
z*FB77i!}NB(_*uO#hm4Q@zGm8ZM~IVB^ITbo4@Y$i<E+Gx4xY^x$k7yg*eNb0jqPK
zrl|L&WIjz%H!hrd|4zlP0^a(fQw!&<vc2|_@9P#<?ezbfw)w_hd05;XcJ5zf?h3!~
z74fO7-*SD;+Sar#VE?vLVSZ)D7EPU88!WvlnD6WDTLE{AR-|Y5m+p4{du`Fw&G9R9
zgT+fNGB2LG>3_BDRatA5U36~viuBE*C9PE#wW2L=s&<F@l`S<3*)H>{^H#v#qWTrr
zv-`j9a{ha7(bUcN*MYR}NWFOKX8yIdSEa4H-bF0S2wyRMlW0lnuA3nJV%=eW+a5>c
z#ykz!Z*gaCwbXBut=Fz^U1BeO;UC}be$G9gW&3VFo$K|pw(RGW*`KEKPv3ugZ@2k2
zld@@2_h05@8UEk+KjP<a{_MNbwX<fuimsn+xnjjPzCJnadii~8Z{7FQzkK2G&dZ@G
z&Yg*?iY3-rO&47CSz@KtX6b;~0~%Lbd%|Lmuw31Hlzmoq&hr0-8!ua(o@?e{x54=B
z7U!R@uRoRHTYWAkCFFTwNU7cN5b=V*Qbq9)@xr*`UfnstvDWux_5SxctbKj?!j)S`
ze*AgiQ|~&zt?HeeP=Dsrdka7LciuX5r|CQY)cPgcu5BsZW@=(~eedmiKhmGws|tuX
za3_VIe`<a0L9Kx3ql+I2E$chpe#-vuswporjHlXEx(WuL?tfHyDSl(!-o5Mm7q5Jh
zS;!fDd~=O>*_M5Ie6@O9#YeiGzqyvI@?3Vm@OPBuOIE+h^*-^gGgUqtO;0(sYEnv_
z)imqa>%I|>T3^Y`TYc{7%vUz^R-My%A0qz2qg0VURQ#huY5vDRz3>vt;{86SRA+8l
ztunLoQ{z*W&%ytGm0Gq>Use2a_U_8vbKUK){;XNBtKr`&Zpj6^IvQsgd!|izbxU%N
zw~?RfNttu1GdFomJ|pn6enwcE+_uR+kuy|`-)xxcJSS(8WE{w+%6zxY^P(1P>za5}
z)kik|iIGQcXVIjepF~}Kbk&PARdj=9r}&9YHz=KR>Z#E3NhyBahh#p6er5d=vgcyj
zy1-7;<3$%9x|M#s%IrNybj4wFrcz7gfd2KYuRc$8VD{rSc{0U8*^kSl{#lEI@V+zb
zZ;EW=ujqbkbvXOXZGql=&MS|1IGh!7T)-&3+WVuN)F#ITg0ESnHv28$%<g}}^litq
z{HAm^=Y34)6Sq3VhH+j=Ug=Ofjl(2iql2>;r^zGX#$#v1GY;*kIbaw5nQ^(j!KC#H
ze-i~8cbySiaonfzSB>I@hV!gaMKSdY1dp>w6}v3pZ2!zSduy8Ag9B^YK8v#Ov9rD^
za#$euo8{H!=mm_{tWukt7YIhPNPS-EAS@UDeNL!Uk)3?Oudm!!k1N|=N!;TQdyMl+
z@|%lyPAZ*yy4mAQdF6}`xqREESxojmx>jv+*!<*g2ZX{|UKNBc(7Vp^sxWqeojHrt
zhx)w^&UsuWkJuZJ-4V(-^tR@JUixQO-)no71KO8fO=q{gk~-7Db{hAU^i~JvFfNmn
zsSe6v+$L$g4!+mU@?H$<&;Pt|=9=Al@r@^Uy=Hy2$#H?4H0!I)o(mXfvr2th>2TIo
z^TLd^Oujd!@!xp9yXJt}>%8;f37g*WHST&Nvf{X2ed8<@l?x5FOuRR>FLcy0_1@IK
z(8Bz)f%)81X0yoe3HzG9oX%l+WfZkQE}7+(ao7UJ$1GAtaSH^6S)_~u7jRDg#5jA+
zY<`QgyMA(A(%&bz;<QL(mCxDvI***}x{t)1Ioxu3XIkmDDKn;7ho*NQ{_-kXAuFTv
zr%+jhLgMsRp>Op+Tn^cFtK@{a2=PTKJ?xmdn^orX#unkUH|LJOGjd*W>&b(cPO@s8
z{*yO=SKv<Ea6W~Ty+-BX1W%#985$2~a0>ZJ=pT9aaihR)&*P6<tS-f8Oxkc-g0s43
z+J^HQoa{9k4<}3%>XT4>IOCy^pM=_xcgDdTcT=A6I?1Y;uAg4N;j|TG)wUET`<iJR
zW;UwIYz#VNsI4+*LrjNl!Zd;1o|jIySoutg(@LDKD)cQvC$V_Hx5Wct&UT$C1xJ`T
zXZucQIjiT<VVkl|VE4)E#jRF8hvq3KZWf-j;keGj9>L<4Inu`A3znUodChd^*QI^G
zo~i9yz0WJUIeO>r)!*txXY1X$d1!9z&PTkZzH?4rzZ7u&)Y}?e-qK~$qRVvscIt}1
zi3+-NioZ-((`@UVGuJ0=ds?(_+7{jW&$BhRKXqF+ZQK9lo8R@G`!&DVG}xQf+@#R=
z(At>uA@^E+pI&b{^}D3tv&Y-XJNnv|UAvT>U$$lIzOz?jxAHUZJ{!IE?A)~a?Tf71
zPp>N8IVIEO=2i0<-4i&MHqEX-cQkfu|BhWBU#~Y@|I4jK?&9&1lQk2!7dft2;eSi>
zdHK^t{`V(d40$wp@utFvB@)w*c72RclHTlUqrUyX8{z%IJ*T&KeYDuU`dehq)g|4F
z@=cx=xCQGumusI&_E58(5?Y+h+-&@EVbA^gyyVz*%yZTynq_RajR^{tOk)mxZs1U=
z_qW)$_)_Awoxkj+%H_1(WZ(Vv;>0B5GuxiDO%9ezi*~)|WxsIICxh3!t;K7v8%R%l
zKYd-IS&*Tgyw<VgDLH2hs%J_Yi9Y@?IVmPi<JjZMyaQ8wt<KELJoRwpvY5InQ;tuL
zIaP2e@mqbxblWpB#%H#r$xSTXVmkGg$wpiL=*lT;xAB~vS~cV3JWW6Q{yRt3y^3C)
zRjJLtY39=I<@(d!ZSuc$@yNXxZ>y-C)3ZI@-GjM*e@=gsVJP~4i}m?v-{boQb{;OC
zxZCFRz2%zbTkY@WZoObATX7|CTe8)M<8N%k+Lkq`e|vdJ@=|@tgY+_s)O)8Mzp=f1
z)YrAYNY_yIN9sD&Wz6!=qJrKXi2P|h&H97mzSgIFd-RLitCh-kW|uFRT=rmY&dYDR
z?50jH7f)HX<J`AhzmA!F*AXd5H{Nc%H|W^QzX6Y?>B!WbSTaK{(afqkCHb3Gm*H*M
zqcddtlg=!2H%z;~SYq?n!1|t>e>INfG>9aBbErOYu5hPjdEZS&{;Ar=vmYpS6-us=
z=y&Y;D7j)r17jEG%k?ul_(g;-`x|oBK0WvGN8CG^&8~GTCEAle8GPBP*xm4Y6UR<9
z?#<t)OXrmR-(>LnzwOrVap~!`yJAcxzgc>wdf%>{kt@6IJUzAX3@1<h?sse5>W#bQ
z&TiWpZ6PzU_4Gp@D+8t2s2OUt`SW+3kqzs-le+b;%JS8o>~D?3TMjcu?s#+F<mK62
z-_8Zqrn_^$HeRmxxvk{t7RLv&Z*_A-DwaR|ZS-=-ncKchcc$pyn>Vj4U#&Onhjq=Y
z%BjnJtnVlKuY2jY^JR2#srA!k`N9>qzPx3s_n*Db`g)0a?yqw-AB!%RKb`e%#<KUP
zOz#@{-ru?VkMqg9TOMx>iq76WQ$osTm;T1Z2dr}L$NsbYDfK&SS4G|Kzh8Hm&$hl<
zz2^G-Z~ufH!|y#mW460!`L`?4+-nYt{l4P&><asv;PTh&g6n6!T)17@>U4Ia^GrFT
zEqfPlo_f|cwf=rq&P$#D>pPWgQ&(@9>T7#@l1NF(wXG(5zP-D>-hA4P6`Jj<yNtd+
z7WJK}vvK$Dvn$rmzBc>6kJHA9hh3$2#%!2){O2o%cTRKP`sUBrdVI!XA#>v`Ct{Cv
z-bw!R@!dVI%IakI<Xvj^;csusWqq5@WLoXrIIH&QyLIoM{W|(OH^2Uhsi}$iHiMnN
z)@`|NeO6E1_pq;S>0Do4)nyr{5?3vYS(bS!dDoIxv!h?vpX2dd`q{B_=B%O_p|#Ve
zul2gKcHi1FWod>htfv27^|@k=)qHi(7SC<QDHC2Pv8~oi>v$z}P9}8Mnbd7%Ga}t;
zi$jX}(nHhTX1}u87d-d8<yF=>_2IE6Sg*Rqgv6dvy{Z~>ZP%IPzH6yzg{7{mFX^mb
zmlgW!%++Hh2W)&>{{+<b&s(aLm#Ot^+cKed9;-e*`}=;izkAQCn!CB$_0N_a`SMC9
z>a?s_=yWs5xJNGo11)SOx1KJJtk^T5^}PQkjtb503HyqQm4BU7dd3{7@<qJ*Nb1J=
ziiVwM*-jivT%Z%fcJfg22A!I=6G{mybe!5wDkbgENpd@(l(^)EvYhu3<}<Nh7V5~j
zb(;Rv5w1K?G_ie+K;;pyiN|dO-RlqaUrq0iwVAuoyT?u~q1{lZOj0|szwr33@0q4M
z*8O{~dhXqn-oxfyg_esY`q!6VJ9h2azPP@%N9x0s$}RS8{`M%OvNL&8frS=#ar)^)
zk5}r{)J<_cARO6ZGtKpga^w-4DXxc@H@e68b+XT}{=HA<Op~*iUU}-nLU%E{?WuwV
z-ePIDCb%B)jXYAL-`yge)L$`2gx{e2TWO?4jBxfROT|wO`mS=HT2Cn3iC3OUSMs;m
zxB1&LlRfoC>G4xH92Vu=9W!mi@m**3-e^5t{_WNo+xy8f-$LRqo|c+!cy&7aren!p
zF1}koIXmmWaq;Qt5_y8{iSE0%8^<m=q9tv)X3NCWT+)>~+b4RSG2@EJT0EiEtScg6
z@r<2jUOyK8;+-M-<kL#gg~!#pf8=cOIKbB3vSz!-k*Mw?Yc|$<9C{U%q}RH0k@e;y
ziD%EvG6)Jvn%FC2w{hZWC+SL^4HM7TNY7MV((Cl>mPS%vZ&KQAjl^l9{in57PdHg^
zocpBUhMQh{&Xd9mZg%WBA{*|zDgMq8*?8T}uzJn0>NQ(B&U1CoaBXpQnii>&w9++c
zT7*jC$8+y|E9UPipLH)|b$yLltw*WnE#KSV4Ww_@Ej!gya3j=LJ~A+Aa*~Xh*0I#)
zB%5p6$I{cknjTrhd1K*>32(bPZ!DWJV{Mn`jiB0Az2um(Q@v)*pMrN98Jn4FA4{E_
zWMigvEWJ62>6*6MlmF^(Z0D)&ylS`ifnQRKoBxrXJ08ZHB|Notxjgo()K^x%KQ0kB
zDQWT}kJ<0zGvDw1ey{rd-tXVGfaum!HnqF7KQix3+%Qjl;?xRb?)TgeLcX4AC|vA!
zV8=!EggY8bnuRyIvLAo?bm^}YJwyGA>fJZj%s=1a8?_|oic)g3nC0uO8WGRGKe>Is
z{_U6O=A(7~Uda(pX20L}^IiPD{0;R62|V0P$BgU~VjSPPuZbymTylI~LHmz~`MiFb
zITklJX8u*pD+nk$%vdUQnzL28Pg~~lvVuc~t-*a-a|&G^&N<8_F7xU4=f~geO>e00
zOkDqDn(d_Bl~d}iXL<MVx@9Cv>^v>J|Ie?@)!RUvb*-s4^xiM}_kO;$sf5<f*-G_Z
zd6GJnM-=||uAZNL<Dy~fJAt`%KmOm@Y<D34qDb4neJiHb{i=M_|Ezt3W4ta;ZP`_O
z>8TkTG!l5YnU8H!NKksl?sH~OgXaus1I=P4wdAq`PtI`7XqstdG40;2&eH+LOvemt
z6Lc;!sU>9{IO4-Oqva&yWFyT4BQ-Xkb1e;;^%Cowg)e%W7fNQu9FREnYVy%9SCkgE
zi)r57dg8@0SHEywF0tR&Z_itJjHTz{H^1{+(pN+?Chw3mm?q6ATv(f4tMczL`}B@=
znmIdmZMOSnQrK)fN!oAq<%o3}GKG;3XLxg|$AnlsZ8{|RT=bmf@`8hr`|VSetW<Y;
zUzoJJM|)?z_fG4*?n}K~a-$^relGHIiT$&DotMkpts82-=&$l|kykO_GyTpdzfT?8
z|1Ayc%xIk6@o$CDMd|&nQ#;~*FY<C(Uv^C`;Qqe{^KMznR-c@|GO_IVqF3Tu4yv^B
z3Lmx9+04>ALo?$HkE8H1?pa2@7kXAUJ@XOVl2CNq>Z^Jb>+ky1zwc^p|GC)2_W0<>
zv-P_Y<{V$`(ZBX{{A_8Lycd)180@N>tbOl6MQvF$r}J#FX~|J8GFO$Jb%=4cZ}qTT
z;(E+cw}>wzaKrxO^atLFlB&v&CjGIiRGa?zd)7m)XkDeUY{AXm-7RyYmb|&3l)PKa
z^7a;u8(PXjhCyyeQorebs`t^`m_IGOOHy(Ep%&v$Kc-xsls;#Y&GRKsSk<leCH?FC
z|KQks-zKTJvNt@nj?y*(HwxnC9RB2Vq2qbeF&kl%hbtFo`m>y^(8)Nb?8q%wbyuU_
z^4&b~9a#}of%4Pp*0wFRe)QwnhLYQluWl$=eeQq8y%}uhUq9F1`7qLUa>$;8^|87<
z<y#8AFPayj{V=ax>|I~R!@MrBcl$CP=JkrbdzbMrFQ9f`1lQ@{oA%~9wiD8e4qvL(
z)%m`qW5;UOGr77#d6^T7g1dX9qn^ZEU-V#BkH-;VNkMa+*r_0uqLs^L9RH;0Q=w9M
z%K4<RoX5Q4_)ksMUpKALd8YsV)5?dJ>et<3->&tvy6jKTTk#tg7C%nUwNF0n;Hb>T
zA$2+aLQ7rKvM-`0i~AQ??q$_|@w9ZY%(Pwa*RijYn)dGM=M(aC>$3md&c3_n@$5Sn
zLW{h`Pbyng?~yuYcP-EN>*=+V`L^5TFN=De^I_jNg>Br2ci(dPG&y5~Z3542rem8-
z6O^{G)cc%y*5G+V#6VM;SuNT5z>_unGnz`RET(PW)hYez8e31I?}3OgcAq1w8ag8-
z4LG%#)KV)Cr08(Zn6s2Ic!LYO`lH^wM{aw&8IyM`@E7|W)!h;vwPeo~rR3>imeyM}
zZaj?7|7~>oXM^FK&%VEnPTy=WoWm(``ej3>#nbqbt%CIho6NXoXDL5uIn?%eYfbP&
zw%@AeZ-SR^+@dK{=<{&KVlH)=K#Qjv4oS9)oU>d}aFB7o{nKBk<4*Ect89NW&E`?e
zadRKL&qaTqt`U2;?DwLmddsz~52uJtn=E@j>7~HaU#B@w-u0b+=dSC?ySZQP@9-)L
z&$_JlIaB$;iu)kN^^dx>@@2od?7VjK!343kX4&|dEK%NhtUV7i4@Ask@j3Fip|euN
zfRmqD?WyB|lso)0=2SBV7lgAPFLE$1lzioPV8_hB0}*+wK1a?rbnX;1;JnVP_B851
zN(}FeIogcD1(EFPN&E928C_Z0U>I{nz5C{J*F$l-LEkbaZjA1p6I&l8^5)C>?Y7Rb
z91@2s?{7(8!OECCL*8IoC8MxmxqGdO-gCZU5wkPjj?K&ZX5d+L*rinJv`(vXnwE^Q
z^TU>xT+4leEs|FqvJ4i{oAKuRavv9A74x3ycZ~c#b!_~%I7oGoVU&a$sGwN9#o*0_
zL!0jIUtuJeJNeFr(4x~~Czb2Xe&@%iF7jo!2~=I=Ti2~6nES<bYKPgI6}}5j^){5s
z+MEBJDRr%^M*KJTtp}yY*I%t^<Xvx7ck7vPnXpY^n)zN~lV^)9oDZ=(2H)eJ_0jLb
zob63wdtUxv7qq*mb>sEx^5wP44_Ynu?R@m@&g0M}^RHI!6uI?a<&!pb+5NnC57pmY
z9J~Mb)PnwPV$%YnTzal3rL~B09^UF9xy<!gfNoJ+Mxeot$L*Z^M5ehLDe0Z$pOju?
zS+_uJ8e^2pm+MN)=8JLGZuNM%*wrmxx2P&J@ItWL5z%ZTCB4nxC!`m3?%iz@d`9J@
zu$tFABgaoYA16KUnY<?<=kMfrzx%s5vtC5i%h#_ud3(n#uBuMn<m}ULeRF22WgH1{
zOkTz@%OLW?6wjtK9}$!ErUk5z_vTH}&P&eX{<^HzXwy17ovrySJwnIL+m}w1Ti>=+
zuryAu)hr^~zWyX{_N$po9`TB0Cq^u3O<gD^n4Qgi@~u)@uNdd*tsap}U5|O`7KLR5
zZrHOeqdtc7bk<E?a~<8upaLUESI2uxN5mS}GfKKbVp$V6Idu2@jCykB@}h)wJswB2
zKt;>hi6E6ZmCI&K{iNv=p;&pU=%n#9&v~0eJ~gR=i<b1O+t_DaG1&ag+(0#VLww?4
zyF$LxJdVoCxTT6iF0?2&Et3&5c|2i(B|B@quEm4W#WK^rRhh4mn)dF>@t@y%8*J}=
zyy|u!@1*W-mHrzqKW0hX-uQWS{pYXs!Jp*o@;3ZEJ-sd0djGHY`~T(J@6EZe{^{0*
z`KPnJ=TEyXxHmfP_?N88xfeD+E%oZ3c3tvrblmfj?8?{+Ur(Rjn)5ekcjFT8)7j3?
zr(K`cZ@sUkUiRa{r=@3pPrJ_fJ}Pecp4`g0AD2BXJ@<Orb=LJ|HHA9`qxbER`L0?2
z^XbEPhyN~my7g)0>DL{Zx^I)0ZQJ8F<I*Rq)S}a`dpvd57praCqc`J2YDuzL{mRE|
zkE7$Hk8RzvttYoq)^OR=(lalnUFTdJ6}P-6r*f|0qNk<jK1{pLT7P)oo;xkR@voK~
zyfE#0o1*S|-R9^xcb;FLN;IYS*Ua4b@bq-c*ZXTCH>`Ucv)`n?w5QQ}|D)IIS6|=%
z=g;fyJU4#6Ty43(<kgq#<@fh3tv{}D>13_L{-5ur7rDQSbhrK0Ic+vy-nYfAv;URU
zc&`sNc~KK2ZT>Xp^0D6a$G3LwkgLxS{;ZfFWXI;?_58r^loHMvbJj5iUwFHNr|;=T
zzCLNKU9TtabX@FyAfk%R$7Ov(=Sx`w&RQn5)Pe&kHa5$A&n<4ytf=u}Q-3s(uTOf$
zycd&qD!y827kS3{Z;e`vm7?n@NnInq%!$Pz-A#wp_q@)He_!+L`;ywdpLgcpx^5j`
z&(ia-^gzTtmY&mwbw^go?&Oz^4w8Mu9&TNlDSYGXOOb6Q*}tbevo6?~cO$mwdx7^m
zx!jY==YEIBua%CwaH!y-_|;txRdso$Z`}}M`7AbMXI@2U(P7h*y4O|vcRbu*SY3E+
zWA`n^Ri%e#*L7#z{V+|x?){g&(%bX16L&0beY&n*Oz``bj-BtjSKX+7&{@>H;9!rL
zW=!w9-WyXhHXQlg6MF3IC8lFV)(J}QSbWahZSdS7ZlL*{S?#gofhRURGn(A*83aq6
z4w99b?ko3t^3I%3DhWFN%xaG!4;-=KpV1=DIQf%Sf>9j1&$-zRniZ>?g*W=JA5WcJ
z{wqaq_MYqN-Sv^{=KGt^zp9kFR7`U9mJ^a|Tn{Gwue!bYWP5{Q$;Yg<{=3s-nU7u2
zP0(Tg`#tl#TD#ws%MO+g?G|l#IOEW?ZNgtaZn%|WrML58%adu7C%Mo0mY@AQ-ZmrA
z;(51L=A7$?3LLplyZ&EvYDr;a(c#dOy4O|8OE>&K7J5nIg=+7&v-QiQ>$<fv?|gBc
zYLb_kc;l()uB98^TvJNw7c;D`4OH!|J)SK*xoCNI$Z>grx@Bz_o1)svYjnQ}uT|Re
zf5-XR-W!#VemuMMMxfmKbp<7Jr)NLmJ?4=oar@)i+hX?;W{I`EjN0*YqL`rZ){dD=
zT@U5x20hD|Xc*o-r!q=p&-))M>u2h>rq0QEAat|6FMt1bj>GP{I&;6SRN9pxcsaDY
zB`<18$#td0?P8X;TQy#&&Y#%vaZ}^zxV>8qzFa(XDZIO*F6zja>q?0YVw%ibPe?3j
z^)8PR;h8AdIa5LU2ya_f;*Djksk_Alt+#aCT<Lm9PdBJ6d*a6U?m5h#CiZbpJLzBV
zd&s47*^-0NmCKH#YU;*JyQK7Jl9=K2tsXlTxt<EuHCmTBv3SSh<@2Il4o>WwKl^Xc
z5}!$ep3*W?)4gIn7qx18ZRxPkyKkMmsV1*@nMa(#*2nTyKaR|<eCu-j{FS<8ZIhd#
z{7V0<`m7$M_i_F=y$xRF&-;GtnfdNq$K%;A->s=XzPhA*-MQ0y#lxkqo{um3enEDn
z>!wG%Wo3VM)^``Awu-G=bK%fb(Wo7poVr_Tqn5n6uJpJ;%#wYphQ*bS*~j9!zGqGr
zEPVNHgUw{`a`!D8WUeYbnjvPG8>J$1UFm6yn56pF6P8O|4`xbE>^N<tq<44j#Pp(<
zdCSD6MMk-txmK@~)+feUywzjoa@S)ux<z6cfg1wcRMIx*Jh*gMNtdU4>js^RN=Yll
z3{P+Ih*;-(ic8lhENkLsukNO+MoOH~Da_N~UCT&}SlyaBS4{Br){dJCTo1YF2AO3}
z{OHs@XL^*#o=Jk9H?^d9lzflkJ}j-PlfSj2LjAsV^6V#;w^jA)Z(RJy{ce7R@!h@R
zS3WofoIZYdYf1RqjPh@n{HDJ9R++i#*2Q;^WbgmGYIaihu1fz4y-FYclfqSA^DcRR
z>bWPk{!;#Y<#@K|^7}*fFTB1zl_B?1k^Uk_dtIKt{WUvRe2z4^ac5KIhnqWQz7EXQ
z31?D!ly%@p4EKzd*Nl^kloO2V^VodOt!*fr`ZZ8e=Cp&X%=AUmUr*jCvsWoWN1ItK
zDfGaRHGDH#Oc^I{GD|SJ#_DtKSA*t_70tqi9_+_cU;F+_IV*2;LA_fN)al)<s~pts
zVY%G(Sfy@}UUuMzL;LT2TY6QOG5N-;+2594&1Fo!A!jgcE~D_q)BO=!1Iu&o*H3?(
zpjlVo{PNvmCh2b)IX71q9I<On{-!;r;K7UO&LN$rTW?#Yi<+OAddJBBQ^(Kry#gWJ
z+qt(G=zMYATCm%LJKQw$;VQNNi%zxPUOGv7nrB|5UgZ(4|Gle^l%~yBU)6ehYF&5M
z!?LORt710Z5`H?bNqgp&0@ceme|?VVWLwNsf6TxtK_{6>Eh*=~ksj_DEgu;t8!1A%
zr%M_%XRK*{e9G<ak<?aA#^f1N2GcSbg$->JlG0dw4xMT6oGEG`xtUq*dBp*dITsEV
zF5R5>Xw#vS4Tf_rsTV)pCbsU*HKo)UVv>iqo{(JVde8$@t{r;WU^wTaZ*`c3v_V@j
zV|}uvyn&$jxohr^HuddWvEkvQL(@)kxyJ-rJX>{$^ZK5`wYRqJ*4z28?a8#+licro
z%J(<@asS$(g6rZ}AJn^ZA6C=ViT=~A#r}WoB<XFQc{lYckMRBPy<Ps+YM<mK#Z?FE
z`|mH3`m_7jBB?*`=dAP<In}%Qw`}~PUp~Cz-+o*v3ar1-;oWpBM$F{lsd-WVZ*RYM
zZSJSaV%<-(EcWdbtGxR-R6hSo<<1?q9xQv(7G0fnaMcd)j;I|iD_XtTqINU}aGS5l
zoVdZWd&=3Ul$?u7>8r(9Z>PBEY)@sLera2F;*Eu^t5dfYEL|gJxO=O|jm55~;&hF^
zWlr22-`&J)q$F6LQqMg7IA2zxh01x6;_y!`)svRrnQrsA@rh->ihe}wf1PQ<Er*+=
z`Z%&IwK9$vIwn6W{UdNOeU`!g$L$?<7mK#0IUeU{sy%eIVZ%l{g)RAhIzq?I*;|gv
zt!HadEsfK&-#Ih$?;q9j&zFL?R!4ngGxqaJoUyVsb()yq=B*tw7rWLUiqQ>vmO0Td
zzIzU9l!%VaN~K3Z^Lsj^1;kDo?_^BeD3-^y)b&V^Zql^Oi5p_Nr-(+Sthu6;K2_{p
zva+(!#!$B-yd2q2X3n~QZAyCAOqI$*DJO%MdCW5m{WK?YlDLn3<nNAYuF3q4ytb@c
zzX+Ku+P=WBmc{o)^(Hfgkki}$*6;CN$JU~Gk9}v<zPImX<8|-zO}Jwe@TtR5Zav$C
zgIl}T*UilFc<VPii*@C}09~HLQU7MW+niCLl)%I9pPl@&%x!kZQAXj<@1~e>zGqf@
z>U<!jgfAxAZ+e5~i>fHL<3=&<2Y8L{emQwZ<FajnjxCegqVxktzHrTGxyLy9l6peD
z(JwY1zx@rGFV;5;8#=Kc?=@%sa`H}talm|?v?+CV!fx3Ujoi9>Hby<^xx6T0&;7~Y
zI=?9FSAQ$~^5DMA`5ye75{EA|Y~<md(ebeE$f~DTk5@+>*9_KO-)Et_;o&3AM&0Qm
zeLL0^oDppmUUw}^?DD=nRlY^$o|EnrIe+Syy|sSNwI@$`eoqr?yS*=Ceq#2+XHTLc
z-u)C0J-6Y#cV30U&Zi5X2=@OmUnk_<zjxk>v>X0^#IExEUa5bpUh0VOQ?8)q=TQ=I
z6_2x3rOtevcjc7CGnSszvI8z>xMs|l$vD|SIU#5nyU(#L4JT(x8L$TL@sG>Y(Jw8C
z37fIT|JkNPOX?do`tZ!?;A9jwwo4E?#_DtGM#D)7VFSy>%*zs{@bziWEES$E>c-ZS
zxZf`FOp>mUSmwk{0o^^UQBTfXUz9Mfe(&4J#P|ae-+nE#)qcY%arj-s#y4CuI_BQf
zhz~nuEn=1veq)nwqIqwCMOybE&e{Igk8jPYES>zMt#?winTK5c#(Vp1uRhrC$9*^|
zKBW1-lrGQetsB;S(qAR>C)_J9LUCv6&L@JW|Cq01`_DStCXn}^_aCvh|3%vEr-V2E
zUmd))(`n}(tNO|Qyq{UNo)I-k>RVvAnbmj3)|J=(e|viM_}3*j_U*9>mbo9}JM-bk
zRsEM=?}<ssGVm=re5I;F`(8r*Ua_{)sEBJ>f*aksXIza^S#t^86w}^vB66MUK_f}Q
z(;_zyEZwp+N@7|@V&odvBTBkSVp$KLJ&M|)*(WBsck79lOI;oPB`0>A+|+nF*>+2T
zv3=#T8J?5WeWuu?Ha(G?t#baRM&-e_U+Ej?D3l0axeyxQE$*mn#Vz%@aIt^AuwBlY
z)ZVPhuYZsIQVnN5bo^Jtg?)Q|`N`au5x7^iM@rz{mCBt5t~|*7`$yG$&&vLJqUElq
zB{g}Jw`}0Ku9P%G%+PtOhr}Y+Qwh38Y?%{{1G<|S(?eWhBDJJ<FfQCL)>ao4@heO4
zqI>s@dr>N1E-5WtE+%Qa<;2T%t_Pze1?$86PP3hT8mb!;t9bs&sq&MtAN6)>-&HMd
z-Th=;&h$H?Z@139_9}dN7-v?5=8DwT1%jtpdn45{4qY<d%D6BpF7{Duk*Pw@`u^Ov
zr&o9HdA$1R)z(STVjgma_a9$nFk1ig(f_B@U#$;+zU|ISHQn$DQfDq6GT5DZ^Yi8m
z1I+{;^ZMvh|IQyPQch4>$L@1xYlG(wDFe-LCbh>!2cE=m&1mY@HO+3{c;=E~LGu~b
zS|jsQbND4r?`-I_kTx((XHt7ua$w0Et{FX(87CJjC2;k<e&BNE%E7|NzO(ISN*DCK
zoP6}mh4bl$N_2ykWll5<?Vh6<CF1j~`jXv5yI0?r)GF21A2EL`{PHkkvW1Ajv}Q)(
z!UO#gTlZMyU7r3pL~~Yi>h)<4SIbK8(#Uzarr^l&X)8;t`+hDcIP`nk$>h${lHaUP
z#`>!4eA@d&aQ$C_5aT!DQ4(>#7R^fBf8o%F81B=?{}-K-{1$wY*I#A3%`}@w6aOCz
zO_IDFbaeWnS&8-^qC<@9-~8UUqUflt{}gQx-gjAvJ09EiPt7RUkgEM?v!M)!#OZ$x
zoj1e{46B*d68#S>dBZoOCzf&YX5$2|vMq0pl}Mb<m7Vi!{;wC4cdpr>o1hcTtd`_`
z;7AVNj22(U$(sxljLcZTO_qq|&BBG=?8j4ExBg1e)3&*!-ko__>EVoeF-`ZaCoC4Z
zo=eo_;`@31_B>HJww{NL`L{M7`rBZ5hg;(G-G<H`mp_>^EY0Nmw_ViiPSA~_;D;U3
zT*qU=EgnXl{#M_4`r!XnPujF6MeBLX6`lm;=lVeI!>pkET&c_Re9MM8UqSi#yhome
z?#`!Eo(QV{1?6YMdk^l{@7q&#CqIz)pKvXRi2BF(IR4iEs?w6vLY}U?i&?hzh?pd`
zEHFIG>ML>0$TREGs@ck~3p3;6WVQP8*Dd4uSn>P*tejP~0d;?$?Vex%f8|blIfJ%F
z#$?F={(tM=s=r>}x^TYOx~dCGk5-Bq`fu^5SmSz1S=UJJ+Tu{X-8-4;or=QkE7Y|r
zo3o=N)@3EW+!@)`As2O|<hs(r*<zZpTTi@L<m#8M%Vl?}BjVl3e>+|NJ8~bc*425x
zrK4iC>ltZXp}6dcpS-$zrbj*5b79egojo2$Vs9Qu{eC!VhiAW7-nqrCvp;1BZjOhv
z-mfYp_lsHX-l}m!RavOm-K@St`puO?8=SdMm+2~P%M{!k+TEfXwIt`7(&H{M%jsJ+
zcBm*%Du_1oh&grb(9#@To#$ISES9^TiPRN3moc#@s=FsR>dBldiypM}c%0I`sZjbd
zh8s3cKK1?F!r)JHq$i2T-2Za5{?D)ayodHBufF{Le!uo}_s@UoW?SCWUo$J+JjWu)
z|M$~Hho?-Fo!%9t#w}&&d0|FplbTP)&CQvYiaN_`PS5ia4i7!{YntuUF7wqrg^zxu
zO*OpsICQFETJqYYACH5l8d@d9xQZIATg4?29?9CXdL7fT&<N@5{-g45r<;XvhkIux
zMlORiBr+y$i0Gc;8kM56=6L<SJCX&HkN>jO*_r5jdS3*4{)Pzd!%Dh3+*>;$7Py|N
z(G_}@IngM*yT>x>$(d`59yIlIq;Ac5kTvad)Q*?^VtKz7yB?9$P4dg0Sm4z?WpY%?
zoC`|NcZjj(8Yu~`PGJt;EOYr#K?wJ0L0u)jY{6oW?w01LB{CP3lJ|>Q{@$Wde?wbY
zXmgNRf$3>EU7p=rH@vx|l(byT(0Yr<jdiZ4%yf-@Wlp>t-rZzpq{OKWGVjZ^Ll?Zc
z&A(qfbhdU&L9x8<ojdNR+~s!c`mU#nZXUR*BmYX9cPc{B#P@SI`rKPOXXzwyot5IM
zPqS{+&;E7FeW%2?sDRVjj>>UdQlFhJv^@X%bz|23A2Y8X{bs-5c7*oke?PvSHhR7C
z`kGr|bxJ!QZhSH=GVaK#+@~`q9X~U{CUMsj&C4q1XT18js%=)#?%=E2o7Aq)GYx)w
z#&pJ#*2JXK^DXMdc->ff5-Scww6XdexzNxlDP+Le%&eALA8;UrhiAqdM#kU^@$AQq
z9L)<&5A43>_gp=>LfT-OIiv7LtAwODR-Z$s8$2ro4J6Mqt38iAAY!vN_uIDF9UrYM
z*2z>X@_+WK@%XP4!^dBAJtS7Uo|4cta?74*?A6^gvCjXNxrW?N+syk5DqhU~#@oft
zn7l*YU|Kb!aN+g-i2AL$w)@S>jjwL|`u3JvNhj}ik!^QEZq!CZ*Ci~L-R-~X(7WVY
zpC${b>XqxUdFDxI>`ZNVBKSAD&VSLPr{`Slb$M!Q1K-Acxp?S8G`F|@|3#<fcF&t6
zUFDJYQm@j*{D1H2J!b38HXG01ws!Xq-BokD&w+|t_xF-o<<DYR7q!-}cG&ZLqY!Ty
zdrxBcfrv6TpCf$@oj2tSIBzqlrDh*U$>E$a=PYCJ22b|mMG@wOk%`F%B+OWPQfm*m
ztl^$9Lz;1Nfo($2I#!=!R~t_56f$6)URt2U_fkzyJBCZ*uxst68O>2DG8dFm_lrsX
z-g4sRYS)8u;C@hRgW;U-zV+2%7UBkNn;DZWg$)Fk?=9S|bH!gX$71D%r!9vBkBjzY
z23tIgI6Xgf+76jN{a$$%N;{XHm6l(f`#SmFf@}M7YeTrd^X*%~_Wy8{1Yc&N<%{?b
z%OCO+?rexJI&F7S`P;Aj7~X%ydk^lfy<N2()D<fKxk&0y`MkBhBByd+TW+oY`ruWx
z<7U><V0p*8N8AI<-wIywt;*`2IDen$mYs6bzvaHpeDvd4)2ERB@Y<UF3%@zOys@oL
z++dv_zeM=*{1vUAE^qsqt;@51%Z5EylpeK;8J^$jQL)VRRIskmyqp!TtMAOn_z+Qa
zSoyt+YW{`o|4hOTU2y02&ev6{$`rgD++E)y2N~j-EoK?JRpUia{W`D2N)6>lLbd6Y
zYSW+FzY?3qALVlA%JL%5IPU3>w-#u|aZi7^wSY5@d;0xU7bUq9c_y28+XNf$%ud|7
z!1YL_Zqm8Ti3MTZQ;efh=3G;HK1GaGJ=G<N?KIm)Y27Uai(AF=wp=*WD;Blmq7%1y
zeN@InH*VcK84F#{CF^p@o$OHInZ!GZjeo0w&4oin@!cKOQAh4vReIPbrn!CVi5*K_
z&z0$NZ9Cbqgl7uxCAR3T200fGZHnyf@cp#cn9XC}<@ircbz8rNT;7$t_i={q!Rf2{
zq+e(5k14sKuwwQHj#p~dO~=-Vnk4$xzFd53Tio0FuPas=nQi%_QF3&4=rOIrn$4#o
zUVdD4DkAW<iJ9!}Yp0oy6(}X>9A{Qrq??e`$Le$F;;-b7nYVv+h_xM#ir~u>EDY$L
z!5pRX=DJeqd@;%D*BkZC)udw9-9J1@T5szgwMzE<f*|g4_bmnHF5KnzTMD*2bC;WM
z@vvOvdMr`5sGct~upq$gNKqiD2-MK!QQo?t=Ymqw4l%>STRbFIxt<cxHDb%2XzbD5
z)M%t6C=MzDc`h8f5YO#xudDPcOYpLLcgww~C0{NnEnY5WX}d+^g`TodahzF!skgZ<
zPwdtWB^Q+zZ5A_>kN#KN$~W<YWA~KrQ7JlC-%s4^(%n>l)JTc*Iml3*ONTZDaGz$<
zReF{!XzbtJvNLMQnTtxv+r%t4Z_${csXVDD4CF>0U7pKZH~3suN?KL(zQ=gd^EuP@
zJP6sVp&5R78AsL(ofWB*76=Nn_RdtuIP_y${lrC{rx&HnDL)w+xM_J@+Sa`2{*SXl
zZ(m!iYQ84(r+EDx`@+*%CzZoI<u(U>Y7w2ZTxYsX^33C_rLtabymsSe(d{bF)Aon&
zEqQt_ruk@;gxJ<U-463zn`bg6&k!`2_L5Q9P&XlI8Jo|cDGi=8Weg-IGpQ}tP2lQ#
z`5;2<mD)Ns3n_y(WyWMn83Vy~Cbee?2UKJ@W=#3ZIC-OfLeV$2dY^-GvU56EcXevB
zgUX=kc99r$Uc$8cy>F#OteKB(IBfn_TI4qKF<4u#yZ++UZC~Hrx^p({_O;o4oH;jE
zY)EZBB=}miFLHUop<A~EQg3fxyW8`fm%_<dR+XLF4Sxheti|QCUv7w%u=}y-R{hS3
z^{o%*icQ<T)h6-w_O<st@+x$9KArkRQ2(#_x=**(-8yrw?$)-tciUH#>COAO=#;3x
zZQ#2zrWK1?9~Pe8ANw`ZWX22Il~WCUI3!O0Xy}v>H!w_QR!j6bu%w4?Mo%E)WMiWQ
zF1L#Q*i4=Nl7g5piFKfU=Z1!jJiIeH6dCJ<jV%&{*jRl|9cVZyAz)znmwDNO`Fwsy
zoMmOEi~f2wdFPr7?(=n?HP+b)zssIj<lo)1JL<`ui;Es?yFdBcnhUqzdsc_Ncsl#r
znhVAWJhn{7F4-n1&D;K`c_yg2dS1lLCiKQ9mxmq4xsKZeSv*WUZO;|;sOUqz!p?{L
zo=mHrRPTN#_b2;5tvJ4a<y#Eyd~-GZasSexf?)2`y#E)SI{1IrleX+h(d)eA3Xj%5
z&iZiwCGWqtx35kABX;#c{YB6i)WWCnF{O*{Y`Yg2&7T)^_?)iY);9tsNxK&q-e&Q=
zv1{qI|KD<7GwS{q$T~Wk`&9Iik7rq*o|RkwYe%N{+vZCf>vQ)SCh$lz9otmrtvhA@
zwzbzY!Go#2VuGu;c0?|9J>;bu<d-?IaFg8HqU1#fIZyH)-zz+I&%rufp5I$GytxV<
zsRs=%Ep<I*qHDA)Yof7hchgBDrD<k252*g~jFM2xN}RdC^+<(o(y`2m24US(45Lzd
zt|_HY5o1+Ot#?uCJISWEyZ^!=gBb492D(aN8G@T*x?57CmgrnnO70Z1?B1#op`t8Q
z9AefXt#jqj1_$obHM&aAG6jvpyIU-ymYlh!lsrw$(s--J3`ONh1ukYDQa;xY86>P0
znf5Qr<;!KIW$VQ_Yqxm3T<hwluUk}=6?h?eb(hDf#G4<tIM$a}F1vEUm)kpBS83OY
z@8>L3-Upp?Jju<MlNsy3b?xnI)1&_Nep;3{O?dA1UspbCjN_S-%_q;&TcMwE#@bO>
zEqCqIMOU|db<t-Eom#jpE_F+Ow7<Y{^VK~c<<_t2DU8k*bw0lB>x~A(9!`nVFB&=}
zL=6m;nbi_q{%-uSCD%5lp4(jc>LFi`tp%S0b?=mfaGOhCJ>=`Q^~8?YdacvFoowl^
zzHfNac6qDp+Om5OHi)%3M@8^u2^KnZ&-fdq^5(Kq>UuHB>MbX3u5~@ACn<PZ^X7t|
zzS_Dx`CB*axv2DLtC->XEglssT~BfA8qLd|_}QnsX}OV-pn7Wav+Jw37}(TbJM_t;
zyQ4koh|LA1hx^1dzi&CQW3}r!SzWHY(;Y|VOyG?<Tjb4c?t1Nz@5HSgJJz|LG1V1X
zmo>4-wY#S>>dBd_ixT>JJPs9tTAQ1<8l1Uw$SAnGLo(`!&vm85Sz?;OTTjeb<a#be
zmrLzr$C524+191aT+y1?BsT41luOU#`uB4SeLl@uJV{&z(jbj+jCB*clA7!ot0s0O
zHPJDa%`QmWYgO*+hxb&&T~Ds9nt63suI-uZu-s_=sdo%qicb5TR4((7+Z_9;C3n*D
zoT)a+-JsI5Shp;2XYSsW-x^%5o{MSjjgl~v$z0oF*U5I8`Pc@X1RZZ?wIt62^+$C0
zX0*66PTpjYU?j%kbM8-rW<_}n+wo0tpqA+E$G0TzvGhDGJ>as3YsQS}jFUeoB?Qf5
z_c^w?;bf(h0jqyWfzq{?4|Wti*k~8|`FX<ElS$WJJvUD571Lb3^+d!{*K=OFTwy<K
ze|{<6Q0H&=S7uHAEpv@`>^%?T4n(|T^Qk`~YoBy=+t;(YYY(Sf`Ir0pF_UzjX3ox)
z1xI*Wlh<j_DR^7)T0nGbesuiQI|Z&qr&kDfx%b#SY+Z0`r^Le6#2xyp=5{AWNwj4p
zN<NAY*;#BDQFK`0q%N~+zr=(6g;THRU72RJ$p7}WzkkK9o^!R2581hBz51!SJK1Wt
z7<{n{tgn~TzW8AA_a$M+J}4&Wyk}NRN<VPq4aba@w~UiF=_VL$WAiyTtwHm~o7zua
z$uE~SKi+gmt=4F|s2N*Ns`CMtHT*MXlrm1<V3rVcjm78KtA>-2q6Vzmr3Fe=uO94J
zv%zWpnbg*&zfufszkHr~A+~!;UQ|lSRi)+KVytuj&fAh+-<n$Ie{1uhp!-|WTU8m8
zBV-JwxiSiGydd3q+NrkjP}@(gXgzJ2LeGaYy1CS2KCpexy8Ua<leXeX(dWG63Xj#B
ztvdLBi&$GQs0}(fO2RKA(efRr4Z7Vk&q8hI(-lty-NA!*ukSs$zc07;2B@#!UK7as
zkG=o88rReMZC|(Esw$W)wT>%mr&7j|RL5jJ&RGQ;w@FBD&5xE}aeQg*?O#n6`*tp<
zx%W6!{`}Q#3l{g~zTV83Y$0qg?ef<L^|!Xwt!`cYb8CU>ezA3rt{)Qp6Sbo%o;&<q
z_QO?wtG1l#?PT*;HMjZb7xIZMJKKKUT|J)cEgRNcQ%agDW~g1i)gxk|>!~7LqiLBF
zH-~gLsm=~{(dkchiCGk{bN<PvKiImWf1{qfxx6T0eUHZxWl6#5cfX%7-uYx-H1}ye
z-I%}?t*be=7N{;1Tc>p8kZ4QPj;a9eaK2L=M{K4DcE%cNoEO<06~G<NmGw}|Q8%Vu
zafRz44c#EOtciwB-E$sBiSSGo^sG=&o@64Sb$*IOTSlVf8rLHVx=CzV6Ac`?r~Hdb
z`Eprl`Fb(d+7y?dcc(j+@K&8RUfLEH74a-X&@g&m`7v9|ThH%I-SfaN*VZ)a?zVpw
zi>5s|9oil_yEbcv=8Dv|1%j7ZduOU;9J+FLYkkm`TifP6a$T^>DDK+wdv9-Fn|$=+
zS*_$r$5(45KYA8*^uoqmTg3#P$IQo!^b(YgvH6^t(cmc&Td!l68(qH6zH0uqwa_;D
zp6g1_=8AFVZ}q5L?0W2V)f-Up*$pl}Tfg3nJ}Q0Y!XblL?$ai^O3ShYja|E2PDU-M
z_qn8$yhzMac#DRFzH(Beg7l7AsdL2IHbzAp%Mdh-LTTG>J#llf>%lz9i5)*THLi{<
z%S^nnvNg3`Oz`&Bj+@I|4~6LlZOfRrF|vD(Z<I*R6v57!>LBBq#oE3`MO@1i+!)q9
z!!$}|%{8UesbZ4aTTetTtam+FBssBVC&;{MnTa#*skKVGZz*`(A(kg|@ldaF)Q&<I
zZu7p3hsOkU?^s+~rZmaOz3k3aoySjR#+)?@gG&9pC%O4)?5UZml?TsM1qQ8a>&^PS
zGI7a*X@X5|JmMy4GZt_<-_CON+?s29<aJW$ls&g%v^Pg>U&~%ue|A;w>&8jaY#w<p
z^($TMPbUBJnzzC8)0Eyx={X-NLY>#<|9(~f_g8(!r~CWfKgqjmzyI&T%lT(#|2XWw
zX7akr`~Q6Sem~;&x2ylZef=L%eE<KKv)k==C9KW-{px=G-}hOY?x-bi&3b<2z5T9R
zFP=VIV6un9`}F4xJr!cp4E+xqJ*@Y1kNmRq&tLUvE9Al^M&9|hQcdToef|ISp%MF1
z1CJkHm$~n+`{mMK|Bkb9Z#B@laL6dWyQ4Dd$eG-oYx4hpJbk{t=Kt&ab^ji#Kig9K
z_5Hqo=CaE!m*4;YMR;@cxzF48|Nr*d{QsYC|NlN!Putoh|8M^Lx<C5%|KH`^dps?o
zl;d#y;y$IFp(ZbGZ+vn+i>H?9*d^-(r7Bh*pYsi#F9Z!V?=q_`jy~|Dgm*@ho@{7+
z_eP$pzA=>*amS65I_$#FB<KpUWll5-=<Z>Rdh+G^q6PP-t^e1*{{Lk4x}RTv&#(Xa
zPJX^yTk-LIGC_9&?f<;}{Y+>5&c?cLH<k<j;QszwKd;{4*T3!a{~w&$rypqk^?UvA
zv$lQrOI{s$nH*o&Ap8Ga{Jx(rm%p!n^X0HVuV3rZhba+Jel4j-K5poFdD-a5))OuF
z5@%jo{dV>L4`2VQJUM@s|HjU<iP;vv)vpyj^Upc*(te}i@_$Fv=KK+!U9>1U^}*!a
zcbpe@DobyD{L1X}#-|_aHQ2Adulx60KTqOs?_=Hh?U(+3e!hQa`m5UG(><+^<lq1E
zX?FGO@>_r2vfpDnbAC(izgPCPfA@0)+a8k1mON|q$KLwms~giT7asoes;h6h?0x3E
zRq=IyHvTFw*|Fu@X})@~|G!Sl%jCW+Xg7|z*6XwRqTt;VMXu(X&bqZnze~Ja|M$=3
z`}IHEBPC2NzNlX-_~5_hse^my)uh$8?<QRMXn(P-v3{8@v(>ib+h6bR&;8f``^I;E
z3FrL{8^74by*_b&|Nnpa_v=32tuIs#Y;OOWSC`1k|L;iDyX`d`bJm*qyw}Xxv3kQ(
zzrV-+efcZ@PRwja)c%cc7gZ+bzjkZO-WB+>p5yMNUY+Zui$4kO+PU+Y)hq7rjJcZA
z=KTKpd;jjT`+q-Kzu)rf_Wio2x!zxIE{rL>^uYImWE}h4r)%nV%h&yzTP%CImGA1B
z@blZJSnn@+6~dSB?vvgYo<zTz|M9c`A3l0*`~M@>e?L!`-x-qs`LKWL?9*$fUtJme
z^IqPEAJeqIey`W>_WAxVJbIOx`mDpZOy&Qb>76Ec`rxb2kIxk@ep+?Py!7cK|2sLq
zi+J9Axod7eb+6w4$3d3cqb5bP=d1l<+kW^`!E)8ZTTik1|34x%?{8<RafAIv!P)<h
z9LxDBJbS}e|D03X>^Dl@{(t1zn;*i_8;oT<PTwsE4!3^yOE~++U-kNHo1XjU9J%+w
z)%6bd=^4KhXMRx736^V1J+^qmnM#>yto~7UD`h`T&;NHVA^w8oH}<)w%N@lF4PGSi
zZLR%UU;DSd?&YeY$FuKS{e2X4(%=67m6ZDbAD_ot{XOz<+R8i6o9iEW+@8+4Idhik
zrR9?gw!B^a|IgQYju&YGcP>=D(eJM}+_JUy>;C$`^8dfgU_0FR|EXi!!}{6@sYl}F
zMGQZ!=kJpIdYxUh=ilq*pksf&I!Y%0|FvLFVSR1D!5@$7OT%@J|NpdZ$Hc@#@%y4b
z@BRnUc?qQRr~Z2DM@QoA3Jul&f11$}Twm#P>g9eLwZ~`T<t7_H(f=K4u;p?8%6;`V
z&(xQd{7Jo`e$S3^-mRd<vR@x}$FHv1E~7T1?9XYXhnxRCR9_~aFBxeyW%c`=_ktSh
z%ig}X-28Ywhxgyp=KJgJ&oMtAbi*Jz@w7~<@-r<NWB-RO;<~I6)_#>YgBDNOF~>6Y
z^^F;SCP}aJdiTtJl6%ZFn`e`raEhz?@7(b7^zHi3x7XYMc`Sebf0P|(jOE9x`~Uvh
zU)&o0I6ijc&-M4s{#`w*-gb57Y*(JutG4S$G{3ehdAgflH@sG&@Myc?^x7E(C)+i}
zYiAT5Y`1(~8&PoX_0rkAm1jix<hpmh-q>O-=e_go#-3=o(>q^oY?3xjyEE7Fwc+8J
zQO|uopW~5u=UDGtFCX>mr@^B2{K>z57%be*A6)g*VDWPP;;J7T7X1EDrLVhu?>zT8
zpJqt+*iI`rl&pE&cG|^f8|Ng;X<PiVd}P1F((`kf>5FeW)#oo*`1(WE_vhX>9(*;J
zFBQj`RjHYAgxfKB9`~#dJ{P7eZ%VTfyb`*qNzCTc;)Iuv+!YsX=C4n-`*l{>?uWtC
zz5K@aei}Sq%g=r9%KCdI`PYpcdA-|1AJ=f_8n`D;FBI8!CdeXb*&#z?5x*Jh3Qn1{
z8ZXnDW9<5{>ExpK(^Q+>bi_>3CNALQX7!C!$T(KxSp1AL%HaCqvl|O0Em&vsWmimJ
zySs>)OxTSgk3@6NAd6=Whd6)Ni~8MMUT`d~wfLJx%!cSMca0n`bbM?&)+20^xMo3~
zonpqZ498+O&M1RRi?7{17~3RORu#MLto07Z#KTpsy01n0B32iik!lqV)1I@*>tWAS
zuIFn4b|mb4u{*VWf#7Y{-kWL}hr%3#w{gtc7<pliZ<AQgmy9Rx9-OVJE51^YTv5OG
zbl_*cdEqw-{1Q(`wkn^~kSUHzG=Cdp@pQ={Np+EPmg@=}XTR9}bk_pG>ny!HwK5Kg
zItJ@;&noo1FsHjoEapSTn|BWk9eLgN>K#98eIvQ$K-)X6=v|sJ7egP;(Bo1s3A1>*
zc-Mg*ey-<x!goBF`(pRgjs=44ti6^h8HXGl>x20?W^IhWFsHUj?9JDVNADg)JM!l5
z(NjNb{UajruyU&|zi3~@f`T)(t-|j#=M;rM?6Ky0ekW|lgJ~~zKi#@Oa6L<JrRIv+
z@407vin-8}-t^2ybjyQI_uz8&=bTwHUYA<t-aDwtC9S5NGjmD75tr6vHH|q2aSx{y
za;5i#TBO(a9AaJUdSADgrFVvI#u*();WVyUM$Q*{UN$}R5#Exp%H6n}-JLT_;$^92
z_Pv9)T+(IQIX9OU9Pw*SF4LH^A@<>vT(0z-P>b~LL#(r1@9QeF^h)SuoH1||ZsVF|
z<Z_|sVAC@mp)Cmu+>6WE%{jAfyePHIzjsiSOM04iPUN!sf+In#$<s9EY>0R`#g!{v
zC&VJX@eu20*ZaECEWHu>8E32<g|BhV+T?bj=W5flH9}hwmb!D7v)l81T>0?ugsSP?
z&tz-XZFo5I(6r@T?lyrI&o&<7>=*H?Tv2e0xwZJ6c1(f)m%E?hE_9SP9or*n^00S-
z=6cq%6{;EMydAmYc<NW`UNKSsQeK;}<MGz~d;2(@YqKQuSESBaAehYBE2*4uD8n(>
zjdPY^(1kgIO=3LquS#ze-R^ffqAP#w&X>ePyz<Lpz9$|tm46oVHSyroU$z_0if&2#
zYIpM)*R2Z+x3X{Xl>QQU<3e<zw|=W~m6pt9=Z7utxR#d$TP$9E$TC)>Uhjp@uRKYm
zj3Wt-$!wgn3<54pVQfnKB5tyL{sPuo+gpDQ<bHma{aJYXR=Xo6na!qL(qY;;kxL7X
zc(o>nY0TLW^KeQkSGrE9MSABU)^6APy3s7XH*_-2s5uIoan0J~c%kQO)3Y_gTN2i~
z&(_Z9W--<IvMXlYg4nPd1)hngUF%zw^)zIP;~usYb1k0}YVo+|kmYhwJqy)ed65bk
zM`|3CpK;DI2){7JvMKG1m`VDy1+2!lw_+TvHCH@rx&E|>^=-qUw!d7_-!x@5hCiHP
z%ccG%%p!IAAxUe|b2k?j94xz7e!9?6`4&sBrCP?JK*!)dj#-5f7v?xOiOGD)C}ypH
zyVQJ}S@?yxiq6F`j=R@ycsTFSwB20pcLFV*Z9BxdUBqwa%7SCOt;OrKV+wq~-2D`D
zp(DNNn2o5(!_Ebo{j6szR5H#vJ95iaY}wgV78-C^bp7e4T(>%YRn-Ygw`u1{E-N?^
z(3;$)F~=a{;S@)%be<54^oB#Me_y};wQXlpS$(97%A-jO48>V}cPM0>s&q6y$2qGw
z>_U^VT<*FBUq4q}jB$MZx^!oZ<LgBm7H&Q?t^Zzfz`6ys0T$2p9^!m2;#ax4;Fxr4
zah!I{2QQZ+m)u=cKuetVviQEx%<$rOG~UHM>$2~Krgb3W)`E?T1{)W>XhY)0L(}SA
zx!iR^EYcbeaefx{o4LH;SWIj2GmRL7=r4CSxn1bE+H`D<kV)dw1)AC{XCw47&KWs!
zPpjIpv&qam;II$aubHj9%SE=$39)$8a>($ws9#2~#nTywB;7^NSuQF#n0T=qy7b=I
z_d?6cre!{YCdr!?SWafqo$=!0vwE&uijKVOd-bMkXTVnLJnUG@bv!4~B60H}&DkR7
zZmcLc$JWYyt$SZIABWV&_zN>?o7CQjnxytGklf9B_NHpa!E?WE1r}RXB)72hUU$E8
z+dTiir6X@T>()7fCXco(FkH^!YoVEOiqp}!k9*c-wTy#?`wvgcw(HsP>TvxGZ9AVG
zZw^oKwmY-q#o;;Jb~+aE`+u$2*;E!1aCqXczVgXtH`Z=QoPKE9bT0QjK^D)p9^%Xw
zDGTjBB>7(CT;=+LgX|Z}PbWGm^KnWQ2V7`jZd&$6+$4Ga0?TSv-5ZZDKI6LO?ij0T
zx#=D#vF;S_EMk3&R9MtwDJ?FgF7a31#U*vw_d?6Nrd_*&FZ9f7diF(V%Y)YPy=N6a
zuUpV&9XI{7WAUa#ZJu1wYFaXejt^&i<Wlbmwn$xbNHSUEoaEYqgBlmhPv<%+=W$9E
z2VZEBY+B|cZjwA}fn_kO?u<tucX>GSD%bA;h5TPpvoFCnE`>kru;V)ZCCp;s^h27q
zqUR!37M#;+<qqrK7v07!W$1HZ#=<5w9zm1T4GScjS<XsoWE^DZHw(XTjpNm`y1415
zMYrT~?cNofc$%+OxlBuDv(v+tr(DZ*f-RC)9<uZn(TmXel^3a=aiq#I*^Fb>hQNSu
zt$J>7y{OwHmh&~Em^F9eg0@z$NzrjtI^h@cv~qSXEI3lyn!HYPPC@9yDcW4=F<}<Z
zCmmuH-<xwe#xYt!Nysq%!i>r$wKJk7seKD1H?y9dshV-n2jnolioF@@7R>z)Dsi@I
z=2$G-@N~r?L3fcp%QXdu6kCJ&wCd*+Iy{{7mrMMOaP9Uv!X}T_EHF%G@wL#+IHl=m
z?8h~$*y%#kW4YXQ3*L%e*>L=I(axr8sZ$QLt%KCDu@7g+ajAa^u~<6&kmO#`b1xSc
z9Mry8emdJx`5uSV=dcSc<xR`>h?_iaUSKKDs{6ubmEUab4E?>yAaaj&#Jc(gcf)TK
z_$Qu@ZB>4!AyXXvuqBdf`I!)l<hDbWmqqnvsQt>T)XO+x?3g@{Yt{#s3sa6arP&CX
zJYTqgmEY!8jN@#r6^UJ-f-rZ>p|(J-Xg4hxL#Kx`9&)Mk1Y4x8I3(#Ta!zth!9j(K
z<)>2|mDM<<i~}#Ua5gRL5jRPmv!LEGnN?T9c+<9k%X55qzAQ{^xW2FQ_chL}2>lhQ
z%?kvjS$l7)WE@I^v|vIn%*kyM%lU4d^YZ=Sjyk)Zm#+`E*xC8Ke0#X(s@<8$$A_D?
zeqG%^TRX#=#q`Y@`vtzY5;_mH9p#D^)0Ej5@Nfnzm-?A-i`03CB#TAQ&0Jh?us-Kv
z`Dr~z<uY!m&0ZH;rZz2GBVdxebAjb)7Tt(fpj5hy(atnSCg6S1&ZccniHD0?bx(`*
zMXV|~Bh)G^rafnq$HSghuID-dI}-N2*e$KiQd(^9n0$?M)`qYPQ%sw7`7c;txtc{c
z;w8u|8%N$^a8c7PVkQ%EqsZZ5eaBy}<8Oj364xKntQI+UV{O4Xy;knBu6@zZIHU@l
zF3fn`q_%}~R*}zzp2bbiWCXW7*x)|fGrxU7+uOM5r?;3pBs$l(>i!k!d$GE}N4{0K
zO8ZS3A6NR95R2vQhgk2r-q*d&(tATI<BXVN>^?D*)Q$y`&8%l7RWc4bfD-7gdd^pA
z#oz=V$t9hpl{0f;!I6^I<Yk(33_>4H(d0_^3A0F_bcj{h^}cQ_OYaMvj5Ejo+4=rZ
zSTXxICv>~nu03yKor_s>n-;Wv1RIseCEcc#BWWJ+pkpJ~@t#17#4U$37mJ*eSXpq6
zqm{dj|I6=pdeJUNVz(}+f47LW^t#T9-6@WDMY&(;MFf=Z1*LXga8)7w3S9Fn-mq}{
zp=ohk?q5PImbD+^JT2lExvJopP;0T6cFYEkFL#YxE_57hI>sYplDJ@jCNs;~7y21~
z_Kw`YKsCj-fPlkH;F_YOmG`p9HlI+7q^?7TlSTbzEGeiz<<e@brZLAj?qSpKd&YNT
z9B1n*2^sodn6a}-?TnyF>b3=vn_14z)XX^8)40_$$(Z+^^aIDkvFp>%-Y$0yIGpOJ
zJDsI>hepO3R!8A;+_Q@OF7#|}dNxOJ%Y!ZV6Hm*^yWRPgct%%V?ar6PQ@rwNG2au<
znaYdBtUa7|%KEAE;rf$R_NQCE`vn}1cGS&h>8;SsIHT<-9LF{5lhcKs=S|OSgtt6c
zc|Xzn`>*CZXNvCDNL;?!&KX-X<I>G`N%_C!=YKvp-1NQlhEKkKO-4n``}CQ2c3pWr
z&uDw8*p*Kk=ld($aY%iRgA@azCXag;SgvQ)t+;yr^@gVt<y$-2>+O0f9~^F(_t$pD
zrNix#cWY-{KHJV3`+CF7Q*qby_su*Rcjb4a+NQ#<zk{A_+}IR#j6=#W>cWh`Cbb?B
zlhl?4l80H(N~&cX46x^#_PkC+Y2HtRXDj)I=lw8vx{=>l?x#U|Q@tna<JTK@el$MP
z-gfY7-L%_wds$59th#@*=<@R=^$P^mS$Zw?GMr{F&^*p^)<P@eoS-8&-}T2=@4S(G
z;;xvqfIpe-hrz=A{K3C|8Z2JVU;OLGh6Uxa)9Te?_y2e%cm80N{b}8KvQ1LkxU+7m
zWgH1}OqS!C^}*r7l;2HhcZ5xzuUo)c{)aD`?}tIse*WZdKMfMs^9O(XVUWC?zqqXa
z=Z1vz{jZL9%l`TJy#CLJyZitB`JF#^)@_#NW1bJ~k{_>%*IwVvmdtdlw}<g@+8Rb-
zL%W0|H5Q*kM;bh5iWo>vW>!o0Iw0b6^<d#;b6usjEJ0(R?v{s9I~wD;&E>Cyrx_QA
z^80@N=Fcs0_)Fnce&y<8Q|BZzpZZ|8L`S~fN$XLv$I%kL*tXU0owSx_d!&|dublHv
zG4z76fcoj4hRzw128NrNj$OT;yw$T()If4Qv)Xgt10s8-F5CI~N^o3s@$;3_dHi?&
z-eS6h=eE<SO}0yv%34CsoSWcvLo`Tpx3gBVzsJ)zyepe(8!aNM*nM2)H*~&~HsJir
zq_(vFKz#}i$Ba3RjKLdRXFb!q_OHQEX2L_w^38rNQ)VA;oANZo!*ved${9Bmry8g)
z33}ERa%|6pQ#0j*Sh<-BH_7nK=x}BfF1APz>SOUab+O^3g_wb5E@N;(%&ceYZX9Yb
z)S2{9v;25i`;<gqkH~9nAxCyi=!%pN;*@sMN=^1i&EcwFIp?h6(|H`0r_9PPim@w6
zY*a}|n#SgHXj6k{q@01IHj`R<>H(28la}q=vm@Z!mYv6Tcs%=7w6L($W2d^(k~_kJ
zLGL;_G{3vFOsQ6KI%O-U_;g;!gd%?zkDY;L0_xvgSbD0Jn2y;BGCrQy(NO5`a$twL
zQo<c!L4$Xl6PE34so!au5aX(FI9M;Ok6ZKbj|rQ6I97IaDvBCwE)h~|4>>hu!l@Zj
zL6(=DRwYy^_^q?yk_eY&^*Phg;3*+#pt+byExF{tlOE0)O&c$nO!rJ@=}BD1<G(YZ
zN^=R%bmvn=s!NpCwS}D7I>Bp)T#%-@lh)%jkEeIIRyN&kw1`Y&^{GGdrlE7DumNW=
zvs!B0fs`}+Gv;tJ1{Va*dKUC%ZiC^SsSh>9Q|Gr%d06QY8P^hW<lKa=o1#ISyPdUC
z{XJ6O@UEOws~Eb$UO@fy-iFQ{@&<<dOll7k4=l0an$dHdaq?%C1g?2rmt)@93K+CC
z8`(TP^#0@oqd8ofr(aI!vJeicH%xcddKlxebPoT@p2v@wwVu0ph|ZB&|2V}`q$A<T
z!-h^vAp=f#X0@jg2U51M`kedNplPA#TkQFB%A3sM*q>W?{CDoCQC-3l?tH39cZt%v
z_K-8Z6TEgv25D}0(t2Fx@$?So%BJ0o7Ln&ze2&~~=(H3!;C#-k_SES>N(|48IrZL*
z!3C9jBF^OK1$>>Y(z@`yO6j_1k1wbu@XTdC_Nj1@nV>wA+OygNDtowROxeyj`J-Aw
zQ5?I^!PT8c7SrskvIO^T?RdG=)yZEss48RP#n|pSc~K%Iq2G_1g|CTUwa>i1YMSQc
zBkhYbrF!@@53iW8sfTA}hoGXUvCR^pw$_mPQx_(jk`M~AY<6Ci5TMXB?IfeHp=Lso
z8k^6dmIhBrc>~GEOls*l2Sj=%F0)kN;gLAZAUUtd!@|~ZLCjf!plOp8MGKXdB=xn2
zI7NHxWRVV%ba&Qz?%^RSGj0ByXAdse3mok5ZZtC#XI6XYbYO>(MuO2fR-bb>8#FDH
zeT$FQ{|Y#;Lo29ldCbN-k)XDA#neiHpmj|AD`!+IPAxEB67;TR%4$icQ)f+_S3O8m
z@SDcWD7?`=At{c<=g{W{&q^@^Nq^>JXSJD@J=kb+R`j(rWAYBY!@=t!;wKz--<ecv
zw?yZ;)2XY`ilW78ON9K|Lr$%naLPh5C@)Shi1qkWkNOKS8~2HIBpkWg(798{fO9&t
z+EcFwTt<5AJ*$<NmpxddWiZRxWwuyb@~4=y#~->LkeJ8b^EC2+iw*CL8S0FaKUgH(
z`D2q{B**4+uDL;T$NJ`E%T=yN1a*`6vL_aJbWdrHN|Cvs^n9uqtNzpsKKAch4DN&)
zYO*&^WlW9`G?-TZlu>x24kXJ@YVeGdF_7$LQcF)fAfj{O;Kn-_l^$&qGu*zVz&Keq
zC@*7TVQlxD>?o0#&_z2VP2;%_+lWpsTAh`3K;j#FPip)Dmp8mKX4o=L-e8>&RL1Ia
z>|Ddin}P<cw@V9jinn&mSnPTxM^`8<bD~jjcaLP$6QApg>Jw%Oo$Xzj8zr%A)r={(
zgR+^9ZLmtvS<R&O=n+e9k{*lCp`Q(&mf{AI>C9@+eGZ7snWxa4d~?xav5k@4Gkl{|
za;_+)Hj7EV-g+W(x$D6w)mgIxmWQy<t`1NS^*N=xqM0$-Lcn0!Uq<1L`Uy$%*nJLd
zZt$#>GLZCVQhQ!_K&0O0(!q^$E+{?PAqL*Fcv<P`3NcCdEhjA3xE@qgoh9uDT8S56
zsJVUiI`*E2Q3oQ{vH2XC+R(XE#(;A=lUgduVGzf$F4*(c<;v5zcqb-mO>5%BF3+7?
zO^g$GjG2xZ*(NB}FJtvNbEUy^hLC~gWM;MG`~y$kaL#DDYh^L5HOfWjf>PQ(G0x9h
zJZ7$TJtm`Dl$IT6;NAJm?UJ4@k9DZesoW+1=db(yYO2cVOJ90+=@vAIUuF?K@qfay
zZ}-ZqdlfBT9OG%sY?}Tx+{ry{#hD9H*=x66i^`UrDLHZB)@w1(Vz1U8`o6uvE#79m
zy#M`YY0pldGhBb;<&-8_Kh2x(rgY`{t-SeaN}H}9XHJ<{%H&`n>A9CS1^&Ie;P>N;
zj(e|a@x2Jx9N*qnYsK>ImB7u#&Pm((ba$uyd-?v~A9)>-`k%k+|FrM_v;3Vt+wHKg
z(Fd=E*8iP!WdE$W@<lolJIxMH611!j`!gl^Y`>)$&v`=wo#Q7i$Ot<Z<w)+?RzFoW
zdK2qZdjpN%FE=G5^r<ozt@*J}?eMI8OS77@h96XqpLi}KJijRC=Z<CdQ$=TQ4h^&a
zp!oacst2`ws_%=|RLo93J6lFN?zu$8)Z{0reVyW#+icE<MQ+x--7RgFmS*gjX2zS6
zR$uIpX2$p>^859v>9y;Z{@#7+@;>pqzq?QE*|&G;_w7@5T24E2a${0ipXuhJoEbBd
z6WsbHzO+<Z_Vk9)*7q-7{?po|Q@udeHn>P<#+>AnOJuawo<$fd9&h_-$#(3igyihx
zN7H4Z>&}H`hQGhb{Pu<9<m7`dWW3#;Mid&|wtw@&vVLOn;g2%R$BTMo&Kee~9G`ah
zrd;%9mFUXDkIpc48+GfP(b=f5dD_qayEOm(>@L6CetuznZEOAErsnK_zhV#7H`{M;
z&Nx`MQ1y08SdM7aX4j0vX$u>Dos^|p)yz0@Bj@Yyc;fIcz<Sy>PTdIYEh)VLyBP$t
z!sVUbUUFU$Th39xwVQ8Y*-^zSdgh;4!{3~j&uYJFyRb}F^U6BK)>~f}2kb5r$-3U_
z#Js)LEsxXpj>xSd<@kcL`(&iQJ(IXGIXR`guQS$i+nYD_zOLmnV#T8ha?i&9XOI22
z_TPcXqpay7)8~Z66nYh&-B8*j-KNFI6DT9O#=_8}@brPwpSQf%)puP_KYoYdqfFU_
z+Ia09Pi8;rTHlquPb2Tg8jBB}g-;egI>LTb_x<%}+3R;GUCV2~Z~alG?9blNm``qn
zN3)Nbt`{-i6CzWw(Be}};iH+4rY%o;YdZbt#0v$U84ng+IKjHG^RrX*xzt-{d;B+r
zU-Rwl-<<m8*|C>5<!;WFN!QSuS-)~e(zHjjZgxpO)8IQ3Dl>Drg<*W*>83XsZ_-Y>
zC%r8*(GxkJ=>FK{sH(6?cu#nYv0vfgh@*}1BJOozb0ik;NZk@qebjklnYEOA=kpDV
z3zsDAeiW8-{Z7~0RnZ$`%eDDr0`(1wA_^Z(cr>j!Y5w|3g)NDbZum8xbW#p(QCn8e
zl{<4<X8G#Fjc-g#zFWRIKkee~lZmq*HLdOP-lnllyXa^c=jt2ll0<K}u1VTGEi`BP
z=B~G0VQcoL%&*uW+nX&Sz9uYYlULzUucM~gBIY{bGCS5=d}@Dm#P+Ce`O#b2-@4du
zU;MHAQPX{q>3>%3c+~f3*6l9oJWakkAu>A`S`^mD7M?cU_-&T0lXMw3?;9bho0=vY
zoik2<^VuEkzm7|H$0Xgw<(E%p9%WrFGJQ@+OrcZZ*}|i|$3@utwEBEvbS(@W3s3*J
z`tz2zUib2Ciwoye@L5Y8*V6mxT6pw)SN1WDJc+dy2400JOCBBJII3&kxivgSD0}<j
zkM193%1rpe>tl?33XcXHHFXv-=LwUMSYlxmP?*&4XxjVLpSE6)5pj1q%(}4gvy<}k
z7PWI+xt5bN%e60Te>1i8J7aC^)%`v9d>yhkU;X-yA!_lCl&z1tLc6lVwDKYrT5O0Z
zOqu!UNYGJT?@hLwcAtIT9kE-sxBF=Ejf*=x3Qsy673CJWekQ#Bj)DJ1-<Ms=#Ukoy
z+Icfouf=_gTywYY*vv<kZ!+dA+>x^DQCDVH_A;%!8H;YXxg4G7*(Dr)r6@|TyLR8R
z$rsxCotWiY+{`+1XGQ35NsV20cWvbKP0!!HJH2a$?&9*`Yn(?}kBd&1363cYDLgB9
zl($`!olmn*CS;Dqf*q;*BkHS9vVKgkmin%#cVqRAl>SFuce}F7H1lq(wAkQWn6mrP
zk?Nzm?~mNlKGzM3$gskL(nnR-i-zwBiuoK=c$oWW<MS@%^CIf=wDKyIuEiDmWgL!N
z*l6seyu4M-k2BYDW@h>7JIM=K`!}V2$(!^$wD6$pQPu6D;dg>!ih~Oe^VT12eBGsd
zT||AIR^Ct5-+RN#rSCX{98`a<?ykhH#f3eOCSB~(cGFxZxpGHh%cBGSUCQ=aemvoK
zjC|JYebfjFRA*42TDTOROgJjqEOMPE<c@(u;e+aLiW0jPf1Lg3Ncd6R_)X`RzDqmy
z`;kY+59f>r^Ddl-UD$cQb=#l%X_@7(A3X;}sBYb<X%}~A7M5=7tSZ-87ZkH8sPHJ)
zQPb0+<~qSL5vwdVxfCXCd^FAVrrgcn<~m1j?Y_UV3zX#=A5Hq%rTt8E-OSZH68jz<
z$T>POvP;-lbo&{B?DansuI0HuE&-)Bzu*|7(88l4M@=V-n)?LF%vfPzRPR`rwCB;Z
z!lbvR?~hKr@WC(R!P*Naq!)HxcZ!Znz4d$k(sOZ<<?=6gzKMN#*QF|?@SxyP)ppTv
znV^{BfWpI!M;rfkDgPEx|E869Q+b=m$w*Mxm22zWSh^!+`J*n`uIz2vc{i3>YzQq(
z>3(!1?Wpc-P-J$n-@dpbu<)RF{ZUnYk#L*vn9n|ihl7tcs&^^(i>k|M=2dE3iz|-G
zIIOv_ak7)LdW%{gcdq5M%<}B_%nMn+Z%+M^_iFFb9S@d0nk3z&y-s`G&Sg6uu6lGp
z^ytLXUBc5vw#SHM>;H7NxzTrwyNmmr_O`A1Vljomg=ck-@@^Mpf2Yy+XO+dr*^la{
z-4}nJy?%#!ShD-$SWqb43(k=aC_Gww)RbSu+$Kb(V#$p@x1*ivUD5NpwqCy@TJ3!J
zy%Y0(&aLGR8ISrdOuN1Mcix4UcMWz~9Bz7kbM;%kqx??Xc3iqYRkkE8zc4EnQm_b0
z-PAVO=$~==U(LZXv-9#<$L}*PWMyxypC%&|RTz<RR&XJ&dn;QXXRnO-EDOagPgC|i
z=$ii1`RxL0)h!RUT$p6+q<xNSt)=>whkGs@U|l$|+v%-}_Liqb`yR{+w=~;x&hUfU
z@e{XYgx?qCRNntT>(slx?b=S<>%Ps`vHII+>CGa3CN###zwl_yQB!UabDa>Gh$R-A
zA`0u1Iv-72>iuc!^cdmn?a6sFEBLHmOw-njT(~1?>7!YpUD9FNd}{(_B3D~%^ea4F
zwXtlr`;l93KipQBcernVZ<n{3=DHhecBFMbYCHdGD$72NzM9ZEKbGxyy8FiS>}8$0
zmkeSv651}D@LAXy=@gxoeyjEcuRxT6!EKkl{d)zwxa-}t^dy(=NZRsfmSLB4U6{-d
z*TR%FkB(Rz)qQ;QmN#1``|XPsj)ezZj;c-;3HJ$$F?KCH9CFmGQBNr6bAQro2hrOs
zyENjfk0yMKf1?)gQRXhosvBwnN6i|wwsD4R^j*-E%M_X;yaJ+9>sp+#%Nt%^=T^2h
z&R!nzSwHFnGfw-@EGyq*dpa(%{P^w9Ig)#=@7ZZ=(+t_zyRb{!PJ7+YMLQm@essY6
zs9Cqxw#1N)zKfIIn)P+Dmw#T7B<&%3+hx@ax1ghDleM-j4B6<rv@2I9G^coFlC;m!
zTe(J}{O{a?kDATa+V(JHqwn&rT$|9G&nuIp14M7Pth(VAQh(HJao5({6SS@@3t4Ek
zjBBf9=nCFdPPsv6x9ooWwku$E$mY~9?<!_buKo1=UB&FxH`GFpnyu8@<{7%tcU4zz
zP-xENRY|i$L~k#d<*Rd7HvjsOTf03&ZQRYVs#yim-nI4i60K|Zd{;fPtlx!f(xbMu
zUCg&d+_!1_y$Q&fyz0jD>^zR#9hxQu-WgA}1<VdPI&GV$>E(BQ+ZjPloOW^d<J=aA
z^-!ZRTz2$UZjdPdJ1owAbim~3#QK+A!p0)o&xmAi_Y4K+R&Q4En2?wv&%&drM@_>;
z%ws}jb}X|fiY<K9_GsE{@6RB&Z|m>{g^_61<W&K)Lt1YwnUz_7{Px;6Q%lSD+&u?!
z*4xHMP3>LYa+>QZ*6euJ`KWDq7xQ@$_j%fWdjjr!3R<)GNgt^Fu)bd8_VebX-!%a_
z^ZC2pes<hgYp1d8Jp0jaKNsAvlNZ_kMkIUv53s{xySU4=^=>ZSk+lBNtlBQ=Z`yn^
z0Wy{=EDAjePbY5tHtT+i*&jWVg7Azd^DZ2bcZz<Oe(UbXGM9{l7r|-joTi?|`W-2E
zj1G!E7QOBhaK|99Fkw>tqZ27dJ2ktam+76p9#`bE=2+jcOmNk^ZbwSnqpq1<*=d@2
zGuB!dxEH3ZdvwI+sP5;Zx3v4Zx8A<E!@;IqwwGIUx=v8c#)!hRLPvSMMcJom_UVMr
ziCDNJb!kNPS<XTglQ|+%7OEyiQ5lbVE==1DO6+S-ffM_y9Lai6YER7%d*8SHe3$n=
zjded(?RYl(QQP}2X67s0Jv<?EB$n(*-4IcIQVLYEw`=H0tlE(><5AbcuI##yJ0B)L
zI>2#s;>0fD#Uk5##In~{=x*C4+nao(_{PN_n;%Vz7YXkP&6%9_E&I+r+5B+P+e;AX
z#PoM<T6z8P+tP(KCVNDsD%4Fr#brEdzA&vH<ixuWC%y%Toty@i1dlK&bj@A0(2o!D
zf<j|HL=~QtJ}R0odVNnYJZnHzf|I@69h7`ikL)67o)QJ6#TD36nBYRQm1(D6-2GVQ
z2g-ildK&9?tl05v(xbN1UAaML{T}VLzNe-QaqG>WD|bJBJL89b+2mCfeR)VZ73v&t
zR;dTO25jS+y|Y7(7MH;CGqNhMB2ZS3*zLROk;ewlP!#7)|8jT1<-a=%uU_KG^*bAv
zo9}aW-d6LaTw4`GSDanpl<OjzCA=y?I^d}G=AR)edY3!pTAdZoIh^_LLSDU@Xx8GD
z0keHtZzX75c^0(LY#P_rl_4v5mpbLDiDoHh?t9R+^{4ah#*h_f7dz$3h-O`0abZ@W
zlk_oeULOIenHnY^Q|i{~`ae(SUKcq%zqReFC7aok4C9QtH#azDE%sgT_jm5qyC1);
z`9C%6u5AAK=ildoDkT0cZaXc#pG$VsKid3gmVTG?J}thQV40umEDRGGA53fH{<L-a
z9)a_T$L}bBx<<TVF-9)nX7XVXbDnUSAAyBW)Q@%wc18Pjec$_NX+-t+@Y<uS%SETp
z35+QWD?Dp?l=rzPyPig0Ovs!aOLjcn6j6Ovdm-=oR<?T_y?aDwRj6-yTD$D7Y<_)s
zi&)HAaEW1lly$$h-p<uK9?g3+%U;C%PPk0P0*g-pg^wmansz+tt?Kn7&Aa!%O0O<`
z-?#l~mv@-Px`>rK(xyIYyV}K^E#iJn%WqBaolSPu!lmVVV#TxH|68R$Z}IQd`yNg5
z?b0^WUUzfRjdiV}x3_Lc+AS8AbNx`)+o*{8HG7}6-H?{b<Kn#|B(+o5q|iO%^!k})
z$}iTvnObW6;$4p9Ud1mRkD4ZSdAn(@lUTbWt>;nOd{OqgKpDx^7KVO>rz<von`Haw
z;NAGIqT)UwF-D$+M^lcP28)>cgv!iVW?>XtnAG-Y+RUW4qSZ&6-)78Nx+7uRqe+%s
z+RwDs&8%O(BXQrO158IJwsr}3i)_~s%U*v|eM{p03kMh%PHc7(Zg1Hpb8gG-$GM#s
znwH))sw&@8J3}2(jerv{JZVCzfmw4uRhRGKJ#)_gu57;k5m0i*o%nrMb=60^fwLv3
zk*Wb|e)WaL7`YW5wfuU=^tq^cOn}Ue6&6LFg^xBpnx_5v6R7aNq87T)Y$exLSSA41
zl&dZ@WrJP!cioN$>mE(2?$Z7j5K|mqc$oQUV|$l!zlge=Hn@vW6bq_mmUnT_)6}b6
zSHB}Eblc)@?<%6#?nvx?bRg>J#L_O|*P`2VPV^mn*SB5zQ7WjV3My!4i%ic6i`nQ}
zcsA@P?`;wGZQ6Zr0_NOUxg&LYMD<zUjpinIgrs)pniRQbJPKc^yFc~T-H+R>t5fgF
z=2ve5RpQpGc08EzXwu^@Z9k25KO+kd>vu8NCyTlt)AZ{JzhmUMW^c-RP^Ba+D(({$
zV-yK)st1dj`vl9(SZ876T$r@%(X_~<x1zs~fZ}oOj)cBPlXgN{{_A%nHa<GwbabL?
zmvFb}b{)a&^*8mmJZ!jdz;WS3XD8wI)@?E;LD9JKLQ^U@plo9_c08C^|7g<jF70_5
z>nd06csTRXf#RbR#k+*{MYr#Xnz4Rw%1%)KW<98X^TVz1Wb{$d_afK#gx~q#SomP^
zqZ8^!JI{AT@9WqazD5Alr(F%|{O;G(`?+pMlEeS+c2?^oSL{ffkYvqsrTBK?f*X7+
zN6S<?wq9QY>ej}7ym7#GVf{q=7PWWWxs?+@HF)5{Z<*6|7ndKuU3rvMTx5DoNKBz;
z;n~!qyx}73>$Lh}g6Hg5x8rGB#O~T9p#ES*aN$8+NPjLc=JV=DZTCgk>%wJbPJg?9
zul2oW+If)|{;!?4YWL%}EfrbTQsUt5(!@uTj&^BJ(^wa|YDZ%I%tr@`j!qQq64n;o
zzD6i}y`|>2wzgm==H;z!=Qw=lh~6sF1GRUq-qe2mt|EFe*l}S;S#OI>&k2v&=v;W#
z?<ntX5%x0ezBhq$B-ZRm?TDy883nE@we%#G?MT`2s7qe-`ky7>-lNCSiGf|hi$%Bh
z2xhO3P?yW8cYl1oi@Po`#we`tsKrs!$D-yw0pOmQXJOK&N7FQu-kQE{HOmo@x}jmR
z$ulEq^Mz@;p!(5QG|E6X+U<Sc_V%0WqpHjI*ao53cZmFXwr>8NyC2PBF{_w*%;sc7
z^--RU-|~V)&tHUP?yPS+Z|{Dby8x8O+1a%Fcmn50tlE(p@ondoyC2PhWjJ{^x3Hyg
z_nr})HADM~+EvzC^A{!c4=iRaKD+X!^;PNM)>~7wuC#?LG+WHIRWNjg?+T|}2hpt0
zD+B7K{abI9%+kNncKOf3yE$4{+=3UH&E(p8Fl5EqrB1mzqFKQ!!JXx5r|5qt#B+o*
z{{>jTc*ni9(&Tn|_2U%*v)x*68E9Qe3tVW{#kJKlWCiacr(C|+8M-%)U8vE%Au?+*
z??TI4JhK+}F0j1Ca%(^D>vw(Iul`-X<=wlA=*#u>n_gVO*<Dy=u`#^xwB5$C*$zjW
z-_E$be#e6bNJj!|A0uM7?}A4M@8au#fb@ZkYrVyybww>;p;>(+*H+Gu6}}4~ahfHZ
zv90HxZ2o6aah-seO#y{Rzdmis&eO=-vC^WzyYR`jM@M9j>h9M&e?3olMa9iBlYeUS
z4)-0qFFO5BXiTAh;aT}E>37<EHUTn~D=a>G6rN57*>?2S+l(3|kiz9%-t#oqRjk|b
zto2db^e*Q0^&;+Z+J1Wi?|cedb5|{(P~`^HVPJP<oZjQJ+xp(U)b(q2KYm*acG_<d
z@i*ZyMGl2W9gmu}i<rxV$yhA1C<-ZjG~>~<$4PHh<w2#B&B7fImOPpi+@<ZOz0Pv+
zj)!X=9k4t)@pG53IJj@V{)dKKj{9TP`lGDVMW@FE#uSDYo;5wn8ywET=MyY5bDf2u
zbK&VTqCanCmv!h~+7O(PF#W=byoH^%PSM}eZp8|m1GNubEv%#6-cKs%eAKkG%X^yU
zx`=f<(pn$2P3>Y{E#e-g?YAcI&L+@cA-J*sT10$Jc+4ip!lQ0SO=pXk=Y+}psGst7
z|K9E+=evaCMYh+7Ry!Y!`53=t@yFTVqD)&)a>2YMNedp$a_o|3(*}>;uCg%nDLh^9
zao_bb!nX|KGZGpvoN!v$>FN|M25RtsTYRBuKDfA-UcMt?&!b74UD|3|>t-(5k+|v6
z0g<C-E5H3;Z(IV&tH1x(Usrzhu5Y{Gqm{&T+roQ9vfrzPew4Y3)Q*O>T0zZ2Q2qpU
z?c$8RWH@;jx3V4M=>4N(^0E8Ew2hzywinXL{S9tZf79IN8Cnl&$0Bzlk(!<GRyD-2
zD2;a{OTlf@gBUK7UZ>4>CqQQBN{d48jlQdH#$34j@tbGP#J2NJ%<(O5`ydr~{VI^V
z&VnNI`0Y12Y%lMA+~$JEO&fhzCA~FU*~MNy8QSkfa&Tcn_oEYGM>})7qPKN}nhK!C
z{>`|%yC1*Zoisb->f{?3!B!7$mxH|ElpBQTPikFJy9!GAbs|}lv%tyzu?Uu)La5Bl
zRThT6g{Mn4mdy?Ux2_iMc<{&TpsKh?cuYu4v1j4o)T52sUCQC2>gzP~cJ4EZ-|f39
zX=0hlJps_Lx^Mka)$JnTcfw+d-3t$|?_%Ds+4pCiMPYd1X<M+7_Yz=TTrEhuNALY_
z<&^T9KaQW`;eMCCew(#OJcn+D<`p}smRsu00lyOjvgUI;y-jvpQLDwVm7jItx0w_4
zcRX?Y7hwIbj6?T^=9ZM{7rO2`Wq;$yy`gPVzrjBvW&edEj0<(yKeLA0oRQCBzpJ>A
zwY_zkj9^q@NXA*ig}ldG+59+q=ZMU*P~GyhWZ#2X?v`dY=L|on96xbcM)-VDPUXG+
zyH6j?l;J-2ZU3)(M;}c2SI@bpT-PEr<D|(#(aWvZ&IsHx2+l~DcHu<M!cI%4=x6Ir
ziNzG@#c!yWP5t~yeZ%6MeHSLNI%$h>t&LRKlDP500ilHxmpTcbZrP@DUOdLotbT=T
zs&<Rm8j+|?UKvNT7MiaAbT-@lX7<8Hb0_8eR<(Paxs~(ZUow6CY3&6AyW<C1WR!)A
zeSA)BOf9l6n_emZD?X@v>i3QRuYTA2#P&7+;62lO2@6%7Tk6AjM5By-G7c9kY?N<x
zs}q_fp|~YAWuK^*-Ba#ui*rK$v6M~e6^k-*%Q$-8DZ5TED>>!A{?V%ICE+c%1T?Rt
zc`P(@T6aP$=A&hNfw{vo{|jgPopNhLvMw(Ukd|w`^+w~0oBKjDORlX416Q2w{n?bg
z@5%4V!`Ee)?-%vdoHwjDRJcDiitSr+`Ok0e|Gi(WyZ7!pC<t$JFElgc(ydh8@@VUY
zS>{gC_qeup2Cne!amq~+$r4W9moQu6b9<Ta;(*x_t+$S7Tv2laX+Ty3lKRYg`^XIY
zEx6UxL-fzkzoB+aCO7HKMP%yD@ps)G=|x*QLE*Nq&1C8SKi7YYT?)Zw6T}h6{%sEW
z{&aEi&!*j-hV@N(Ah&|t0g**@;u-OnLc99-0&~M>{uf{#TYO=bsgv|IF5Wf5Qjz*s
z)Z8|5&6fBayX%=#AFp^ZPt2){YR77nZylMj*th5JjoO1Roc|Y>-Piwh<NvGbczvlW
z`!C(w-p$619u5fmpG6;3J63b>?vWYxj^>73^$3->48k%J=3F>Yv9OcVDf*h;X|Wto
zI9s2(_VxeU72j<aeRc9S<5+t`V@ulP3vFkea-#&ZE_VgY4r{r!WfCa-YV5an8oJMx
zDBc35KF6L^J2ticSNy*}^3^~3|G)kJOTYgA^#9-f|GQlOe&4kXrF(f;>u+EC|FZt?
z=lf3|vVXH)`k*1$i_dE5qY1%TeAY`Jb_6rI&lR$KHswg+@-1^NNH0&>{ZG)^%HL|~
zgDJsYe%4DL^#o^~vs(IaPB7E+xk5W1OgZAZe9M^&(#w<D{|Q=8O1D~?FfG_C&3b84
zUvSnltEGwaf|)+g6^eW?<%rYrEjpK^>zAi3=i}X7!tv&s;wJa@BXP1(-&S6aE?M4q
z)K4>F(?9?Jx99(N53EaAJDuxV<;s-3)3wYhR;DeUE@f7!x#6bwMBO+qnW*PWrX7C$
zrA#aGmCgLQ!hII!)74uS$7xwUTiW$FZk6T3rEQbrxaNEcda`A@Q2L%LMb~cniJqSG
zHstStddufO-~1`K=dCKfC#3MAx9RjfR|;-=H)_Xq#e5BVG;4a)I_ujj({>iSn5`-B
zN?01Kw0h2@h__RwnQkh%zi*dfeCc=IHM5IW?#)kJ`z7e0mj2W=--3=x>0e#*HR!OF
zKI^q=%}ocrJA31@Za&FbJ}LSf|IM38o2PSat5}(`db-xO`pT7QyQfQ)RcLOw>^(6y
z&g;#$pwn{c)}eRG>Q0;!tKJ*3;k>u%@4X>~2fR($_g?vM*SnGNQ^@t-&#pV@tFl$D
zOx;lIa_vsxwuHm${W$+Tn{vWvxym%_rKzntcefvII}v`j);VWIOxFA8q~tvzA2v_-
zs{0spG(!Js&*z}S75c38Y89GB7ri?@<FaPH$yq)r5$wRO>0HaIR;J9FuC=Us<+Jjg
ztH19{ExYdBSRL2(=i$;Lwfb9a3chXWdU!5-`{tw0&wswj`FO%xwSRBO$0OdR{(G-{
zIOE;;Jg#fchoDDGr$@zE-d_34J>5V1$4SjbLBGzP60f8w!BK9fFV$Apzuj7M^{)Ai
zdncBD+jsQaRd3e&dqRrxn<s1i`w(=tR^Qa-L(qeT)0O)7OsROYbeg*Kmolx&*K2N^
z6Z4<@eDmg`#`;rjz6TxU*1x*vOVD9$eb%^Y%}>X?JGaMWRX)#I-c^3CY}4kW)nF$c
z^j4MM8}jj_x9R-7S3Vr|Zd@PNRbTTt=+W-!QEWL^Zm)c{x7fw3roijL;$Wrub0+<G
zGi93orjqTRd{fr%+8zE06pU>ri{m!E_R+stx@`IztIOVHb6=|NKKEr(Y<ktnb;f&B
zuFnKXSBjKukB}<{#i03~l<Spoo4lp<Z<@SUl}^~3BD`jLPRrMzC*}I8@2cuorf%D8
zA1YH0Qd?|hwK8GdbgyTXE0gw3&l0OxnYeH|Q}-T`$Tv%m2<dOtDcu%)mNVTy+v4Sv
z1DeZS{H#?Ak7??rr@qYl9-|gtT7Ld{(atOPdgn{;3E6PbTXpuHkc~IJO{4c**>Kgn
zF*mL&=R4G)bKiz+I`mV~I=Y_c<D}T<l_&Lb_oQ6jGd-u_>m=U|ahn9Md7B-sI@uPX
ze^caj&hoCQ;AGJ={mrRs-esw;Rd;XtIw|&M)yZ`^dsD)<#ck4#*1tJ*ElB#NpXus3
zmp0teY&7-jTvO_mG&eZv+UZMow^<$4EKAj$ertE**R^|C-rha>>zudh`}(~h9}jw)
z%J04M;iPxt`M9o{k3o-CPmkJnGJEH{Y1cEs(N_+NK0}APOW)o#$i7(G<rue0^3BpV
z#W*gWuR$p@rVAb3d*##OKQXV~O_}>HN8LL5&l8*Zb9LP+R;DbOuH{y_GHuIrsbdwI
z1t%-j-{utF^zPJ-%i8(sjlZdJ{T$^@iOYhSHqQ~NeEO$cX#LzvpWLRs`*u6qPjiRf
z^jo_HzptCl^{#T|6Mp^BJKuxOGV7b#d<l9mak^6f-YFH2mQGuKu1ss^!#@+|>dvcN
z`C#XCuegepkCsl)3Of<Iw><g1mp*G)rRJtv-kqj#S&`c3#qP@PH=lbwFTK0;+~?Bo
z_1={$Q<|r1nN_V!n>=00tXgx!QSXUs<GgY{2c0fUx4wF(=-ZaI+kTvP3ca3$FITB6
z_B#E3Q^|J0_Y>Cd+C9Buc}&*(wnxr!S{84XPEw9r_2Z_u>Hdn9iEE}aJ>DZC`F`mU
z7X7V0CEJ2e>OF6NlVf<&n|1Qu5Tm2sqQQGZjL&-4^IqO7GUMUW6F&N?X_cVFI9IF8
z+WyMldxyMPi}!{Yo%a^~yf<X?0dHRJy&@4$mYzt`SDjX|GIizVxmn*VmL{$bX8Jux
z=;r$=M;MoHk(sh|w`|qSV6JJWmwwCZnYZhxCu{JYkWFX3MWgqIY(DD!E%L$A18VxN
zW>uOS4|<pBZm)OEUp{FkICagP&ULM7WlHaKt+48odgXglHn&b^(%vHy`EKbEBmJ9!
z4|0}wS%Ov0o6hyDdSy!EbS<%}m1z^FONmu$ZaCsSQ8><P&X=Imn(5Y8&y;x`)>+Py
zW~FL$ShMq`U*^nhu6Lf?ygR2fs=dkh)6QMNM?;s3#1z+ioh)4*a;L!StnYG@oN}*(
z_FyIJxsz@@oigoi)#E91uG%L(Z@2Z+yzzR<q*}j~H{MT~#q5`9@nXsW#pN!1)~ba^
zG<Ds-9$RU#y#9zE*YP<)1$Q)6%gtX06`t2Lt+!bEa9=PJ{~V#p7gLTXFW+KwPsiNv
zY~|joQhF~0cC2uIGO3=g^R(5Ln84_rZ{EGATND;lR$gL%SI4g+_Gcq^oYsyPODB29
zt=jQs=`8KItR1hG9x&B+U01DHc-ULleD2$jPiKBATC3mr6m&3Gf9jo&K}Xlet@?S^
zn|FV;rh!lGs!~m(JKml0d#`+&ym@)3O?h1FTsb$3^XbpqC&p<>K3&>%u|95<<m07n
z^Y@DUIO;udW1Lsd=b+OS>DE{G6nxv#Hr<bNPm$M?t-(V1b1oIdP0P!ep%7ncZt63|
z`)x1p{HN0QXG`y^Sovi2bgg}rE1&J2F2z={-oW63_e94yFP?8frv=ijr}`9u$~Nb{
zAsY%;PxdmaT$!|a`Wvq^-n`L!MQ+qTTY92QU$v}aW$N>=y>qqHtfdd16WcupRJP~o
zPkr+-=xCh&)i<Am4%g|k@>OUSUGVO7j?0RCkh6T&_H!F=eK@Dt_}#Cwroijb;@~K|
zlb7yZ+#9g()w{lTu0<>NTJH+4UYXE4-RoM_%A~o|v#wRIOl+RcbbYVL&WB5n`08)H
zQ(v?#_+%0&YZTu0W-Z?n@@e&SExD?d&l;!atos)9fM4JBU8QE>b#LAM*0)zCb$)g(
z+xFwEH*5RekfI~rqV9V`iqClS9^Wfs@o?!0PkmLt%9T&IY@VAn&1z}l%wVR|bA=)w
zPC4SWe9M|iOLs5+-4o1p?$pw6?_TVCwzTQ_C*dXa<?i3P^+Wf32|BB-Z@TAO(1YIT
zO8I-IR6Jig?R(L-7w=x!J<s8>j;<+~cmAB1{N9jHC%r}I?+y8U)SEYcugH&QOHY*R
ztFl#iZ`-_T^IWZS*7hms-M7!xpE@U2z9%I;R6q33v!%1{@4ZrhR()>^KFj*NBd31H
zizx?Gm%Hd$s}>&B)D7R>6`xVE_^9*O*?oDNDpbNR&(%^p`QNL2*^D<+CTaSulz2U5
zR{Wf#>(l)>dkVc$wgd|;o^#14D1Ymu<5iDu{7h`AGqSe*^JK~-`ME)ccQj28&$(o9
zMYB=Sual?DD``S-6x*xb;Eyi(GS-@BuKTAwuWzaJ)13KwN|&YI%9(Gcv_<-Ho+<H4
zX$uy*Jol23Z~mNF%YRj5S1fZ*m{YgvM1`!7a7o6@6;9?xK35ke+)>+j=-vT?yPB-V
zbApU6Yl?23lk|RRu+%dPRfFrA6D$2Z&y;t)eKGsVF-^(!^+(PzHO~n$xS^@)JSWKT
zie{Nuf!E>sg5@lAC0<X|m#es09QB)6^*F@mf_+kYW1yd=#M>#84E<LAxT*Q==ff#&
z8~r$QO1wa;FlW!bw8=Gp&a6%=6Q4_p29fP2L}Z16OE(lx=DIt5_qJC78+_Mp_<nWW
zUq4NY2U8|B`>nKiGG!L4UuMLMDF>96yNFq<Zaku?>;3hZX8p}|Acviv6SU!urmFUw
zppBO_u~eY1dxJMR|L>T~YG$$Y!IE9gJHCsq3-()S@pj5AW4}y`*HaEyE_XR+ty*|c
zQ&-&lRB!O+;QXy^u~sZ)ufgj=*YoNA4tcR}OR4AHJMXNcr(fl&j=y>9>6E7WyMCHC
zA5ZDp>$mde^C@kA{WxU`yq+uw7HXe!sVE|U&Lr($?r(Goj%c#F&kZU%qbYiPZcy<d
zP2Tf!g(@CSIpMurCC+l`)2;U`M8m)K--vwB8_adjYUz`?!CLpMmp*F_ma?-_{cuuq
z;(0&MnnJJB;d=|S*1fz?5Ziu$S60cq)T92*g@vihx$aKixbJ1ahuF0nzK5=<_S5`v
zSX1@;+@OyKG)?*EUixrCv+=lJr%j>Pqm{u?^IrA_8#&aj_`0v&)cbGY^6y_)-}KX*
z`FKj#PQR5ipHFG~>Bp&4;FYp4Sg3o>rA-lccU-Q0{c761d1Yb|i{_s>$K*XXXww-@
z(bIE-HXo|j<kg-l6!CP*iLB)+Zq`dvd+u47ZvU!xwZOgoz<Mi}y32|mck}6%hrZaC
z@^y37&b#Gn>H@Xj)E>=R70k8Fa%sx0V68NZrD@B8rM_9IZaA+w@von!Oo7*F$GwGK
z$6m>A+<ZWBxrj`e*Ga+UAu^?2XAPH|$dr3MXbDzQpF7FoY5kOGm#ZF!^jrp|Ib%Of
zi`P>o75lA>csym+R=>=M=Ti<;Eq5`qP~CV@Q+M{4V=E=sJ0E6|WfCs!@VTUD7}-8e
zQ>!F?-mNvx2gSA?x);8D-3tCg_so~Cvs(IOR<PDO>!r`yf~E4TR0~dPPQ2~sd8g3p
z^!is;t9~E461KPSjn0Sq*>y(N+<)FpnY7z)<&Ni5X4%iVRB&Ijk=?J;ro`*fhTy2a
z7rnt7ee-3kxn-{Ur=>S0`)OLfo6;rhx6<<El(t|$&N*dXPkMrd(&t_(^2?ty>+P?K
z>>CT66PEK$jI~lJdwpS(d+R&zz~@&_zuhNX>bQ2p_o*uwU&X$wRnA%v>{ZWZxio1*
za8{ee(!>?POo!(PNxqwMq~9;|r)U1wN!?YCOX@YRfUKS9rz!b#N|*b&`sL3y220Je
zQ2lU4b7H!mr%k!nY3{wxLt-w0f^fQ@X2sJfldk)%tav<S)^@+lisw@fR4;e2vrzqb
zQB!yRmt!k;u5mt`F3S{O(ou6&vHqiP`?UA7|NZKZngU-hE&orbF5&N69_80XK5Nbz
zh^;xfF{QL`VyL9rZkN*=Q(fC~PkxeNK3(La^Y-u~{e_91eT_#g-Nc^Gh?tRlx{2p8
z|C#d}6XN<*i;LIHn4Em}jf`~KQ;8WflT+OKI$v6Ddvn_0?}2|Z+<Z?Wis}uHcR5>L
zlR3BXp+{fiVoSHar!y?(C7<r(xh-!oGx^|38E?Pm5rt~UXKl7Ln{(Q5!}o9V|Ghi^
ze_{Lo<tzWrkNuSMdVAOB+y8#~KbTVg*F2-@`0IJMcZ$6ci`wL!anx_2sdbB4j=0nf
zHIq%D8A;t2rk&k+YvX3mjDv0qRi#_PYU=k)%|6S%koSEn+dWS3%(U{Br={!4MD_|r
zeF(}p$-PkYeCstE;aeX<G9GkZIFY=t)7>dr?pfCH%ghT!zqj7{+I``G?ZSz>orL$_
z|Mhym(|_}~|8BDFW(bb?u=>#?>n`m)?R7hs-q3RwWzW;-iwU2zL+wUitKfFl+Y&2p
zq<PdI6<sWPy(jR_hs}=;=yxe6i>e>f%#+l=(bvV<#jU2LH*?93q)m@zX?963(*ljm
z|Mc7#Yod`SsduBVKT%TruAFs9;X#3;s?DO|JV7zW0fmPdj!t}k)9%LXs(qZ>Vn45b
z)TH0#ZKu8N$C4ds2W1cPa*MKyY4+)a&52mFBXwnc!fn&(ntBoIcBHgE>YCb>9j2KV
zvDRXPTVcxDM@OuV>b^GC+g)4G{HW<?m-jQxbu(7)Nb7slcC$-)Gt2FBX2FFgd5(%+
z7QOBhoU@$iXrpGA@?=qUHO;)48au2XYU)|6*zsh-qprhU*?k&$7ON}@d<viJcyxsG
zsII#4x?Ou;O{ss>bh*p>oW{1!s10ugo{LPM6CP9OSa{a;C@;GR`#0^rH^Fmmtlp8@
zpKx2T4Ck`OXFadq&Aq>5N6O+yv%+s454^i~y1r=mo`9IoF@=YXk2WsvQuc>TK&#xi
zce=fcTTVl7=lUIw_>b0`eit#n6Dso~w(yDh(aw0|yj|Zv^(9o7=V`Cov2@3?WslmV
zyO^(wy06ppiwV6`<ho(6^>k73n4p-Vz>RbLMXt{Yzhhu=`|a#^cO@5D7=;ujMI2jL
zUEapMZTmy_qo($nc@hgP41x+%raU^5aa1>%<@W6ln;$jBi%jncjWP5qtUp_El($)g
zolUEcCt%Kxd3%p;ynXM!$n~1gJ0DyNA8ZCs^7eN{+i`4r`!}xep!HGJe9`bdfia(>
z3J))v-NY;{>Tagtx8}S>>Fw&dk7jM{lD?+Fw<b&`a;e3}pu*E!2e<8=uB{ibY)8t<
zM_sC2*<#vx5lbvK1Qez;K05NJr~Y={;d8-v48jW&`W~IgIocW76`jVljn~?_@MOtR
z(aR#&eM0XTxE3aCdUPW3Xs5FI@4DElyHD9`ZFw-`!lcJe+I}2sEj711oO0nn=E8}_
zPQvM}+vc3Un)dOt6Sp46R{oHMqSITh#faT1@W^<u;KB*Ug`Iz$qQ5=O+I>52A!~I@
z{j@h?Q5(H8&iXCnwQgbC#@(AEJnM$mmQ?R`Z~1e?qCS3eTW6Zz!WPHfyGLkNMdjl6
z1uy??pPm(`kyo+K;)74&qm>EO&hs?D%giP|I&iqBdiO)-qn*E-b{EDKK8QQEH(u?I
z=$+ore{XO7zI*ol7O_8CTb}e?=(_EcoyVEGqh8abz&Yc|t_w#@7wU!=Z#6zGx{!5x
z>$Di5D8n`N^6&quW$d`nCf~|dCnP1QXkr+Yae76}+cQQ{83!d6s@ij}{o$H%Hew;~
z;}*7K+`T>mvu0>)Nex<8mL{pSC8^`Wtb<O<%`Iwd-?HC-_hD`kt9gH4zG{E!FSRX?
zR$rK<?<8Gs$IV+KB=u9n<fCK8>EAJLIV#k*BzXUyf23;vpIbUxk|tl6)$1f}#=*Nr
zTq;uCWMf#y=~*#vIU;noBuu?9X{wWU7{}U3y)B6|FB}M3I8oI}xVv?m&Z(<?4XsYv
zVjOE{>YEt(XC&qQm-l(K>-YJyfpcceExc@7HSJN;%r5CPjru;H@HsQ46~6d4IapL&
zCiG5$PvL_dk4|tN?VK!m{N2<!K`{kUg=Zy?@}`TjpVRD{6Fz6hh5vlt>&mMFGY+aQ
zRGr=$79$u{9GY=haAD(NC*}4QH9oFf%L%LJp8dwHdqaIo%K8gkwoch)+_^VYO*RB)
zq_kf+Qnpa{cG1?&H^Uazv%ddrKf7xGms<5JYWWMzD!FqjbWJ{ZWjtAW;RyFa-Sfp;
zlb_AJ(6!ttdmcxxjo7S;E9>{(x3Cw9`rwdpvUZ_a9{1Xv>RTSJ3z)sN)vZo=){oGP
z({p3qa_rFE@?hG9Nwb}_^ElS-)Z6lK)`bJ13nywj3EO{re|7Kuwg0EAmSt3~ZxQPe
zi8AuaIGVB0G`Yp>kB-TQ?h7X(7IqdoMIU>f<$i!=p{Q`{H6J0VnK~whOXL6l-2Tgn
zyNy#<QtL`u?n1L{?pz5K6N7+^l!gmOzW=LLFL6JxJ!bcBw-&J)!KhD>8AsI@n##AD
z)d)!4xNRT${ojU-{uxR81Af<>zS`H&T<^rq#-%H%vL$K5g;|PD(rsM4Ji=0vdRO}L
z7M7KO?C+@Sy*tk;DC3~ULe<5sVLgITpEqA<i*I2&#?{*+JWE1<ORCelZOi>cqCR}9
zTBn-d61GPy>a$nIVef^F;!euvTh-=q=2p&H{dT2)i`X9Fs84YjN7)yaiE!oqP%-&X
zADQu_`@)g%g=K9guYSAo{_m`+{i-kO&4afu*AtK0<dkvLYoY1t7PB>CQW44~o5C`Z
zV%Kf+Und^5!7<~c+d|RVE!T3yZf$VQNLYR0gxNwfNABF46IZ{TY~3pMMks1i^om$n
zj;-gD7xGSSWn0G4>m!;qeV0@8vgcX9pY+t_?yjvbT6Uo+(#boGd+iM6Eotj6v{gDW
ze{OXX<M7ovbM@OvS0`>UZrw<wElDdc%u02V=HuL|9ky_yvXiiT>o%FwSHGQn?8NQI
zp=+tR<<XQ2vo1SHpX1=2BPwO7Zc-SRak@1ocmAG=$LsIMX#YPOex$1WV*HI|-UnTm
zPl+k=I-0utN_|YB*Wu9REbA;)i|%T6O8aH*T-p8h>h?K71-D@xC2%M1j%K6$T%n&Q
zH9H0UG9_1bzx}&-PLRPZP1WQ%K_52<XVn#Y9gbMea?DcI=$>XLr(fpG72UF`ljj5(
z+|*PJo)ctvRnzqHoJ$6GH5(=UI?t4NJ-T@R-}Jrp|8q5~*zYFmv(Br~{B*^0+jaZT
zL1&BgP4|2Vda!i5()vA9D&8)gX70CX?*FyZbNs$d;yoR=sq?0{nOXJ8v~>NO3~yCu
zFWQ^3c+2#hd1XQ7A=N9B4w$K5<@pknG{I-@?Qk~eh??J~zXh|WXKkxqnb<y^$$GEI
z&8JI`<muOMeNz}z&fPVg>s#f@l>O7S_$pRDTQEK6-KR;s@o}3t&v=`)Rh~>URKHnQ
zamU+Kea{pN&EWU<T7%=d=9Eo(8<@T)r1+XQ@8&%sGu|#eA)~MQtZHTIyvaHJv)(Ul
zVvW;^e6h64HEvbpo26~4aa=lIgHmQr7dpN7%BDk}+uYZFnN%NpyION&)Utbf8M61J
zO#kKgDlhYE(Ag?|)0_`M35%yI&E9im(@oE9w{32`Thi1Xr&al6Y1i?%Rh5sHwk?nA
zviThJXy^2(IE$0tdZ!zG{pa@Ul=sBzew)gF_D;{bSEc#soVV`#xhe0jv+Il2d<i<K
zu5ViNZPHokxJ{LZz0LA1R@TopPkHs1HRk}I`c|HjNx8kj=Y(%vvv|AogrvUexvG^<
z=S<FteZIZ>;GXjC53I{?xNZ-Av*@;F*~PaayI+)b#g<qf)!Q{UN&K$g#_l|jwBB8)
z64mlKK_6EKXVn#Z9e(e}d8gFt$-H2pdW)q`_vvk0eD20V?}I+er_`S*@;aKc{K}a^
zufs9RS)N&{8r|3IWcAC81SOyDIYAq4XsUY83EFr?)AaP5OB?QJHj4Unt|{?KnrfwA
z`hUV3{x#o%4oc}yUGsGkuTb13N2g`?sy80={KjQowK8GCbT78*l}R1bv)ZaO4bFH^
zd}#EQZNL27l-Ivbdb29;2{Ed_<Sn{*Pl)j???%J8u0J}#`58ZtdTv{{F-}Y3`O--r
z<5tahuyj^uT-J;yOAn;zyDnRiyrO*Z?~vspKE+-qOP1ddSa@##Ug`VnR!b8n1T!_y
z6_R{3<w(HtEj*Wx+R3+DFMZ&?e9E6EQ@RBGR!Y8{(iZ5)*;D3~(i1FXZ+-Mzer)}l
z&xLD(bKaMAy}jeNvG%s+wruO8-*T4U=zA-2d;Q!=GhR)ZW`9M$uzLBr-+r1E52j3N
z_gh)<WXi1Lewh`IrW^=fe&gxP;5V;)rrtaISAR~>hfA8O>*oZ0yrpTHKj+eiYnqMa
zew};Dy&g3ON7=nfa{sHoT%@MV>!kSdkeX7jv*z{7O=`-$5}I#SSMN``@nXsmwdGrK
zt{v_BrR%48<JFW&xqd5eyqhvh)-UtM%P9x+mb;W$t8P55sasvTadF-JV6Jc0OP@3Z
zYw=kveKsLjiqBfL;E3kL#eSYL!Ar}9{}e74nN#3((sOx;PqEk8lI1363cM1Q1uJcy
zGik=#Dbp->-KY<=zplypc}|egeN9pBIYFB*X!3T?5sG*-<%H03m1$N>Q)lXxUC}T1
zI>@zr%9;|dqgu<atSR$4EVZ2Fnw9FNbDEuB{W53n4HmP0_1t1<!n$CuXO>Ho_628&
zSu9Ol7|hf?M=0{mlp{i+Roj2)mwKHvS{|ZP?sb-HxyhOmuY{?=^-8PfPKtOuW!lzV
zH#Y7)r^)(yZqTNKnxfKkgEpVk<efcN=*FWdCjyqMv{^1q-Jw^O^Z&(^rulP%j1Fmv
zD$flvKBdXKcy7}5Cw?2fi<Ya@6?&bHh<$TI?)j9akA9jnA57`$^jkUe$&|K}ew;pq
zUMZ`Bg)WD@tgTGk73`H}u{3E}eQ;Kq<<f_Hf|<nU2<?0^<%sI?Eiu=Y{=T#;m<v}Y
z-lo*+v~cX38+C7{G`ah2d>y<z#HPUOZ0&NBKM$uIkX`OlSL*eseEF6;myh<n-R-CO
z<E*A?^xUA0XEjZ4&%Lzaux8_2zs@&BUP;@v_SWlXzbW)O9Jie1o2BZe`<k81ewmgl
zx@CLY=L8kp&{TDw6I6Ib)Aab9O9gi{8-@Kk=ahIoni3r4_d3b_vE*`*Ii+4FEtiMP
z3EUt2w)W@aHNjHnEL98cX-?$!^NcC+I;|S}CS%W=DNWjbnmb=j>B{z7x%1tWHfcZ3
zJ0)IErUeVtZx4N0`}Ij*Fw=f3)laj7-<)|qW!mmtHw??qYqHi`EPb*s_|2R5Q)adM
zW!`u)<v`kUmu(iR8?S2W-Y(ggoVPoetIT3)%JN{XGRviD+k>UPS*UKft~s&R&+|>W
z*J;MsH#4kXOlflV)3kgurAygwrRA$BZOML|GUZ-RT7retLtoa{ZhbZ-m}&W3p~^QR
zvsagFRDQiOm}{Qp(nmXkv*Ik4K3p2iw0@3I<=ZJoq?d2mbM<K7{Bl3diuY3{@%yd(
z@nXs>f4|HhZ>Ag&U+%KcO7-JeP2KyY8y}}P{Nl;mot(HJIE&44Y2t=pCFePlDz-fK
z|Nndbe|7Dv@&8`h|Nmb9tNvg8NBRH%<o_Rh#b5tZ|Nqq4<^R6a|M^)TcJZJ5^mi}h
zb$7|{eflTk;_r*6&-G8c+kdaDZp)j$FQ&e6|NpxF-~Ts^d&O(ie_z~x^qu>9EBjm3
z2lrdq|2nV#W7g-zd&O%G|GZdVckufAKQHb7{jA^c>->MS3;gvz8+kYXT9NQ~&HjI<
z9qRu(*>BL<+_ZG&RGpJ+k_yvIyN$9VW<(}9rcL}gQ}tQuHKXnInX0dUtxU?bzq;n-
z^0upgMIx^HPn`O9O2kEf-P8YVMZf9EykFjw{J+lC^}F7ivuhIk(^PjGZ}$IsCaWwh
z?Rw!%)@|uxH>b@!t2c9N&dd0ylNakYoxfeT%JPmsZ+-hO&4LZ{mDqosWb3NSy7^uI
z%k}zyuh_-bzFypP^l!@U3j0u<*zecZ+x&a_|J}U*@Bbg<J1T!;qt5lFxlZ0?oNI6F
zeUpFt^ZfmuH)S`>{+}xS|16i;*<Y{ie|=s5jk&o<M`A|u$p#tiedo_?coyBq8(+Mo
zf31x2{9>P)QyZT?K2!fMbXw1eu(*%GX{PpR*9>enAJ}k4$=TSO=j58yg8ORPyS6`b
zzVFrbZf~Xi=lu6?_CMnHnL9Q6pvXei$*o~NLQ%$n8HZUGHhy$ce%zvVj4Su2>U}QN
z_n+1V7^>H&U=02EygvSJ&hO$r*5qH;Z(6@!H=}-f_Cc?Os;gVV)`(@vn;@2+m~3>+
zIDM@0W|Ozu^XP?1A$_LG#W@jk?9&rG`z9{6RGaoRV}AeNh+Dh2Y94Po`}eiZ?(*#Z
z3r%~SyuWd-y`jJ5S;K|4`7La29KAgvvm{ivq?YV^FiF=kEa&XTwCFzG+r>O@P8e=7
zu0MWcolJDu_vF;~*Jj9Y*S(8Rzq&U%xJArIG|I>~<7ml3Q{xu1Ga^zmR85ScGm`oO
zW}ALyo&IN1^3fYI*~gyVKws>1?OWd2(;E}&{#(6%ch^d7%Yz*kCJ8%f`*E$cRNeA$
z%Y_4y3ny-N5?=o7$*rO)zissoCb9kuTM;p1aqONaHwp}nA6O`(++OS>b8_R;412S0
zd2?UZf9%~Go&Nj!&64-mOc%0VZ=H5WAgVAp<E-vNUh7u2GS1#NVzX{2Z%NJH_h3@7
zW!Rka8{w;U42vv}ADJo>z3yA`hVtzAKGxsAuS@PKf8BDSX|j`d9LL)F3e7Fgre0{f
z?!=tm;&zYAcaQL`Pj>MICmUt7`JP1-YaDMoY{}O5M8a}b@}r18-Q&Lv%Y<wCSml3T
zm)u?cy6-|$eCxD7YFi$aFElN0HM=7$^}{*i$-WCmm>25ue`cLtb86$G;y%;(qAjlv
z!q!|FCgip0ZvQPm^Ud9=`YFi=AO9;|_U^8f@)b3qh2J*nUa{NWdW(H~!0#twS@L(C
z-foUwQCq>i)&G6XK~?{vH5Idx&u*5Hp7%WCadw~C-e(zyv-`|;e@o7Oe{G6P?zz|T
z>9_YrGq;HGh(sBAWE@RcXzJWz#v>{vp=M$flkv!Xp|1T??rnl*$GiGB8?UMVIVJh<
za~bCNqMn+wh97m0PkX=l|KIoKySg8X7XLcCuKag=)%UR6Qy15rF4~ekUFPjARrlRx
zCoisx1#^`z7yFz!u`xAmo7e8T^JmuGGv1v2{mh$Fw#K{j&YxL#%XoA4w=-|L_P%$W
zem8$++S3Sw-TC})KCn;Y(2daAk}~r`eb-Z`Y`wE*w?5o-;ehDEiL0H2ueWTAdH>r%
zWA}ZdvnRJZ9laxy{p{%t1N-9#nq-u@i+yxXZA>k+FJr&d`%nD7tf%?!>o={Z{1b>O
z2*^08xKOmc^_q;}t%8t@2Qx05C|uYn>=f<yj9YK#)a0XYWwPHrx$z;pukn6S&!2h8
zkMjEJb-(}G_*?h#N*Qjy@9Q^zk6z~Q#BIl|`%?)#LXqzzy^ouh=f&=4Z%vE>GLj}-
zn0Bx>d`9Z`=w-$~!&X?#PCmO+M*7@yi5(M?pCt8l@>_1Jd2{^Tb|2?o@ipJ0mo5DJ
z`pw^IFFpT%d;NFX%as=<r8{ZQ<6c{-xaHx}3kS3pPOQJ~B%I%}ZO_^5PA50iXov8e
z-}vmfjP$$b=Ors9BtJ>)>vXr=X7dhY<ohC>iu?P|-OHc3j8k`p-j<ZM3tcmvveP(o
zXXu(3xM!rSyKuy2p)T`h*6BT`Hzw8enF<%>%$Sj!5Y#vEq@~)jCpV0?%J05!#CvLE
zLcxEl>iwxws$1$4wp^HG=%igI7WKLNLfb|sX6Y6;GcMm8p<A0Q;|oqskkM{?7GbP&
zyzQYSTiqGMPr-eif|lEQz@CgQ(z$U9?4oa+x;ON<JZZSl_0uU^j3YNf!(@X;M#{zu
zM}!vYdVgk}o^yI*QgxrHby3cZnaK&^eG~6ms(pKMV^jThkdt&zZ%n8JJ871Y>Gh_Q
zPTp#qYiDR&@zVou^y(4*_5XTd+}Wz=>ymuwM#;}_oU=Kn;-_}9U%{$irBkKFG|$LI
zZ$I9Ui+if{{U_rqq3WqOtW^VrT)p1xE$<3_^L5VQd)4_j4}9+w*m$pY=e*}KIcv6>
zH}tZ8N~!M=FiGFBfc5aPnJvy~x3yeqk`vF!a0@?+n(=9EtK|L28OG-iHU0FBD4W@4
z=D+Wa5pU+R$kLhj#IM{nW#z35ztB<6(pwoF@O_)+3hl-PlEtiNXDVkLG&g%V;h>ls
z&nAPk1+ARSIwj>!+{SjB44yl4bK7Mc+H~6Fwo`eo>E|`|yL>LQ^3G6OQGHj$B&lmb
z-gL!`Ln@BJYFx7n9WTuJ*tBc;cHbL&&qPanT-s{5S;yzcA*b@o+b-;tjh<nA`B0PO
z<G45ZQ`SePA2*9UFmq#Xyx#IxGg=wlb$Kk45|1QuC-X(lD7bKF3bWX*a&hkBZ;=rn
znok-%*^(%@oTvMx*_ryiywcMyWkwwHJY3`_5mvCL@KWH-)LYq!2j*?mUw1(0+mprx
zjNe&#cdBO`iFHhV$1$rQ`ofgxrnEaECePazuwKtS^v?Ijp^jLwV>X)&9<FTF^w&94
zk&}2%nVVbAEb(UQuC&AhSJUQ&PJfyBSTE>O1A9~39dVOK^A;GEv-<8(uFp7?>v(te
zh6R@9thzfc?l|g_I{jtWTBjqa+{t>8GYT#qn!+uXHfOuR^Es`o{j;7&-ut#LTB2a>
z%=FU7*4is}yK}vIr`fb?ch`cv|K|6Cw*MEt^7cPV>2G_-yZ`(Hw*QyT7vkHQwOXL?
z=%E>>#nfUp89ZIoDk-jW#xg(g;7+wQ_5XB(KR-FGcX<NXjkQp1a8rcmnWk@hWn?RA
z^0;$>Wk0L#jjYuVzSVEz%KM+b@b>@67vJi|cKw~-ir|8h$~F^FQpwE&C6zEvsSQCF
zCWtoeD))9wp2j(AL&$|Gs!eG+VkYSm7u2(I=N?j=t<!TOBk>FyxA3*-8Jo@>>e(u`
z%RG^rJIp-sW@=PI;(<S4*R5E<=+44xsgrR;(J`5iYgU27g(-iV(%uN0JZE?0{&uUy
z`B|jI#oR=n>8+e|#g@(4V(_@7)$+Kmj>WZ>)ajZ1t&F>8SBAwdyPK@TdqXwjgrB2w
zeHn+;=e-L!Z?pQ|RLeLP=2*OqBWgoskEcb-^tDb${J4|LB4&Kp+p2k6_sos7#B*`g
zUaZx#HoP&)-DHrEY<zyz=`Btd8lE<_#R!=^TC~7WoW<8dKjW08qwzVeS;cM_nl76?
zoWLvQwr0CQ+T2#oY+awo<iulH+{Iy$5gRsfp03xstjW#13+$}JO>8nkCQlYD5M*cR
zy{VsZ$j&jiP5^#pZjvzH%S{H0E+3jPSxjxt27{+NS|yL`oUzPGJUCHJXSdH}U7i_N
z&TM{V#4BWyuwj9sGfS_87UU#y?pa1o7kVZ(J?jzLlCZ_sB4tslps-GlWPW1(Aq(!{
zV^K2<j~$xhud5?r@$Be7x1Ejuk8Eg2JqyZA*4)hdwi=|YYZWZk>G^r=P|HoRWi`2p
z$HK*))nq0f)R~-mBIvNvGzqcIH#W4mwk_2$(MfJhwEWDgJ>$B?%N=iwY7-L=Jnt2a
za5A^-&2#g-`-bDyGWP&;`=(u-LRZe#Pgs!G#Zp?VvSPN1<6RxoSuBM&Q-7TaQ%qXc
zYG|zEGb3-|Y%S{vv$eVJrbNC-Tg`b|@3OequA5n)q@~6wwITSz1lcCH8vTqjWsbtz
zIA?7Nz0jlE^ejhgOTuK|8)v7r=55m{*_^s?wk~(@vd9^RR}an66ch8wJGANamWziP
z>Uq!Ftk%0+<apOMD4^V5@XFm#meTK{j(6v}UYPQo<!nXxg*oLcx)rz8WH#M9)KMyS
zY|U1K#NJlT)w*XQQWMX4adU^6B>tRGb!|__>E%~0KbyOu%IB`2$%AbR6t}bV?$FFQ
z!|N!#j(b*-?}eV#P0wNkw>;S7Yw@JBRj^;Tr!u8J@sKljuw2B9!uyBjREvq-$psaT
z8!cN?r)N49hla&2o7lwGBVdxUV}amdmR?D%j6(vB!ED^K3_UK)X>1bX*}&P}v)v$R
zPOD+Eu8%}=;;H3gX*EfS=Q6mt-Aog2rvAEdsG)xrDAk`7)-AQJcD(y7JYf5O(JQ$P
ztfkfo^^SMNA_BIvi(ScWV%7bTvdGioiF0&o2ft2F<^4k~lHX=%Ue+ziZxq`#-GTeA
zb%OA;*ku>R*m}U>AI2fIA?Ct_)Fw9_QIoXJ1)SZizL6>!$DACC#W<o2?yJcdT{_eu
zD0YlzyFucNR!wK!GZIOO=MuQN*+5R4m7aK@z8oC>I*!cy1WcY3J1W}=o1`sUz-g`)
zF{>!{LQi(nvl!7W4^FX6E;zA$8<$|QZqLl*#6#v{%g$^wc%02$yl>Nn2d6vr_cc%L
zUwc}3md=A9<M~CacIooG*krKe@}Z8&V#nreFnGA5Rr9#c8H=pMbAsI5Y_rZd>&-f&
ztS2E>Uwm&vOL5!MIi@;~dlD^|^J-gMO?axD<?wjUY4t0|do$O{1)P_X3_rhox!A6~
zQ(E)%Qy1R$j(qVg2#u@uc|yO>GeLPNvCoZ(obRQd-Bac%&KGRkS<Z3zj<iej)c(cR
zy~TR--L^}g4CP<EUAq4JvMMp#`s_1_Up1<-5|0RTC(A|8_;BLTly<Q+n+*of_qDQq
z_j&g2?!lCI_hfjwe{T4=eb%Yx=h@qq?#YihR^9e=Pj<vXYu+%ss&{7RcGi5llc^GJ
zTcKv2wyf%%&!!8xFLg?+t+?;DopahgfAfXh=Q<_3ExGTeopahg-FRmE9kZG4cZ_uE
zpDjy#w=-i#wU*Sgd7W)L)fXk^@n5&t|0(%c#^F1B>n6s0)l6Q{%E+w4^Yg}`j((k<
zo7W?lu7>x>>-gNvPCO>ZU0fC&v0-&!?<eMC9+P+8-YWUbEVpf^?d-(7(`hqqZ<Ksy
zmeaQLZ&dSCdA%~Tb36a!ZCpGt=IhO*=2phnx;*uf>4`_8xRb9%%-C@2(3DWIv^84{
zo_DpfPWPRrcwbs<<J}E2a@$mMOm$Mb6D4Q!p1zq9aqw8D?Z3T`udA;9&ysbMZ@+=Z
z$A-_%Re#)%@0YkYd2$rXoC``%c8Ccc-_l{Z%Jq<-ZV+Gg#EZ5OU32twxvbb%ceTu!
zJhjV0yYh_W$$DWw?|DUDMbjIf=rNwW`?EWU<!Q)#5y|QGA^pP5x9jD%D^_i~pUm{F
z(&q%fobbl$$w}WTd=BOHd)|C%C~0l0mfn6`q-K5h<FNH&d0(?0A}GoBEhj8jxE^Fw
zJ*BV7zm=n6K~_+0;Ldl3jMr_{o~%0V67zA!jMMdUlM7BJ2kBM$9AoW2Y5Cre^?6C5
z)4OcJLjUd=yQ5U@TvU3xO-yq8mJ>Tyx*p_JUG@Ll0@nkQp_5;6pOxw7{BbPVDXrS)
z$aOj4&r^@9)Vws*+-#%vn7jYv&pXLnc9)NGTCR0HqN$rCmNC&FzI#e#R7%Zq*K^yW
zM9zdR`g6%RxW2nVa+TUu@%g%P!W(WTJDFAb9C7RKy!pV8^R=y7>fGZgYrf8yV=5QC
zAxd05WtW)X<t-gESGgV%(G60|p13i#droeYNKWXYoP%pGDJ3jj<$1N|cis*&#`{%1
zCt~_LXFN7EykFsSD5u}^=dEO;eV=B`(YIZeaIGM6&IHk2S%RnQw{}D>b3GKK8#FCr
z;>L*XIj&J6Iw6a4KG!Z3(y6YUzj{^(?|x4?VS|gwPHGiCN5uL&Z@w|)oNc3)y7+iX
z&W9OuzRCq}@Do>mVyvr_mL+KH+}-jrYKhNfrQ}s&mcd&zW~_0!=@qlu^?+39<W(zo
z+(>2;tMobH)ZZELz|iosZM|CJyyHvuJU5hlU*&VoS}yp*Ha))+S-MJLnSz^xx?4n}
zmgrnpN}efZ>Ah7WVv)<ubv0%_T@A|*yZEj;_Eff?bH`gl#qBm~kCq)ja_7U0me+EV
zi_Rt+t*i1mC)%$mv3|AKCzo*DM;pZq`?q*htZ+TWtZVcxdt$MFchhdKDY_Ty3$+ez
z(zgnW6$~vdnQ@$j=j)6KigLn+H<FXsDt!()^m|G^FqD+9_BrP+7yMzNp5F-xT_wA#
zO3#FKh1{|y8hLg1OpJQcb74`!4x!ZBUzv58?uDDKl-eNQbK%(EzT+)>o*N3juktx#
zEjRhYw&P1|KF=vV7TkZb@{u8He`(>Jq<T5U*67nwJC?3#jXoK*qjgPd^zo=2scTxJ
zk1FTzZ^-#(BekyLfb-OH%df##Ii8;Jzo?Y5Nlb9^mX4V#To1A61|7?uXz15HXJeE|
z&%~)*l?vLTh4G(eR8LZ`QR|;?6#S`2a?*33>3b4pdFbfu-Zei<@I&X0X<ip63qRVW
z;d`L4zMs?bnW3P&t=hAW<0>-WW=wIGn_PGyxrndA=V0B&dxtlzwvGzdO`0NRsJzuf
zVxjA)0$roF%!$S!-A$9V`ae0{U+#K<f0f$RrTfk%Gi|H#IT736x#O{+;dWcKhtrNP
zx$|X4kF?z6;=9RQ>s~%;LA@{5PuHlvEMwy5y<)7lr)uop6~2{Y$D*vD(o+9wp97lx
zoG-u4=+Nu$eEDTY%Wvnco;#l#N|xKIJ?}d%az{?9Tev7PT=&r=F+=gK9y=Deo~qO}
zI+r=IIIO$L*lSAc-Ds_Yn^so`UtRL*RosUe4WH$Ni_Rr0ovZRWBiZk1@zzjtxsBT5
z+x5vsZJpb9UlQRF&38?_d3Eo?)nbLQ-7~VIRAR0wJ?#>coWAwM&Lyq~k1i~;4~YBa
z+@>jK5b-qD?{9S!%bwM)C#<7f_N;Y1V?5Q>^0og~kIF@^$C7o6<T3+41cYg&Ojr|g
z#NlKzo5#El8=q*(d(Qi~@QJ0oN8E>f51yv}b9fS3ANTaOi{h&8nzdq#*;_aw*Sa3j
z(oG7>nz+HKd&<+O6rIaT=_|!py;EGBwt2~Ibp15r>?HM^Nj9mApGZopoR8G6JZN?B
zG{0%LDu09uS77Zj%T=xi1a+PGvIPr0x>4_b|LNG>w0Dz|BDZRPghHjy^e3EiC)F>X
zGsWg{%M;7vs`?gc6+Z*h`kyfFc2!*EZ&ZCmcl$4;&C%TxVxwH%Tv1AE7vsF2J#kZP
zcTaBAlboxI61sQ%o+_VIcG7F7j!L<w$Gf5lca|#bj9&ez=1$PmJ8MG@p5`~0t;&A`
z<gmJ(Yg`Yg>N@FV2^Knb&-fgrGUu|=(>3*ClIdGcSgv(Fs2MqNLhmH^oC!8*d!KN=
zR`HM2t~@4nvN+5;ZiCl{Ps!ffpD@NQ61cj2nsXG3%oU|44Pt`7w|4xD>25i{#bf45
z*JC`oMa!}S4Sd5?mIQz5m^|tDoC!7$cRbNNu5#W&tMZ)SNp3#wxVng$T9pSx0y|!9
zKD9iGrM_m7>xm3qCAUmL<Dl*q!KfuX*Oii&idkxJ(TLE~7BY(b)ZsblxX%=u#HJ^j
zk5$i0s8^ngILUpCBd*RRQmyhpP+-R^;ZxhASk7EkO6e05yq_Ux9Npcreyhh%ukIfG
zttVzKbv-D)NlDRLr9VQa@{H0+VKJ|Hn;bs%{G9as%;fq#4|XR$wZB)tx%~e0-~adJ
z&%gKg*S?$k`IlSq)-=C6C-?v6$Mt;Q`hI<{+j{-A{@<nP|L?8e`|DSH)u+Pk?yNi?
zR!(SiW3`tnnBc!tylOs|<fXfh&1I*o`oDVpmv{Hq*YA(Z|F=*5x-~1`j*B-R@3;E5
zwzYcyw<y=&-``zcU%%e2{?3~>A6NIy4_#O8pZ8aVYe%g7y7C{l!{h$P{;#XO|KjA_
zb8;mmTRxnwuUV^}U%sD#>E27d>lcb2xYfR1Iz9gV)ycc(2C>|!j{Ed{YxUQqb^1GZ
zCPz&V-0OXPH-Gf5Q)(BwSA||XEBAO?yxik$if0>}o<BFZyh{FjqVwm9^UKTj)*okC
z^LfUEt+8*vzVO*v6Du2WIdt6%@rA!L!^*FIzWl5<zItY;*!SX!)Xx|6Y{N|WWw-F{
z-Ba1K!0L-p_i1PSx350F?u*~@A$src9lIY+V&jbXqr_RgX@w(`SlQPf)BEDLb*|oR
zePNASQU5u%qIRjfE{uy`Y5tB~AD927YV+Pl^>_FFt=oGeE`*W)759RC|8sv;xGbXo
zN8LXrB^$~AZSkwyaq^#E@2p!F^*VXpah96rhKjLg8(*1PomnM+e&OcIJw;}#i!ELn
zGOF9CJ^A6!mbG5LJilV=_xW*;Blg<Wg*@H#bIa;K=ill-vNt&PuVCklV`X1|yzjej
zvT^s!{huzquD5w(;{NJ8`!Un=8WnYJn`bv~5&P8gOp^7fWNl{s4x7a<&l;~P_}wFC
zdR{~0d`8yZZNImz>v+e;Y4KI5@br(8W|lcC#mnx06E$4g$)sjJ!TQP)HLe*E>EDm7
z{(AMpj(w-ETRb@F|3Uus{JK>I2R8rmdih+ABewL(JhoWdx|<(2*Ke<C)&Kr$?QY}$
zUn?Kv9V>nCZhxu3#$B>sUVYB;_xtm<@_|<nyW;ZK3Xcl3JysW<+rk&X_U_W!<_X@V
z0);zeLmsdB{rj^*LauDfnYGOwmZ2Z20(y&=S~-aBP*0O>nX{_7<6T)mukqsAhO9X&
zO9hOU@+ql>ADq3`xjdA81=II>xls0ZPhYP8f9IqB+6Dik*G1%p%l?`CO>tFo|2pRF
zC;wQ>?$2QT`+LgXOU_ekSDShtU)>zycwnOyhh-GIp!+SysaIF7`V%T}A(s8!x=?mO
z<<*U=7u3)HbolqlYX{b5THmos%D%;S)$znXtBxDj`BpWCZ|4u--Ls0%Y2T{m4%4;u
zji(owe&{{*Z|BsHjjuXFAKW|qTH#5QtV>KT`_%*TTloWc|19y^#O1_y)$vF})&s2!
z-kJqptJn7EZ|l2p>W7nT%bfMi9mQ`KNL9rBX1IFb^J|3^M_HFQIlM9HH6P#afA!<%
z{J6@c|L0flzuEowspr3)d;7|#9M5NEw0^CS627y3UsPkX=9|3TuUwW*J-oH4;bp0S
z(K<e*ZBx`QasB$PX}?{5O-^-Yebij{>dgL?%^e%-wcG3VZ8^R**7VDoZ;GoHx?goX
zalxuXB98ZN)bsq%{{@RSy^WNQlig_hTKSbiN{Z}`^7mx{yni<E-Dz9M=k)Hn<B?@q
z59Xiwwe8x0Leqc`-&S>n3T#-*=d|rwWAtW_NAf`)>3*${5-97UlfxUswk|{O@!$IY
zmB;P(eck{6yZxWT|Cs~4nOQ^_Kwwr*UgWKx`+XZU85kNgr~6-G60fgFN=Xh4VP#-`
z`pz_6W2^q(1>g7?8J2o8o@!GK4i?A=J!-`eZ*xGL|HDz{nvV?ebK_zjvW6dxy}d10
z!hM6Ul9QYM^`48$EhUk{DWM;pxzw9ZxuM!6n8Wgce^b)5kEM|=E<5@XZiEGh9aKoY
z-YO_0%X)`}k994-&hhilzqQvdxn!ii`Iqf8?SP;9TkriVn0bBP)4y)nPwlq9Ilu1K
zsXeQ=J>UATpkDCHs{8Sw_Z}LYem;Hu+TX9rfBfB9JM(tb)!66LdN10Ptf|@Ra$O^P
zqQBKe4ZS@--AnI>=GQ)5cl!73eP^;|)t+9kidyr2)%r8Pe=Akb+<WHc_isAywcl@$
zssDdxlK#ii2kJjBXipZ;+nqHzaqjlN3XyO3OuZRi8=m|7^v$s6t=H$@4|}V>=ii-c
z7u3IAaNVF#I%~<3)~9wx^LPIFynEdb{nxjDO`ExXEx)?_wCmr#>cq!iZ5Op?vHuVq
z@|!s}=r{BJ>t#`v8g0j~Z=5!d#pSe<HuslLORnoI45;^VKlC!-g!9W)A3S27{oA(c
z&U10O`=Qs%_*Tu;Q<1c7;ry<y9qaXcVTWH_hIkyeu$ZcflGY?udykqDt#wa?j$h+B
zGqrC`m{iIUAugpStu-%Jeekd=VVgX0;>*HtNy(Z1Y2W`Sd_1^v$D}niooP{zrGhtY
z$vo<1RC+K$gI6rCzSaBT9z8}y{VOYX^lNXd5ZAsd6ST{+;(qAEiC1PE(tats<bzk0
zD%biYr45I)Go}11RBaa*A9B8EH0y&`R4eEDCGPHfCiQJ>3BRN{S18W&u*ad(3j-&7
zaEVGea(bbE-v^gpu7djQ*IaBSmI*$2dO>c2`X${un~7#0Kf27)F1%mAwqWt><)s2^
zZmybo?w+5sWNE(Z<{7pV^(MAg7@By#=`6`$skV&EUt&F5{gU?WoU1$BCQp35^hHRI
zY4p^dDjSh`+qNw}d~@nDsfk<;9t=ec4Z=^3rL~<(a`2n+v#3(*mJ8F04bR-W)7nBO
zJ@)z%$RcRl_CQg1vDZSsWde8P&(wD>UlciEK}fE|>Z70B^yYP?F*Z(4nc5R&BQc9r
z^r^=tFE^(OgR&PIPdS*_1yrU<|8M3ut9r2T7@xM)g&7Z5zEE1WA=p4S`ti%&lnSj`
z#~EV`OO6P}s@}Y$JT;IfzwKRO!}T9kF9W;Go&QTLykdK*i&t}5!pow$dTs_blC!ky
zOY55+b(%!Jx+h$zWP8rfMr5y2e1%4o%zZy?$xMFN>6+qkU1<w#^j*)-iK@_?bu8u4
z(idST4tZa+KOm&<dOb%--*vaw-D+*8eKON_t1X@|eUZQF;&aXymj!)S)wWwRtLaW@
z@)W`6YhO$iDPi96s7w2s=zP(Tny@$8D}Jnrh^&9$oW4Qo`i-O|wi4CfrRS6#_;Q!a
zs(EdM>AH*3nfk8Fe($=(yX@tc9jkfoRyG(naUYtyB;r^~#MIMw+~>;Aotx&p>~sOo
zk^0>yK5Q?&?=dldu8|GjD_6N|mA6Z#KL5)8^R8F_?nym1?^}Jo7cPxu6{ssHkU9R4
zp_SoKKX2ZZ$Mx$}b}e?AEO)?pjq9;<0d2YQ&uYWs^$gOZrKekRbj)5LHtE~+)1PwB
zc5e09Jjb$e!b7vKQ<D`UpIKR%M^#PEw(U){{Aagcm&Zr@@^gu}=kqHkoVmSBXMTSF
z<F3x7J!c{%|LGpy_Wu*p=TA!eCr)LpN=y}9w$%D>kkVWKTaVXe*55hw=F8p8^mB(c
zn9P`au4Zcf2cFNL=8E5H%Us3Hy!n=aZ|T7fWA)eRKiT>Xil5tTs5$gy=6Bg0Y=?6T
zc$anXlt<6qdg5?InznANZkW}v6!|Nua)*oNl-X5>U5FFgB|1q&$h1P&V^6>fR?!uW
zTs1fK)jlxql{HxXYm1qh&cm1Wfe+h%`~Lo3C;X#P%haMT+pzhug|J{&%*7KdhOv%J
zDpA~leJZQj<sEhfo-v)2vDevM(_O%#VTEA+7p|3T$C3`7xxtg#xbW#~m9s%?hmZBn
zeRTM=-SyW~I#+lv>HK(@e_7<O554!?ERQ9ux%mBqRkquV>@|kb>m;wOVCItx$*aGz
zLef<t>Jmdz`=`=<ew(&rPED4aJ#lYZ#Nt!EqJsQZCr*X;$Y<0Tx4fAnBpMjGW>4c>
z!<#>>(jy-8OzKfTD`Xn|^mWO>q!pafff71qhov%m4oF>>&`ve>XI|Lke9XhoElrz^
z`?XEtiZ>~4T-@AjXC|0;c-(5ND~sK?Y|FL!y@Bf{q@Lv{p4k%++2eBfftw=3f+Z#K
z;jet+I;2};{GPOyc&aZs9$Wv;NM`xm_fHPISoUC-vdl!iXIV15&zm0~%3Ioeu~hy=
zi0wPCpl0dL^M#8f?woKrU%<V#sCd^=E4}&kdH-`CN5sa*{cil-@2_NfTIH2t&Gg>|
z-;;Oje_LNHb^7<|T(b(+oqn(5pGeDmxpQsbt6%04&*Ptc^VIgun|6B7{y*t;#i8<b
z$@9<eY5RBj%%Qbs9>0%FEdN{AegE2I7u!06O`onh1%C7n?>^dO`?5`KZ-@W1G;f#F
zH>R(4Pu+a|_^G0ti)XeZS*YjKM0T^4W>!ADcPr|9`Mqybch>Xo`MkOM_QOpAca|Rf
z+k8j--@YQbTe<(H$^PF~v#;^ilEvwHPoL}AoWD~xJN;{FUi0r7>Hn_m-4Ri@@10UU
zy`5#}o#n096|RTo=e)kW$th#H%r^09(etP8=P91~`-7#&zK<*qSLxfFw)r<LD%|pS
zL4DZMcbnhw#_eCdwJ-TzU}k;M@1kgdH^=78ZJbegJ9>L;=-la{@78Ufp1SjG^xA9Z
zH^1t;Z*;q2ezS+spEvBK>lU9gk~zNpX6x%M@6N9}lPo4%dap8i=U(22pH4r&eR@M*
zpVj?>=+NhuZ*NZjzWr%TSpB=#y{%7woSgpS(T$?H%YWz<<}6%$<A;ju7e4zR9}eZ#
zzxwmm=J3bKSuf;ruKap^;QXhZUlXs^TQ0X<eJy3i=b5KBoMYc<^0hU6YrFi;ZTFsC
z&;0+??oTO8X=LyGskfu*gI4(4+)0?Ztn9m4@z1OCucrU}V_G3|C3gGY#Kel{(a-L^
zI#BdSH!J++bxqq$4&Nhu+ZAi-9$pQN-5OhIW6!y?K2$sZx8wR-;d<M1y7`RPe_j9e
z+w=GxZ?)?m&fPvYI`Z$db-QBfg1nAjn2;Y^dvp8Si;eT&uP~chEBUXoEjHqLDa+Su
zxtUqk&g}mbB%f{l=eS<$@cl#Ar@!Cwj<3G{dG5{GyZ61F>?5VRc<JeaddYwLRvE4T
zJ7wN(ldYxmFUN$htv_pZU=r8wRp%E^Ie6~In?EyN%<9!OQJdGF+$G<<F-}d}cgs<y
zv!6oG%~&gOI;YoX#!p>~jMG=6I#+03>e=||tImzX7u3DCe9hV^*E+NRT$o|n88OS^
z-n7@z1xW@aZCxwgByY%9JU#7o&DMRcYTC)^6Fx<!sI6(;<{@l0sp5rPz2>G(t+SGJ
z)b5=q2uoeFMA7$SbjpfswN3Y)3e9KBy=&v?#?RPnax{J7);P6IH7}z&*G<b%uJi0#
zub=$rbWD<V*rG?Ty(2xF_w~hA&6L0D`cTg|Hrl-JD*qze)7AO8M*aKu?_vJ9{cetK
z0QXNJ*Dd=GI`V%{|Cu{4dRuAe{P6n!x$~dq)H{{>D9oDmFl_g!b1zS=dbw(m*NZ;R
zbB7)u)~yzv@8Wb(^Nknt_Laxd|40hX|9HG3^US?P8VsTre0>|Mq&CkNkhHUBuw)2m
z)fRNWdT^@5lI6d|j?SKUOZ%dl-Q(FeGaoNlW0W?zE+cEv6Ok3|W}YwdIA``uIvm;a
zHm5$m)8Ub^+xdUhY{up0or_-1J)j@X%h9x1{z3NTuJb?I()KHy^Qk#zvF1Ck>&7Pz
ze|ane_>-5MI=Af9xz&YpQ>%Bs?rCm$Hzi_aq3)fm80*Fdah*vu$IR?}GW&lg&Ny{K
z{MWMC?>gSi(NOwh79Xr&nVXyQR;9~v7l+{es);7H^=%Ix&fQ?TuCQk6N*<3Bo9xWo
zN`%ymw9YPHZ8D$VCec=<ZSG;;Wkw2VXKrsYJ|`%}Iko)Jy5ckL%cOnJhxG40XYMe|
z!^^HV<=4WMnX`88IXJVQ&1q)YuUw<2Q8%YN)st!CZ!(YlJ!4K&i21zePbV+28n-6A
zno^Z3!qH`TyOpcH+;`2>_a#nm<*jzHC7=2^(|_5OWiKyon|^`EQTzhSt^+ft&HZdV
z|G-|yYkhgG433KN=g#vqCA@rfJ%xS!+P!}#+ID_%>Mog5H0Mx>O!E4Y#Va}AZT~#;
z^h@11|NL2si~0>Xla9^WSfIUFSYP$YylBf@v6C;3RBvSNdmgr9%H_2Bi7&)WA|6bz
zH?a-elsVa9&)jQ^x1EyPdRf5tJb%g34Pq}VISfC&yPf&qAN#?LS8df)CaFXo+kQF7
z=K<Tnt3S%5y5tr;VY~A?_p8dJYwG`-`BxS^UXrsQFTi$Ao}bN(93Rs|kvo_>n8Lqh
zzE*H9Wit0lT5`hUx5h%pY3tM{MrG8C8>g&zBUk>`dRyq{izmAp&+WPN@#rrz@5@W(
z+-Kj{vP}HS^~dW9?|W|LdYrPbLfxt7Pv^OsiSASXyY5@B``St9tHvk4TR*a6lvnpG
zG+rN`z53Q}p7$GmstB#{Rlmn6IP3cS1L9XQ*>~PQbn4ZwKe_Q!IRyXxQjof{|MO4F
zx4&!ZtEbjY+v$J$`p?kRFUQ4SdoG#vta4%RoPXM%%p|Wpw0qTmy8iy@?f0MFH=Ea-
zHa$J^>eI^boqHxFNtFt=E#&zol^b)9FIZ<wb5G|I%|%8L-kWEvHP%f(GAqy~e#3+{
z1&ig%YbQ?A{Fw51vWG!QkC>WHT3+z|@~h>`=cZP~UcFxb(dfO^tL<09rvAS@E$7wg
z)X48b@1Nv8PXEn)+<1Cw#ow9x*Z#hD@92_1m3yA?mi#)$??=yI&sBah_fI!}|KI<+
zSDlI9_xaU%UCk{{lP*=N8`(1yTJO65@bD_@tkr8y?OD6?#)^ZzCOs-_$y3c9^?pCh
z%2~ZsW3{WYcB;}@&gRzo(}G=rOP^hDjIGlvT^I1ZQEw@`K!X6w#Aj|s@Ap3S^f%#N
z%iR4b;7P@a_Hzj~T~ns)XfL0!P2{rm5y5wSu`DeI4xGuCK3$^jepxV);do(8c*8pn
z_9KNd<*&2m3dT-&_r#*_^~I?>><{$@iR3QY>09evBB0A#^myBD^V{6H*7X-{S_)*l
z-*;|I)0Xg$zAgB&CT8`9`@1rKxAKUZDEas@S#UbCDokC><iNw{HQ~M6>Kiqid*6v0
zr6lOEBw6_zOqp4=GRDw!g}~F}+>*K>Y}Gqmq-4I-Of}ZK-V>^nH1)|2p;{3~mwv^H
z35JqJ$Cy-~weno8Q&bjh4w@UJ7E)iq<ZJ!MVaDI4S;jXcc3;%e^FNl9`lgN5>vZI?
zb9Y68zAywe%eXKe74};)V^+q)CN>|Lj~*u7vd><6@a|GAo8eWVGV3VcX7+yJNROoU
z&K5zX0I$9R7r|-FM;bgX@J;TJu}xuk(f)c@5WkZ9gC_s3mF&0M#AWWD;>i=)^l@Q5
z>%0t^T(1<f)sojTf|^<9y--z|DHtezMs059MxPrUhYqbR(41SYEE3iDdfOTOJKrRx
z<y)`id$}Z7QM4tfp{byKjc|)~%1i~j$CpJDINB`NXSmi(Q@yb;bGk~$c?+3?;*0hf
zJQsDWIkrqIDZuc7MAMHRcYzflS_aQ~?FH7`)K73)dW7#t`k6-zTsr0g^9{Y3)dg6e
z?a7?k_@l>P!eHistE`WV<02J!4$cW*<4|)<mDNE&MO|Qip(f*$od@Sk?{TO(ro&P5
zfPIsk!2FG;7!9qTi%K(0;tAO6a8)9TAxkuf;g#=OQPGc*%bhqK-U?@(S5Ro1yHUHO
z;j&(SgmwM%wT2Eg^FH=7&2R|vnVG>MFu%|@gTeZa<@<ikN5<2b?pUtZ?y@L7woX7K
z`i}MUQd`0K>3f|0-b@hLKlk%B6T$iEKEg>#&w`KESiV0qvG&+<)fB_4(?2hA@;V}4
zacsTil6`Z`)0W2+Im``6lL=UTrA;I-{v5Z}!rdAV1m>szsjoVmnIH70=-!pBE$?O(
zG;8KHYlh5>%i#!Ic_GI6)#=LMVBU?ddg3!Z8spE+-gQA>{>SpR^8LTtB_A1wO?|j!
z+5P3aOjA0}N0_#iFTQ8nrD*q9KG9<B0^QhLE0ctZjr$(7>a}R{ul_Y-$A<7nVigfr
z)!){Lx$1uTdF*i4`SL6E?+%$o9ylawBbaqN;-K){yd0@@OgE~8PP$Zw%xDtv3FL4z
zx*I*mkujuJ<yT9;%iImYW!o|jZCk5pZOo{0`HK2FP3y(p25U8~?`t$pQDx+G=WsUe
zkz`~!uavXdb=mDZhDuvfHiZAGeIj>gp7Vs>HKw_bD><}S6dV~2@!JG))t~#QcKL&_
zuV#rmgM3R$)vZM{n~e9L{Cj@pX;bU}`3o-G6|q%_HnF+U$oFLa6wUufiXL>9@$gkh
zzZdpcW;p-GvEnyu3NshkUEK3`%jc6V@8)e_oUkW#GuxU!6W8qVm#R?Op(>p?Te4!2
z@3IH#%X}m&lqUFu&OdQ1slY4O=<f5E^(_b0F25H}*8kvQ?6ZRDq{yA58MlnZ&#dKT
zV>$WdOXq`?tn)J3at)+eC%xS6FLuUYerA}9bY$bo<FS`zBl-$M9(4Lia$a`w|Kzzu
zxOWnh$eaV;gqN>hWo+E2UUhfQ|5a<W*JwCu+*ah{JRp2W`G$VM{f-6Y1&>26p3f6*
zs(V$xOt(vcBlzJzhKwd{{+!Epxf_}1b+AS%R32sYzjAjU)5-h$ZZ@b#H9jd|os?@*
zz?5D&t#R`0B%X>z-&&%twM{DB-=MzfrjCR6@7v3^o-5RP(D_6AbCkZO0VC%dkrnx~
zIgaF=xWVh!_+-oJSGsB4Ny59DnV9Br^mcA$w^+cqzCLrG36H_iIfvxAIYJdWf*e*b
z9hB5M&@Xa-CQF07W}Xzwo@GBT$vn8TWX7q62@APWZrSrZ=**~7IjX>_aDc%lp3AgV
z-DzpqA`x|yE`!uAN5-av6;ZYiI~}G9uiqKC=thfrRAorDtKq}WW6ItYN~$&&vzG;V
z9!c*AQv5itpr^iN*^JrB88&GVEK5GtoN7Cfofj(5tL}2SxY=Z$#O%oX4Po|n+yAzv
zWLX6-x{>^?^H-Yaj+2u$PHFXXrgxlTo|L)cXvm6N=6{9TSBt1g2bZu}H_Gp5a=g6r
z`|3|QK~Ez)x5?`lhdtezo_PDGN)XT5N8di&@SDPG>T-Uq=I+h6>MMh8mV^eHTPw_(
zx6Ic&+_dZE3YM35pBh`P6mU*UOGz{1U}%<LU|=qana7^xoiEbBq+inG<C75LQ&8g}
z(dz7I<RQV<!kC~SA;8hh)O1P5hvlJ-)S<@Rj&<+-Zz!s_PB}3}WRi1MVb5~^Q&(sH
zSTm1Z<i1_BlrjGr`Q$$w_3iz<M&FN^)jfFozklf^Ue0LC%2f4@M-9G}^-lFRJNVvD
zR*b7BY{6u$+*gN}7sYaXSt@P)bFtp$O9l!>&6AaxHTyiw8dCKR{@CzJ{b+3wbM6w+
zc|3k;277hyc16dP6!uQlJMyp3b^&w7zqh8I5wXG3+rQW|tlf8UN9q4_mhSb7Gqzs8
zR{qgb{M(MQ|NDQuNmB{jUB7Rx;DuzRpIl+FPu_M*c!oThyP#|8yPuV(7B90AeetF1
zOSzq_XvgMq`}jz$)*a$(9rKpl%W?K!c=ulMx<i5Lkva+`9NX8J_Sm0kuHy;!d9VA?
z$7SPzb&Q7XzKl^TceA94#&Shl?K$^-bN$*Tu}hOU6qhbs<GF;P`hZ)h?Vk=;j?A*B
z2f`aBzc^*dc1W%`|6K`>%S(Nez(<SU&iSw-@Lb*cN4z^Z7nIELV9h?jw$JLP)>oc8
z92Eg<M;)GiwGQJBy!5zQ$5}M#wuFadYGzJZu+hEKtoOdon4>6uKmJ1J>L)#Iscbuq
zODhZOIa35rs0+zegstK?VR~pK#-hCXTeB=<vBV==x%Fu)<>F)}u&5txQ!_s1=<-t5
zLN+c&V#AJtxu>hn{o!FWeqb%|^li*}?ZWCg(i0B($LsEw*YLH=$Um;Q?eW=5i-Ob?
zO~u99R5m`zE)`tvGna?&IP=lRzwFDi=dTj7_V&GCQa`oBt}Ie|Cgby`zhb(xeQggv
z{8^yAU~go?JEKyjiAP$Nr*7yq$hvTYYc}t4$7TAQiv!I!tzRb4WBy_0Un}{Ui9v5K
zzMlM`jra9;<<3~=(+Ux@N-w|Q5zbVuJl@TI-pX}@O-l&NYreiGH%kvK>-(Vi%e_IY
zhIJ0xLPpo%5WUFyUluVlr=?tYVDDGOG)v>Hlf`FA3+B?!?ePq35$q3@EbU*is)V!G
zZruJReMU&vmLDtG9cQh(aQwo_yx9+4#m#oiyl-77sWQK@xND)7=$5-`IV`FB=M-;_
zvA=zVVG>u_hmAXbY1l5*G<sFfubvxHx6Q=$*-a)c@okj~oGxh*arK<bjEoOA%ipou
z<CCyu1J|KlUv8S;ROx(hZ+qjWh(|3OdrqW&s%c28D3W#Xa(zFoeBr(q;Y@NW)4F%h
z-h0<PWO{frbNAx|?;J8sUqmd+^Wv!vOMkHA{JA);35$N8oHFULeum5`!2+ghXVm4b
z{O_3M9}Ja`+5Y_13B$SVO<&ZH)VJKIT=wTPZ^FX~^=(s{-MD0m<^<pNS`+g#yISnv
zVu>74rRff~U+(@dP&D&?_>50)Cr2v(haXqhd)Y9tnoPX&@TB!~DN~mdACLczztpWE
z{l)F9ke|xS%<J2%680!<XIZjv;r-Qa_cq>Ziez+saPmpkc8)LCgbbfMF8=U!jwVZ7
z{S%FymyHfQKcBuQyz3iJw9W<9b<@l*AJ!<^UMnmaTT~Q(VadeerE06!81^@7Y%G`K
zRhB*VOiaOTkJLf-Ij)60e7C!WmbkjTf4=t38;i-S)=d&suoqZda>w3{D=&x7>CfBn
zU40w+vZOl?7%JE@hn_ick!MC8%W8Ix-pes%2Se-Ko-E&UU0SfYZ$jQeTbJ11b&ihD
zq;CJyEhtI-n95?)x1`hH3B%4m`&3yZUd3;ETKUrGYSTF$(cIl7Z3|BMnDS_z*mvSp
z9?!$~s^1LQL^fQUrN*|hH`6Go_n+H|vbdugIwgYruFQQEqR{qVVr^Q%q2ugN9t-iQ
zx>#{~W}1u5tM5HKLBRSBpT9{xcdNC3;#AeObFbZInH?{Ycs);<vouIq(Ru5H50g)A
z@!)hibKtnzKJnM0++rW6)lFT&6K>$t*!?;*uJg0nJyr{`<}F9oBnB)yk;L<kkLTTz
zwTekXdl-&ZFzlUqjOqML^?lCAe&vSu{LySPlgKjL!*L|awO+Aa`B&1!O^Q{89}ko~
z+jdn#$Wozv{fe-{hE2X-14=Tx4#my(6`pj;ZMlK&y3&;s-fvdOnZ0Fh;Z}#T4@vv~
zAG{DF64ev&?!tzXoE~$|D&|CczR$UP&B@E8V6*>jN6F)*izh7nuyBQJ!nxztp%1-&
z8tU3MPO&{<(DVGX!p%M9d0F)($L$_7o%zkQ>vQkYJvmDhY+ijz=h<2MwPc>R#8s{x
z(>(neH)bgB<1i|ge^GkzsE5SF<tI6du88hgy1k<NSdvA>!$0+N=l)AwGilv}mi=D!
zMj9tY?w`m!#I?#b@|&R47imt@RG+7Z|DVzdpDg*)X6Xl}Lpo}&4k%teRh3$F>V17d
zvWGY8w1Xl4T^D{R*4TUc)~O=tYf_o6Q~M{mtzew5vOw}YL;8}Yn~t2<KCiN`R`?V!
zWt-p46*qH>v=yg|us;gsnROyTypx6L;4C>Q!Nu>(S~z$oKJ@qbRq?EJcJc-8-TTg;
zT;BS->Pn$NiGLxB-dC@8kDBj1zQAi%EY|cfyPnB%hEqyS^w}p%Ctt`YY1`Yjk9)Sw
z+?PDsM*DsVueFXc?K*G$@2dJSr^3(Kd>)VbuVg)#ed)$T-VYjI4Ypj*fA`UJS&3NG
z#yRR;uR5=k@HYIrckJdh3Bj#qcanY_UN?8c@>0X?vlJQEEjL*%qLp?(<>9;nkCI*e
zF&|~bc~(wTseiWEm6OHAwLm+h<>X<WRZpa?Lr;pteJ*C2C>ZKiBKI{-nD?sgZC9RC
z!put-er^24puMd5we&*HCAB)HE)8>ixf-kfteO+UUoG#KJC{vm;)7s~W)4k(r6QHj
z96}v#?7ZeVk^2Jwhbh(`CCW$GXKgsB!8qNG|8)8~{^S`c%9H9<rT@jso$*MJ3uF=S
z)mkVne<7l}ro!flYE(<-4-r){w!(SalpMw1eQAv0KN_6gIq!vlhVQG-)ptH~-de^O
zu%*#V_mFo^Z_e2a&zEm5`AV{{-h4hWW}2=139kjdcFUxdH<(wtOq|R6hjp^)m(q^e
zER&AesGgmx5O|qioI%g6eu|jwb_=<KW-ZsZ<<;ay%)5JjnO{vP6N9*#kHnkFB|EeV
zZ)W_GI&`SRbB^@1bxI{>HtP>@E_kuKU(Dj$Z4sOMB4VOZ^|4b9GgUp<ZPmygrEhlb
zf2r;MX&;vEcv@0(#Dc}wfPd=RfEanlN3~OQH%EFmuK(S>$0L4=*&j}3=?C?bTF%?6
zwZ%A=?rG6iH2OJXUS#^zzDKP$<Yy$iKe699=Y!C-h3l^}wO0CFlYW1{Wb4(hbN(-s
zQ1P02M@ZO0N<d0R^=6D(M&Mo>)j;uu2X5+jXKJ<8*1bQ+bmF#wkK3bf3i%4{f|Wuw
zX4+goZ(LETDn0MG$!EF<%jy$pDObW%>RsnX-M6~4eMzL2nqc{Y<1tM)9x5#iJguNy
zojP}Z+?nH*mtWYfeCKa}o8@myFIV4+P3J{q;<g;Q*D3vx<-C3&L(ivmhyFPJUCG8Y
z$+xkxnZr$c2B%RKuf>{fttqK}rpboqR?Rtmep*qO!v8>xjW%8_85TP63*OAzx4>*_
zO>jVcLV=xxTc&~7stJn95?1>8)+c)pE%+qHW&W$Wi|Oo7owlPH>F13Lbl#jleMtDa
zy0PU}FYVp##v7{aF6<XNReah;hB4yx!Ja$6=4(w^X1>U7d;RC*#?w1?hh;54d)C+4
z*j>{-VL?(WcdPxfEo{x%%3*R%<}+eH8HxF*w@RgLsGoGmQQoDqdGplVV-G)-NJ`Z(
zo@qGw=7^WzvOA$ETW2o%^KPBM;VXL&FJp2Oj{l;<c5sSY-|ti}K1tCSp*ZKl=09)k
zH!MD+mdg44;gNfrr;1J5qrW@+<+&%qvHiUYa^a_1F1fvYeMf2O@fCU#cZzvhOFv_e
z%HfK>`y=6c1OHpu`eky**WT1{=SzKJk$3S+uRxB&l8&QID=U(0HBSny`P{3Y*|%yY
zi(ug54=yos{t-u#6iaWWuN3#ReeT}<fRS%D@5a+yq0C-0n!F^E4t6)Euf6$WU6Jkc
ztdz|`3&kg2?NO*a?Q%3@$DM5pHSAd?Tr!>cw}IPZ)8{~O^$kDHx3kqJH9zTGkexQi
zsBQg24o;SXY)5(z+}zd3$9hia=i{>nG85mjtf_n`!!m;}<kX{rC;t|4h8i_Q7%G^i
zeG=5t(s9gGV{BWOv-?wjU>sMjoX>-KQ{5z2WU@?}Wtpt}X<_fIlxs>ic$yq#z8GJ=
zFzwS<w~y%zpK1e(8Xh`4uD?IqxITt8qrqxU0RN=ogGOApR!FD%z7DNfCAT)LUYmK*
z0cM5MA4+Pp`Gsy=ZoJC=Ek$K@GyjvD3y(6_TW#6I5c-{A<{ZzCL$S_}*5>V*z>vJl
zHLYFA(Qsa%`|HpL5jr}|A-(c87qjla$@LDJaCBZ|iTr=-4NoM>W=4C@71WeI@!7xL
zTRZDl|JxLkH{ubQ6ZYTx5h8ul^Sp#$on&wKb1l*Bd6OGI7ir%;!6YW--l^#FAt$8m
z8AHR&)X4|m9of^ZztQVOQ+B)K=ZVukbooZuh%ofYX?FztSA2AP;olI4%dZ&?JonD_
z&tb^_@L)m0A8wu(*;>DUI^+uEn|zHF`W}$*qyG4@Q}X3Irap5JowRjrwO8Gr)X=U1
zM%B&2E{T7Oa;|%+q}=rQ6#H(Xagwn!=j4lC5qXJkj(>6tzxW`;W8pKUdlxcn=VnHl
zEa2I?bZ*uUoqy&bxu5!G&N#Yf#{tV&9(K0;2B$ckWlu$0k2JS*J!d)g*!9l3eR4CF
z>Qzg#e^~5azw>o*O4lD>wFKwXhI%HJZAw2%rhkbJ``o&5Hji-PpQ)3NC^W`?XP9LY
z6=%pQw);q(LX>xTdvJ-_>y#O<zHj2xU9vla^FwYf!_lc8+x3opdlEFy@!}@d;-Y2=
z>Ep*V6m~xU@Ge>K!mFw~yF)crUw`44(m$zaj`1}y>+RnsS$o%?=zrG6Q1V=$`#tBY
zyzN{?vzOfpVmh0eWM_DJubxs$_m@4FB1Kp3pZqd^MZev~)di=QHn8~Jbv-`CJf_9s
zobKa4?Di+boxg6%JG1IZcbqXBi)s9l3Hx`?xi)=w@#n@nv2XPpboPiJ4u0}kyg>Ap
z>j#OS4;ufpiYXN=va_GC*rfjYoxK@_o%<hLJA7u>U)3jDYxiosFSVS0<*AdP*{W9@
zwL4ZyrGL!{WHk)Wt>5l>_)gxQeQnGqHi#{sd0%4dl>cuJ32pQ|72>ISp(N$Ds(QAe
zc${cV<kg*X*K6Is*=3~dZ@Aw$G(BwHt2?Jwp2}JF=uTZ;aHt=D-$eDt^BgZ_y{`BE
zwPMz^eNX4Lug-lPlGq)k8#rb6txx;3YA>!<<;q=mXqTk(mfx;#_}<@}n8g*fXIaa_
zXSaS{G<+MmD0QciMa`AKmE!qYLf^UPtur#0v$gqBbFX2^`3t@rzhir+EuCI@VX4Eu
zaPNBUM|!5VEbE0BtE|#(7F}BMp=7qkrL!*Hdi7^a@-F{9`RP^{)8!SJ2c2iAt9cym
zPT6|A?$G0uRi>A3?k(vQ`7?Vt?-cHN*O<IgZ-mI~^g44O?Py~}&jpc7$9Deu)utm9
zd}?ZEZq336DPQ~-__|q0DQao=eK^tbK>WhR6qO{c3-${FnORagZ1ru{R5<^Cv&et$
ztobTWy)JbxtxvjV?f1n?<fH9^B{SZ<(<+kMCYXNt#`iz#{H8uTxIkSl*K@!7#siZQ
z<sHJd2mbxxvE{kf%ACJ_i%qX>RuufEcJF4`w6hbY2c}ib^(Zssm&jK)$*9*6H`rF5
z+M?Oot;Oo{f5L=&nc=*%_GctbTbWT%JB9mR&#m=SZ}Kor$h|0CUw`2!yW`Iz>qVFB
z-+yEACDAh~mm)PE3BP4~6QZ2%xn;)RP0RB1EcR|>WGoE2?0)0b1Kruq(bslmt`MHm
zd!6&T&(3X&GPVZtfBbvG%i)jb7nT(do?PU+buRr`XDVZ)Z_TR(pN?>;PfXA{D`&{m
z$h~amO9=+aA19;i*Z4#(EI%^0{wvejS$j5anEdpvhV8n;2X2VJ`MaITc+2MrOiC+U
zSQgD!TQocOg2c|r_G{v7Hcu@uT)T0DxGRTF<tlEci_WGG9=&bwZLKg9l~~1Wdfqkj
zxTJ@$;5@!HSx)D>)6RMDGE|)Y@!2<#P4S^~(JG<+E6pw&yE28Arj#b8UH=qxF3zjI
zP1AS75o0Ie#aj<oC7hP*Yh1y*#IJQmf$M=+aaVqQ_c^Cv_jt<Pw-#26?*(jkUS2X!
zpyA7rKa3x^4|Q&I-ftFu;;352e(RkMryXp>H=Jo>zA9(+`}cu&%WuYc-L-4+=D0EO
z%!A(cealX9xs-l<%UW&GZN!kf<bkZK=&Qvul&93I6qlU4q<O{ViP&NucIz23I}=&`
zmv9ENtvKd9PsU1nw`=zqxs91T*M7zd+<w|5!EoH&?ZPyfOTE&%vRvUE*U$DF+CC7k
zo#{ArSB~4eB>skr1$NJ`nI*OOWmLW^IoHT`M&!z+qlrDmwq@5YiK;*Rl-PLW&*z=f
zQYY)bH_lt7T7UD2_wLSuNee=h=R7a}`0Cdmu@`MB?8d(WDmOJ*@l~&VxL<Con2mgx
zPR;WBH+~d7m1<s>EMELq-`TTdYofBEr(Th6@mkJ@Mv1rPTs8E#a<0UyzxTqdeK!RS
zrsf2hRUdf79P!6o+2*s-yt6+y-*cGxXXCm57sK{9mp<NVz2pLC{XKhWjgFnxtoO^^
zj*D{izhdLwm0uFy@Nq`omZ05k?b;IEVyZXvW!Aljo&1`~Y4NXvlkOSrT$f<7N>X3b
z_Uwrcx1NT|yj2w){5Q(ap5x}|e!&)2b^pyS=7}B0jCGD&W8{^8^;PJ5;OehmmaN)z
z(q`87@5Nsg-`>@_5M2IN<Y`>}JFiQ+K0R}*mR^~{x@5`UThgBoR5_Yy{|Yz!ds9Er
zt!mYk7i*bcU)a7&bjyx|zh1odJeG4cKJHbyZ^O>>ejZb-9=G;LO|}hgQa;d}E-mN%
z#&zaipH~Ha;(v}7D0FXc>(@WHW8S3Om5ZBB>|J#s*Rk3A*mo<>x`p|Dv!q{!T>DU;
zB`@4l?Wel4F!_8v1CR6n@B|Omw-(!`{5c+IU78v5ea2tS_}wS|_D98pP5XNOZj9LT
zPAjMT-qN=Ui#-Jm|2gFd9VqL6Gxc?pK?S$z+_M`dstJ77eEaYJ^!Kl4Ri3=^54QS~
zg8{VqQ%v@9?hytC1{+2O22lo1hLq`HmzX5$L2EyA{T%ZSIq>ZHF1jx~@}~3+hH#}2
zJ>ErMWFikP2{V_@jC6SQdiIoM;;$y=W||m(bF}8ojV*dqb@_Oe^em0JEl27e|Gpin
zH1klDg?GreUq?NEZ-^?)vHPOsz^brg;X%H~f<NCcvp;5a<L&BCwY4|G);qN6L@Y^l
zn{w0eabkTnU+9%E=HKS$&#GHIo_17cIqS1YioNwdP1EnmzgIG`m};YZNakE;bmar}
z9{;G8AitWAndT`TcjBEc`6VRY>2FN?-rW4}r8La93}D|*zi=TTh?{{Sh<mc4sd#-+
zN+QZi*Mult*C>WxWik@(A0}C_pRqPDiZ9bxsud~Y*LV22fy(}xCs%g~t~@YxuVjie
zm-$Skrh?q~?*06C_HsJ9|G)VEF7HCE4!<ssg&v*`TQBZcnjo0Vn9rEPSX<^Az@PDZ
z!ZE9PKRz8emA}LP?A}j@JnE%><(yB|_;FLtC7Y#8qET;(&#Bkq+j95ceys9re#G<A
zvbeq8kLJC-tG&qIW6Pw%_P%3Hn`*XQj|nIYsm$1#!Exsv^U;P=3#u}&igZ3b)L3P6
zyM4i<6^oP#s>MZ*^QuaOaJo)144rZL^h)Mb#_jTnVREmZm_>$Z&Jb#!b<}W4{a>Em
z(u4@rpjFenmP|S6^63koZs%#?Wybf+pWV!J<$u#)Grv83?QV1J!eg=#TUhVxV-`Ig
z@jNt9Rju&*p|gAay}4gBUVZ#@r}g?bnHP^4u%BnWqTl_=Lo#f6-}~U{Huv;7_lCzk
z-+uVZi`bz4xTOjgzD@t+9d-5W50h`3+WKwkTNGp>UWWT8MCwj-=AU1~`YZI;Rqo9<
z+rrmu*loM!lj5oOKKs2|%y!T4nj&%Zr^uas%QCmGY1-P7Sa)<1zhd)g^RnXfS9K5e
z^PS#jIP;wPq|VUocA>Y|YHo3E)Yg&X*J=O3ykB@x!L`!b=;EcXH(6Yp>eo_X$ji6>
zWcGDc4(@ufDm&e?qPwG$W@)d>Fwkhv)qb#5^65#Y%#b~Q-t5SDFBd!8-GT9f^kLgQ
z(%tNFEtjTPFz|klotbj`wD&#PY5FqVHcRKb`EL$+V7?_U&Vk=Ic;A^Vr?WrL%|5ze
zn_cJj+iT;^k{{S`9pC+S?SJ0uk2*^$&Y7>dIqmm1q2`1a-|KJ2wRI_LT$;x$TbU#~
z`O3r2x-It|lJ^LAJ!Jd!Ge`dMuRTr*-N%_lE4QtGv@I#q`oCSkn>}q6@t<_!MObp}
zlj7znUuPF#I;d54@6*kH`vL;0r*^V5uhQYso66&p+16xpyF0?sQ8z|oreNkd8{yp-
zE~Z!W&KH%<)PJmWxoctl{Pf?FT79?9m+Z;a&EBDLtugRodiA7j4Q8K0a_3!|%K2r7
zpOK!pM)x;0sZS|4HarUW{jI|MBi~N8R~PMEk4|)5WhfCN!jkvj@$uaFh?U;5m8&ey
zpIqamobR+b=eM}`+fz5sP58s9Yx?dR%Q>;~n0+PHM=O2V#idI3`HM_yl&XLF`<wWu
zkgYEB_!jJW!&q1BJp1g~@E-@4zKAHiexAqmg2rqWw~JF}O^$e(psKfh>Q(7SH`d;q
zrs5yB-E8Bf+`Zq|8qLjobyolM#vPY3?Ox~>ik;kWAlpIX_#U;}m#(cnyIc6rvZmL|
z?rpj{+u--9Eta3SGfotG9dLhet}p%j{Fc7@eeZuq*vR%Xo;rM+t5SsPfP?wh{m(w-
zJ<ai~d*GR7rh2*Z^OZg2hgYTEvT3?~Ui{wP$w4a9e4gjH_kH52xL>7uuh#nbhTYzh
zpF-yD@#;vFx~ji_%^u6YB9p_O*38=#7Mpiiq?7gVdM16QVz0N|$C>uOT(0-lbC2;H
zDeHL;|E{X{o45GEDYu_DTx~X&ZvQ>YVEty*E|rgciQ83<r!@CFRCbi_PqF>^mi2q>
zT>k@i74wDmJf9pNmV0!AQ(VjEFS*w1mq{=k^6GgI8sVf|;JkTF*VDDjDjtL;Ouar+
zb^AL-vG-=$y`Eir#G1W7aCyx$&R%fU#Nh*{#eW5rPipG-r`FrJFS%+GP|5x!&?Snu
zd1gx_%hYm*MOR%O*qDD(SFSJmBzsCf;Dd<8|AxBFQ}P|;Tf3rMW}RGqMrKdTl=OyA
z+$&BTbT@D)KmM0xqPb<M_Cf6#k&~i%IP4ftw%)8wE_)NbI%r<wj;(yVXZbBVDs<W^
zV&&m=`L^4#o|i8>=)7QS{S)V^0yUrOhMup2uJ4Si{bBm{#;d5it+653OEuSjeBdI#
z?e8p`gp=8pJ3`q4SeRTFoPN8bYVO*+a@kYa);q4QEY2-kJ^k9M*SjWO&nx&nZRy!(
z*R1C6TBlse>%L9Zu60jZv+>Sdp=b7oH8cC_g>LUs{2;}<?)0~%#cV>qYUc{nYkZMx
zbWymV?DSKE`-A$5bys#gvD|A@s%caH{HgcJ;tX!@=fVO(Sv<Sf`0ZhAvRmO);w9BO
zMN&$TbC!xHI}@is3sd5*H@aVi6fQ}$CrY>*iZsg@o^nX-IN_sWBy@7h5?+R*Vucn)
zQ%av%m#LMVj6bllxiwT@I3)Or;q;K?`YS@;XHM>7C`eZj6pRR~nXQxPb7T@Thuxe~
z^VL<ac&$`g9TUDRUN--z5O3v==UowV^inp4u#_vUICb>7<-Gr%Lf-06XT~Z#NDzHC
zov(O@omR=ayq!S@f_h9|+?ih=scY@o#wo5U?EhfWnlm%sYJD*Fs5n2(<Z$Gz7d4am
zH%zT}6xi7Q{&HNO_>9EYS*N`Z8-7-t@kOcI*yQiLpVfVPmhl=LYCdYDCL4G_a`SR7
zkIj<Twp6W8*>v&Z(e7&7q|GY>o;Iv~C>8d-bh-<R?K1I_1!glGJH!`UQgN;S(JA$8
zam!1e7@HEO)I;f=yDcKJ7911)QQ`Kj-%f8*&<Cfa1@#9QS~vRLn<*l*_&Xc#qFH<V
z4=|kxKDF5Dw2W=aWzYG`rtYyn&yr>nd0*jz*mcfVJO7uyt2Yw7$g1|HCWXWQ+S~^R
z*1WAyN#xmoJ$KE~o!b^x|9r`LVWqe_my>xz1jmcuwb`GHZLAo@na}J^PkK<lipBc{
zo5IO6|K@1Cvrp^DtQT9-lIXp+Xa}G7Y2~P2UH+$+D?Ik$v-oUy*JWPrUg1O1v#+Fy
z|G8<&F8!)5mE+jU@7JVPt!G(iTJ76hwzB1qbNbee*DX9kjXWb193S4i|4Sf`?bwkA
zGaj#8_x1Mq;Cd$3)V^7(>yQ15Iu+zNBX3Hrf^@<GzMt0~7X5zBzx<tEy+_^bS<`1*
zpSCa#sXyC#v*FK{q_7=KT#8~=3^UA6?s!{LR6S*$=h7K@4Z?-brZfqiX^GpiLo34L
z+}Ve$%uo74kA45od9d)-*UA$Qxs?BXdBDn6((5T*D7S8>NK?YLsv}{&4yR>LsN}fZ
zF8sun{cBl+$Tq+G%w~E^1Xc9!ur92BHZgYzkE?(|NlMJybkSE|*IzI3njG_wyGu}_
zZnF2E8MhgPvKDrn`y|1B(OKn(F552?kK&f5r}^vU`4`CKNXRapu;<SDM~|(ej)la3
z*)rWK#3t~gQ}PADH14o{{GSRx9LeugzAU=0t07KZ+qS`>r8#-V!}*Ih-TB`YE}Cbd
zQ6K31{8hs4ZeOXBix0kAcsyjbn&nlQ=`MWJvp+8DWuN=KNaFE^GPiF5Ih>l!E1goC
zZ&)?Nsv783h8diEdhzVfYn9P#?EEvQ1lt7l9cL*DJ9ujQ>$iV|bwl>r>d2@v{>Wrm
zbWH41*NOFJ+x==487C>#3z@{e<r30d<*~raVTn_{sdjtyof8}@{+&E{Xl<)xo_w12
z$AI7)ucthFV83eZG}VVvS$gNvkGIas@sXNnBq_%8<Y&k+tM}X6c`K8opL+|a@3Q`p
z<DHosv1HbUc}rz3EPC8m`)1#f)vFj*Oxz^#?|DX%%j_4b33tvYyjXJog{JV*@Tz@o
zVQGw?gPe?)_k`7dTQ4+6^u9Ff@fow9e_wL#XuWsVg6779Spk#Z9<uvxWyPtnwW}vW
zDPgL^jQz7G&&!dF`M{Lyyt3tk)TGQwd^=A)juP_rj5)mP<kercG0PqQo-%Y>obpk<
zddlhRk5|a<>^m;ho?vt?BizT4JH+Zy<TO3@oj<zX|5&+XO0xk=F<-r}ZP2Y_!Y2A6
zCJEnMzb%ez`Zw$1q@Y9#eTkw54PLXBM%Awx298qAg}ZM|6I9wGbM#AiOV8Up_rOU`
z_D5QG)J3W)31_nF=4?2Ubm~aXWaYNSB8U3!@tJy>dnGs;&+_;nq?u|btuRB^f7eIz
zHOGJ2e%{ImYmjp=%o51Si>z$7xI2f5fq{vOfkATm#fMD7_3=e1iF#SZ`FZfR`P(V6
z{<jT8+TQ;Zb)Tx%=(5l2*T#FIRtZ8P8QL>i0-hYpOD=eGrYy+n%Kv>9yjdCSZ;vkV
z6yDi6>AU56|GxV_Qu#lvHdE-FAhqvv=LEw`As?dH<G9vd@OLqqULy7K&(~Lsd)B{Q
z7Fzzg^oOHl{nPX9JDoF}ryEL0I2>%yP%>`HjR>8|6#Ud#JiVix<*+L6(gwMc86H>I
z^|l@k^;cGuxFfW3+TCk;nQa>y&X`@>mVQ0a{{70A@&^jNB-`4qf4O~@t!I%)n+jt_
z!}IdKS#ztT^{SOGPmBNhUeUK_{lni%rxQQ)@!Yq#m2kdQ%dK9xbETSBvybKS8ZO`a
zQo+2M^^cN{trk^R>JvP^%tP!jzd>NhDdr=Bv$a<pI25wbP?^z9%6G;LRu?bDgTFLW
z7F^mBb51+JaCbsm%Ek>}SFp5;s|y(xo?162TT`wx>|CeE(x%I=H?J#hJa)*sY})4H
z8I@Ag&8`1)JiTC%*!cWL{ZF>(Q?6$H)_r|dxV`iFl(p3deHN)G8cmS6xvcr<>_)LE
zKOdF;(@nKI5^z58_4L;}OD;3F?YcAP@|2C1F~(DtuLw)%R{iUBuY-|i`r%VQe}^vP
z&S<$J{3S4^Vx{Hvz@5BPO}Rg48QQlR&1gN@QpEI=cd1Xg)%Tbe4yr0kguOo0Ge<<W
zL~dw}ieKQa%4vGmW8<-1RvtT-8Q$#I3}<I6xZb(@U%~z>*Op%Ved+Gc-Ird}W)@uj
zd-=7o+OdwO_Eq!tb59avt9?D&bj!PK2bE8qnBM<@+xhLY-A>zg@hKNSdz%)Wxc%3+
z%N0H<9h@&bgj%{~zfON?a{bYUqAg;g`AHhyldf-0xVuB>Q0Yr!JNX0u9cyk`A6GX_
ze`wD;A=^A!x=Ph`-P>K#ztX3aZTY)8u<AziA2y^!os$>&!ZqOYEnWtOGhEZpJ!Fyx
zcc$OQ-k!ADim!J5dQOQq6ZXHH+p_Aj(ekWiS^Mg@q<HM$aJrOma^_0A+A{W>OwTo`
zr|*24|9k(xzt`{o-y`z>gWZ*Xe)e1U$Nf03W^w;V^xZbCDf>Q@|9VsFU4OquQ0vz9
zU)8m|>bHV|SD&rb+qdM{lMjzhoqp-^f9cnEwq^C##lv?v9j;%n?CQ}_seQ9&RI9$f
z9?;%3Y2D=SFYd<1T=@KMYEu61$8}*J<oy15*>BZ;JxwaqQIq>%_4AiMcHjTKELSfi
zzw&<RmETAH?|Xbto#UJIPrHx%p5OT1`QO%k|M|b~jsMlYtCxRU|ET`F;Rojb?7dC%
z6=wD{Z`qyZtjbgWv&-%v&&sJ2@4fskb)%$Udb!l~@S-b|-b=rC{H?upZ}J^A?&WfV
zGj;P*cCb1pd|=w$vS-1HbuP2yzX$(cSf}g$>O}q9hgUD>`<CS|Q+k*(f2XJK&SQpW
zZRfT1)_B|S83sJRQ+ce*(Kt_N1?RRo4;4P2VCcRvty1Jg)oHt~CbN15rL661loJn&
zo;6hUNxZdWe}rY_anadqSHw+M?q9fL)){3dTNh;$^Q@Bz&U3kI)XI6gcFtL>++aGB
z)8L23sZV_?8Uop(j_W;HwDU^+8D(|n=j$S#8Qn_umJyFRQ6u)WQ2JM4&bGvD3um9M
z^K-iVJ6w}Pe#g0Ub!J(aofr5X*FOxDK7GFUUDqd@s2}<(S}$;9U&*&Lx}timZ26wY
zAJY5p?%e!3aBJb_cWW4TXBZ^0pINUu|LxDFjlV;;++S>TURnP5<wb(C%QqhTP`|0)
z&!%suyQtjr(4QxMHoSPXF7J@ef$C3vf)1IVXD$%U*>=WS&g_p(@W;7ZuSjk<VY60r
zWxcdrX?9In)3N7!lzAF@C5*$xk2xCk6{`H6n#^$dRzvaAl%|_)=O%AeVQs2x=vlaS
zg-Ok#{SyVeKOQYi(p>d?jhf37As3+y+Zl9Td8~VX_eNO!ot1lbPu4yyTy#o3UT9G#
zXLo}hclu_z@3}s66aLJqJa+7RpUe!-mv186-!}Ku_g@k1%X#$p@UF+D)8+>7>g}2B
z9CpFIr}k}K_WIfl8ylV1UwqRnT%)}F?uSG_!HLz@=dS&JuqblsjN`@=OnpCaJ?iY|
ziL_qEdw$v)9{JZPXFgp2yY8!O^JR%$%<IzLH}gE#tL4}s6neBt<%aa<N435y7BQbw
zW?;}#{l6ksNa0!iT8*<O>|Cmj>pWcj$!yQ|pjW%j#&s~<zWe5HfYe;>>~Fh_7f$*0
zp}9&?tu`q>FC>|_Df!ut8Mc0jvBzd#oY^no71y)xoVGF}Yr%S<4GbM>(;8-<Ec~}(
zVQ8MPLn8Bv70KZ%FaG!L|GhA#aaQv+&s#UDl>bUJ{Iz*^{Ald<S(EFp7Z^3%U)XcP
zBK_l=w~u}D0uufhNy%Q*e|$0RpOKODoA_hbrHxiG#H`-Aab?;H#;s?1Kg<X?XyLrA
z#Ngbt1uS8Wf;D?rmZ~l}Y;}jn`NkPjrS-4cvMTI2w;p}CaYc#AN3N;c^x_^)-tsW!
ztygwWa`B1ho18A46%Fp;kF7Q<d{zH1>*MrEQTH5HESY02uxj-S-ZgF_U)dhzTq%8e
z?%d;j)~#JR+<M7TQfX&awYA;cymqeP{~J$srCmz=cJJXVn{!Q{K4)8T&0Kup;$fL~
zv)|7<RMyRZ=|0yq=YYWa$CAalasB}-K32`*t&Q_HR{pSSk$ma34UEcrmhE53sy4%5
z@6`J08;UkN=B@d4tHY=Dzw}1U>?CC`W~-YWTg-Q@oBMCAMl^$L|E!D4=4+ZIZ(c25
z_qX$6A^VLrsd-<y+@~K+2|s6dXl9_>*}gZYXY4gNr@o)>yWppLte@VAAFmZwW|?xV
z+%jnKwT05s>idq|SRr_HWwC0$_#Nh6qc=4Zx@Vo$VXJpMET;V7v|xd^wehdCJI5;b
zH`z$vTYqE%=lhFm_y3*r_~GBMn|99Ga~>P-xoJ?{c1LS|{2kd#g*UB&9>3=B{;ply
z5%nPdmGw+JmwVQZ&;CA&I+P&tInDIg<c8-wZs}g14(Iu<pL5#x>yEW+@{i9w=(E2e
zMn>Pb``~$Z|8BuGSLz?%xuo?zyg>T-U#?F%75jyp)%Lh=Zw-y%%jhkxX)~8jJ3k@%
z>E|84xIWIcnHM|3xy0T4eEF2Zp0pi*`9A#*?{!-2T$paKNk`#)R!?Nd!B-i^oe8P`
zo=*#_+~0KD;(hpp^uxcWN*F!-yT<APnpbq@v&+^$NQ%fUEninw<M-pw4gC+ZR`bU{
z5S5(ETGV*)<@{+sS^wP=>|@-v@admf4V~IMgui5TxiRKHI<#8QwwU8O^MzGOW)J^n
z|KPsJZ~XB>@qgQ@Xou$lGk5OHDbATUm+g$asJp7mcbmLQ!y@mTYkhYf*RT|??S6VL
z`qsPKhDki1b?QwDQx;yk`%y~HlKb=XeH&|kPMrMgnSRgZ^XZ@TJB!vi{?t8Sl=8c<
zcK*MA;#<;V%Ozwp#N(Jc^(u}&nLB%HjP7K`GcV42<gCcj&dQ#3vnx8JrFZJJV;n5|
zqN|=2B#Z2ImJxg*rFWrdPu`UK$Ml=;N?iOfZ5#LV{{7pRAM+4?U(eQk*WjDYluC!R
z`v(d?+?-)PK_&RS^b8f-id3K5H)>6eb)24lZs$84)4z{+@1LyL+oGVlc)G!hf=bR_
zzV7K4Bp&BCnmcN2nD%#7fvMY?(-$Q69yraEzI^hc3y+mWwf~gP`jH;9cKL@glkdy^
zT{6sj75V6<WoNo=o@wEO_j(0v^?BC+${5<M7P~UbbV{v$daeJRjdovz=|85M6E?EX
zS^1ZBQ^}owGK-fq-759YQI?2*xwJ%8bJ>;0sq?*Sf{#C5BWS9%pwjGK=JN*gsy9sq
z3l!Nh1Q)MR+nm7~yE6G{u=atYud}M(O!*l3Xj#t%HRIGZ%PK4-->UlEI&8Ma{LR98
z;hh-*%MUp3{aG!TnlD!Q)#+*C+8}vF^JNPZt-7V8QqOPca+@hq5@L}qv^v-H;&J2q
z2krJYEm^ljHAO6BOUv15P16FrUR|8kI?do#IB#i@gWK+t2KT)aos5fP!bFVS)BN>|
zd#+tEa?gm?p10dw>=(;*SNp>$j>^R$+rAhcuUF4}++h9H-sSpiXF1+ii*_sLH-trt
z3cIM87@nP^>*`~i=E;BgOk_B7pX#~07v0w}`>t_HiE*2vs9?ODpK<XLp|xFJZW(df
zsRzXGM_WEOJ(TPseV8Zm@)3jRCNYuqF8(u*E}P(3t;Ml;>xA^=o|44Z5A(lU@N8vu
z)m5IdU9W!MP36@bdlvYut;&;Jxo7KRYwIVv*F<IJPmQ~g7PMDiP&(wD_nBp(zlHX0
zUwvcxjoj5&`L&<ka{Y2yH=~L@`PbVwA(2~|&ixc)+{yZt%j0=8%bRT>Itlag1s8p2
zn$>M%dcdzR#z(9A!-<8Qd->!Ww=6uA*tRA!dxox~;i}gs4eK}G5HZRKU|r0f&$}Yw
zkHQ94(H`RzZ`Q{-H-ipNiOk`W^jXbxN9uI{r7E9*hnMEQ=DhUtoASBi`@YqioQ_xa
zIR5H-go>E;QsvKGTj$MpwR~jD#C;>8!hfHdjZ@$!E1%xL>|&ENhlJ;X+HXyH)<?`N
z(Aj&j|M23id)N-_o!@@HUT_<)bJ4Oc4G~N2M-y+%PDv5Hc;lgA=}skSqwfBSOC{V*
zS7fFcyfBP#T6{E~^R)K2Yn(rCpXJ-$k#r)K_x;LB>#ysN-_#W9T<^Z-c-+H^qgS8!
z*lK^;@_Fr%OLj%MecvJ{NX*)_sMe@)cVwc*y5P(Wlh^mJG?=U$mZs{iZ(!snE5G~k
zx!6g?g;jjLD+3n0GW1AE$i6++X}->tFZIRk48CV?Z&ys(_%k;}=s5oh?t90sN*kT1
zaq>LA`FBRsUnZqeR*o4wD;*r3v#QE$xHsMTEt7J6?lzN#tlr4;Zg(Dv{&w%UQmT+(
zw1kCmuB0x<jjH4m3k^*lSo3}G3tsc`;)R(jCW-~DT%E)?T`^Th!DMkCYt3HAX0C<3
zUp}Tdi7V^8%92Pw*2i`%QfNl~fvxk+1HY!d`DpvVcPeWS%M9ZKRh$#ezN`9_i6|`m
z+{9;+#=se!Uw>wS)VY?0hx!(*Ze-^xeP(Z*)c*ebn~21p6U=jzY_}IyNNH^?$oTQv
zx_R-h(~sqjUNpUuyzg)2UF&Ne|N5-elG#tOrFNuye9+kN^I1^kCZA4i|E2o=SErU+
z*B>aAmCTo3|Bh$#)O+8r#h8Z9=8~@WpC{ehrMUC`+RB~RN^6hQhYAVC8mIeI-H$e&
zJi+kes#=L>Pdl_~?tZ_v$7bC}H7AKDzmNR-7%A9#wWoA(gV(%y`?ja4zvK|P_%LTr
zSQ^*YGZ*%M-*MqV(CU|Wg7}-7>|Qh;H<eiztKiR@xa+#^^R+C8q~g^(h59EPkLvw4
z@6r2(GyjT~@hd*M{r|(;E%vhYj~4H2sL!@Jd31)Y{`(W6floy4_a5foEfe@yui{%q
zLzm3`y%&>8oniw^!&cZ&Rto&)FWV`9Zed)J-qPpK4Hv}TsC+uTFZt<26J~FVD~2~t
zu<Y|)|Is%{=J^ZRd4^UOCuuD$pS9_Q^1bN=ymxo+p49Ju!l9SvL-<nFFKd=F{;H^d
zz3Ht`zl+7Wimr~bqc!Stn0;T=uCTCOyZoz6LI0w@UB_#@Usa#jKBZ33c<PcTmMgCZ
z74pc-J-wnVCB<<nY=&>{llhCoB(IvjTAui1<>o#%|7V%k4d(ovvTy!J{(vR6Ot-q~
zQyjMU$v=rt4z=vCT^Voq+lqtDJz>$|-}m1x)skNwFmF}y%YWRcJ-<LUR=xvL3=EOe
z<v%bffjfSsGg$*<Y_@+F*MGO}=-s<pb@uJh+cm|tJ6bbOm+$CakHT_|?(D+ya!v1x
zPN%Pb-*<m*<vZ)|YLn-r6rIaAnw(-({7=DDB}IvW;RDx%r%#gp>@}A(<YHtv;mpEt
z;eXf){v+RXx3Awkd7m5?!^;OjC(e1N$1Lxk&S8_sQNMFZV^~{K+c{O?&g~f+ZtRx4
z`AchB`@$nVZ|7#Z?3cb)|J+cubj$v_;NtlQ3WEz@-HAJV`&et(XOZ;00B`I6K62{L
zl5_4PY;w6K$Rnb&OrGtJoYAw5k9{^v220I&`qJu?>QkjySKmiduZ#U(;C?MZ$t2=M
ze%!-5_jsNi7Qg(XUWp@d>y)&UN*kxf?W+8=+dl8I$mSar`!lV@+doJgxcyvf<?>do
z8*KebT)PWQzAibmukopp(Wlj1xi>x+af_{s?RX^efo})fyp&J*>}_IVg%>_2OCG!L
zl(%g~d<&Og*zCo3J1@N5ee&G&-pU;uZclHn*}1&d`p?#xw>fgJIYeHoce$mfS5xOM
zcD!f0jCyjyN?vu_=d!EIWWyxY9libeo;rjoZ`yZjiR<^UC(B+bm+w>G&NqSI*vxL*
zyX2pH+g8ac#w`=GH}y<hc+|oAT1V}+;)|bm%z3l<OwQv6y+=9Mrnorn$kT5tag6s}
z*!!@I{cZ9EhYRYtsnJo~H+uAiUev$eSjZUg|NlncUC&SM7G`EhmSkWMYv5qm_;thf
z;*Ad;xBYSuWT<HPuXFZ6;dk4MZM~|_aZ2WF^+M6XYnMhZX5BgEyztkqyaR#LtFIhl
zvlU-D^@(|A`u4tF$tYQa@I$#O2NWC&egyP*mw9@r2?%lR(5tE3#s7$}>SuAS979?C
zCJ+0|?Poo@EW3{By!lx^+w*SFx!%10eO-TUPK>R+QBm^R;PbjH!QU_J9<rNX>i)p4
z;QgNC*XLmMxnBEcEA6ogVu+3OyW@M4_t^IevyX)rmA=2-aAW4q2U0KHZq3=TSp2BU
zjqfk6%P~$c^Pe!O?DcH-a}{f}CBFsKY+HZg{X)(9Tc;EhwPu=~$!CpTq+i!PY1tu5
z<tux|?Agz+dpmX0hR8kpXWk3G;Nf}NWOk0rGM<yU(HpKj@XPITIePXeTMVx;)63cu
zLO~&Q4<{?G`uplmcTnTQ$t|a2Brf>uU-ec!>-Rg|g5@?UKQ83HO1Y8Y9&r0s<_-0^
zOM5dmY5wB;D63R|EMq6bxnj$Qvzzv0$lUge|24n4`gvWsZwc$aH~9&Bl@&`}GR$Ws
z`Br?N&%B<iZ};y0rKgv?nbmH;==+oTZt<_YWbZcY%ebrc^s>agDa+;5eIhxGYR^9Z
zB9*aDMCGk#mHE8=>xyN{0zL}<|GO(YMSsDoMcv;YW^BlAFMKW*EmVJV%PP}^qf)gO
zKJnIaNr&w_H^<@Lk2~s@HaHr1I^EY^)sS1ibItej7mC+v?36tz+Fc=dHsHyY^Nj2Z
zoxbh4V!@<;=}43OuglSy2Tb06m)Igvy_hS);!1{AO7sbN)kB5el8div$9uc!E!h53
zyTipq+b-GDkEOd%a-!?mhQts2_5HDF@+p7LB&5wzTGDUyY=OP1?*7-VD+0H?KKygb
zj!#GYYBnzm<jXdXvAOf7K6cGkp{%(32j0s6KeMyCGx|iy^TO_!gWEFZ9|=lu6n7N&
z?A@?(`J45<&%OsuYTR+ij(4V`iu=;rRjZ$Gdw!<0B(eSRsd)){vksq1d-_$l<CmUt
zeW=SR(Q<=5i|4<cYSAj+tk(17$;RIr3pFaLHi$)5ebP91IQ)d2MXL4x%%4fuoBsYd
zbvsnU|8qo><zGJS3&Fo%^)5&f(%-x7(i=hleLfzkURr%CSFLH}J16ui=>Ln^B@F6k
zLsiNy&ipNU+gLvA^3;1l#*+l!I8BHMOO@lQU=6K5T+PX=r#eGcwAa1qX#bp@HPM}6
z%=OvFYp=&I`@|l<`MI`%y6$OB{q5m0A(NS$bN6nVbMMre|7sH=?&(daSQc0{v*YSw
zgS@A;XR5thc}1Vh7Im8*pQ`8YdHILQ&!y}|-WgxM2xPBo+*Z<MH_^hpi)H!565Wkv
zc7-Qgf;=Mr*S~1~qPF??qi*+vc_KY`3#!^zb39yXxBE?^$Kt=oG$T29zfaI##KF3H
z`89(#d^bZy-2~(LYc^<oG$~rTaQ4S9Drq(n2P$4|5D;e0`zpzrbjzm5vTy(4^;QnE
zN+pbCZ|pH{^^sh;zFhmN;rUDJXItxk*&zAYMd;hZKK;1*t?Ucxb0hZaTV@pWz0G;F
zdrt00Kk+zL<~RI1^d)Xxxu$k-b%1>JEzS*#RvlEeb&PM1`c||%%It2H=Zt8pa{>`H
zAB)1)2~S>Ewf6|~&F4?vOWk5E&kL;PDJbDtqmlolIO)p5wr$6G``&)}<DLJ_@A*IT
z+Q<5}nL>9MzgjN*$zGc&cBkI)S#i?VIH9N0=3T#2X<XaM@#KT$!gs8{tK-jUm8|;P
z5O?Xd$&Sft4|SXVUe-(hef!wau!(|ia-I99R94%naHvk*yj?#1isMm%+X26>hrXy>
z7I{0#`9$^2jE*9iMn^mMLT-0Gk<J4f=K4low8#)IpLb#PgK2NnuWwtq)a;m2e*Kmb
zxw${XJK`Dj)bl2~2E5spwdI6`{hMt{TRhT3-)!^R;<424%2&%J8_u;p&|D}Np5@dW
zJL~M~ExQu0wm<b-wrKN*mqsh%l@qPq&h^J%Vs<z>Vezr!4W|O$mT?5`XsL5#bSx9=
zpEpOMM@jKbTa(3KF7-LiD;VT?@7=fT^;YkzZ`k1R;j5J#_slJm|NYn_@n=PEXXMt)
zwcot*XD9v6fBv%bOkBEt=Zsg0r|K3TOo-Bxt3UL~opGt=cUF^)-y2u@{#yD)_*k;+
zedmb@tJ8d*?3{O#BYVxO)sJM%YLdA`S~ME!_){f|mIkiM@ea+IsQv#T-$u6ekz&F8
ztgGLYURhYb?|8~Crz18_E#G4sYrg1Qe5JH<{e{cE;<9%{Pgy$!s9bJjxXFI{>BSzQ
z7c1=+{fjKHOX<56&0EYW_Etg5<o>(KCsi#HS~oB9@7S>L#l+PU&;D4^5q#S6?z6cc
zXIbV~9lu}BYNx!YTbZ%-E|aGDy!o2yV($NAF7ySz7w$N0@~b{EXKCBDy-u5y^R1bU
z<~9qJM;3&3HmAjl*qPtvR=OUdAF#ziSjL?}LnZ5x$@K#d7o9&eahqX|>YbMO7kNs3
zxuSO6jkcM_xxAYDl9Q#DZm>P^pe#dj6{GnfcgKjHDBA|HWpyjun)j}Ib5cB)x8)Gm
z-NOz6j%kw^iuNVUece>Q+@WG_jpV)sMLwFl^_zs3u6p~?j!)plB}0};)3zoq)qVau
z=2T+(8v_=piSm=`-=B_p<N5Gh%8O0=MZc!+H?g_Lwxj>E5TC{E#8Y#g?D~6h<r^gr
z-S_+<ntJ&)b5Bfjlup&Y`P9-YJV$=lzLv7i<%=tgRi+0q|1FuZaLzQ{b%*NZ98+3z
zmN#m?d}79#^H5PWDl#TbO|3!T?%xXgl{XES>3>&Mk#DyA;v_ra%Qm*VtW&PeNDO_;
zs<HLV$~2wT!7EKytvV#{r19Lc-R<lN%k{^^f}-Q36@tx=iYxWrI5|(0WAeAg3q}ej
ziZbSy>-A+^+5Pru2KOA*^~M&mx{Vd=_4yXBTA#+A61lqA*Wt?y<<)O~e0mx1>ZgZh
z&iV)aLK2Ur-8!`F%BD#YR})veWwu)E+1pd^IPaRPhwR(CPj>YCKUmwcQ0ZdE+!CSr
zA)nc&Et>SJ%;D=D|2^jY#{0hfo^fjVzl^0@ds2Sq+-3~ED#sFfu-|mqln4#I#R6SZ
zzAOD!trt<_%bc$H@5;_|ob!Gj?X`Y5X_<=QLhak{Ma93oeVwVU@BH#!y6AET1G{-E
z8TKskZaMYnV5vnfS6<_;+nKf>w*K&alzB_md76D+xh(6B{n6$H?eib-ztW$d5F33p
z`mnhbM?F`@*7M0X=4ORPvzZ@aR(X)k_(9EJ`OmIP4|Y$l|7Y*+(spFZewXR7(_-cd
zCQQj|pLk&Nj@(&pq6yDD|Fs3)ZTT+S-u5{};V`$F&iVA2)5?OhyTAQDTh6;ls&SLO
z_Fak7iOGe(-48pQHR?^&zM2tLw$W*hhmB-*d8NU%*9YGIEvPs8`Mf>wON@Kd1^?S8
zuDy78r%1K%jl1loz5DCEmo%z<i@dr+Bum&$jc=Z*srHBK_b*)JI6CJ~ea@nmowX}J
zba(FJ`5&NawKjAM8}EYDVx^s&?{p6HM_&KFYGP2z9`S3bUmjje-IIP%L(a#w`|A6)
z&BrqD38|>cY4rzZeyjIc>T>kn>=$cytL;0kvvnKuTJc$Lj$iKBw}~g=g<`$?Eb|Zl
z{xLe-`h4Jo^79<)Ih(KZNhB4zmOq$aQ8Uls;ncbS)|u-*@Xr4KceV2u=kVi?j%M)*
z3cnQR&HnMaE@C<VoMn>_`4{^1=zf^ARMgz`$K&>AUk-8TEuY%v7$lc8b-Uh&u6rrB
z&TTwoylPJDj*uN6*zceFIAM8beD<}h_VoJqT1AtRQaRV{vpDv#sc1sxIVXo?QO3Zc
z5ASmJvYkm5{~YX^d-<1Hcl8~Awr?({jr~eQ_+_>U*ne8l^7Z@@VIj@=c6aC9OLFk6
zD@?J<i11&=^?h2oxZ;&E)|;V)Uk-I{da!9-<JU-=_G8aiPMUmZ&NPGEv?fQhmEI3H
zowqFvub-87E_L<EqUp^GJip9we(+~uoto^%CjJDj?W>j2YZ@13M$CRQb$Z8-3-9~c
zI*O-#nppjQ>2Ke-l_~3Z=bfx>U9If6zP$8vb$HjIsVBV-wfoM9eDOHNhUv@8nHMsi
zM?L$p<m;bhg#nkAY4)$}GIHC{V?O2A)I<KKjV7Mryd~bGTd%eLXxWpwKTLDxt9?Et
zIa4n)KHB!!PWCNRX4hQp-E;HD3bw`>Tr+>=zLI(6k>*zLG;xRF##1K(HEbT8pVaHC
zn&vydc#-bU(3=aMO5bU^F{wB->)J$Tnd+0Bhh!x0tk}3?>$RObUVbTfGVzmzZ?>C>
z=lm=E&Gn0Q_xp$!MCcmsuP@XvjGEV_J1M!8OVp<1ww|g_pU)q+?WKj^xL&)z+cs_L
zg)O4D9{Br5^(9^2wC+ssLd}Lln`&#s^B!{Fa&3?O$dn#a`NL4adFh0YyLt75JuHnU
zI+dR2$m4a0wC`*6-4Jnl!>TaL0;$<wl09{kKZSA~m_5tW&g-{`yJ>yS^ttsXbxv^|
zHc9AAm8w?Lv3TTfT3vkGxbWmnV~*~+)sNOSMoKB)o%G%Gw6nyk%t>q9h0TiQA2eBb
zIF@<!zP^Ntigmx$#P$Aj@|k|zX!s~5D5v~azFS_=@zPE{))<-i8g4(Kd2eE>`nKw)
zKiQ=IiN}5#m(C`sz7tDNJ=<p~V~`Y3uQTVA^p_iaGd+4&I8F6)u9wSuZ5ps>Q}?w!
z6K+2E^W*1fU&X-6ht8tP9tUi16uNA_>!#HBe$&&#2I=m5-hMebzc0%7Wsty<&5W-v
z*NM$Ay<MH}^Tau;OVD%SJ(l}&C2DP7HNHnn&lNPD_~2v1r1lrPePj96&MunOwINd~
zt9@I2WXZ{v;P%NIB&4;v1VwgEGhb{RE?TW!GFSZOm&nNq*Uz-Hz1jEv@%I%0!K|Xp
zZ?wKo*nGqMrO?kWQw)Cy9TPtmA!PdPNu@)G^}biJ@vlSm^$rVh$RFM9aK*r=$MD3f
zYOm~dQ>xnUo}aN%>B(1i&%(tQ=goPQFL9XVgl)XnnV|Z}V5eoB#}ytes-LUC>^$?-
z+>7V=HZR-8tZQ`W4A17Td!BekOrDUrGNb&j{;L&VCa%#`-~Gy2bmopJWhMeg&)j$#
z@9Zq#S}2s(_T-+=8orpdQdiF}F8P<e=U$NIVmBw>#ZRimUS0EFGDY);x|`(2`tK*}
zbM$VUTX$BbY|WMZb9UE%7jIi&==rVh{;tVS=KIaA`Ck-NVPBIf{4Uev{y`zXV~0&|
zdS3h~D6SShO~7K+1M&L3ksp3Ko_uYr6l-zAGgV6HU+<*7w_I=hn3Qk-@^Q<njdqu<
z^h&>9PqGt_>-uyl!g#H$&+*w3nv6{@CCzmPc82MU@7D^+sKzZ)iZ14esSmvJT<q)Z
zx}=#E>AKs3^(|`j|9#OosvB#1qBK_8y5)aRI@|B{*@77w+jlNn=yykyf00}M0hVa5
zmU~-gT$<&4e441@k(-;G4lT5ad^+Lz`!L0%HCx4`R^M`Ry8gE)GtTVoml^XyGq+W%
zWL=Vv3%Oc#c8`cq-|6q0_CCLPM11l7dd>6tD;L)Mnr7Xh)y8F{D7G>~YG&t~@Xf12
z4?6zw`@weJsNY`X!)6Kj+oewxKV@hvcKF+C`1p+8(ZnA-b!D<C3w{`A{4f2){7P))
z`ivbLPai7u{h6bFxkJ6`Z_SOFdGkD`9Oipe6h1{tqBAG5O^W$BN5-d~ZKWwMF4Zt^
zk}Im$h!aQ%%l3;&>gs;JdXq}bYi@4Cd;ib;{b;*Q#jo{KK-fH?B`ep>`@HScZX=Pc
z$0U7ks-2$AVU@dT?cobWcDC7KmOJVfZHtRfEU#1SINc%oxX)&mii%r<Q1E)Oqhk9m
z?Cz0$+;7vBp#Sah1kbJqe`n6;P-k;wbKYh>q2sfFPCefp+dmA8`+g<&e!6x{qgAjw
z>*?e};-{TH3NMPd8>8JTVzrTNipJ5n*PkPcv;^P(b3S$9??l&A{||LD@a1lkvF19z
zV^5H-|7DH2&y2$=16E&Ry1DDDee_Sg33<7iI<L=FTvwL6F)`C&Zuf@SvL9Afvd-5z
z_2=2V?{&c}ih-e%>(3vobDAN%s`|~g`K>$N1Zne65z~AAOXS@BCy~=@I5hNM#-CD~
z@uf%NWvT4L=N~24mmeyfp&sV8WgqiJ<u~sBTk1|H7RNtQcoA5fx7jMdzv_}d(+uk`
z;l{UXqqhHVsafh=S*g2f_xUwPCFbmVC1o<j^M00le}IksqX&jp-U+4Fr#@Lxne@`v
zGv)fWpoZ&vkIZ^kEiJJr#Ik7pw9@JTsg1X%_^dm>MzUz`z5DY!c1JQv8T|iYV7IS*
zrX^#tf0ohoqZP&5;%_L_75*(_a|&uTblA6Ty4ByO!Sz8W{YvI%`!s92+@78wwQ>38
z?0ss-4}7<-uJD)36H9#RdRXK83GMm?qO-$0<g<#*<-NX7pHoqp{H1d<o1O12r#{v_
zm!lW_i95PRVprGNgmtC6E6#=ZKit6HJ$cIhopR>WYra19V?FR@YX!4d>Gz<5uLn(J
z^3R;__Yu4^x2?@;q1h=H^~JF(uYJ6p5vcUbv&q1C-n`VgGq{(=pSP2&ie4A$rOPWB
zQg2eV^Dc++=afhDP4DF$)><Y$wO+^J{FADuY$3999nW^F9kb@rS$g-h8mGI><Ez_m
zuUyy{lh-F>y8SeFcUn3ZyJ%lfNH)9XeruW4-0L6Dk#iRRe&h04*@ur7e7}0wo>zR@
zrUl)Hn5Ri9?$A2<)prT&_df-_N4D8Li@)A<uf@)#ev`$v{SU6Be@Rc<Y<I!^Qn&6C
z1<v#Q*R*bWe!u*_YK?InchJcd{|~Ww9cNp8c+$R|(NEp_)_%OjW}0WpmRSD%_nPp%
zbCXQ!w=YiP5WV)&ckesC{^QsDlo#(_RokanQ(XGp^U@^Cqk$p$R@+$edDcG6-n{(k
z&#CuS&ZsW$6nLFkUm{VvIwzxIO8g5R-_nPtej2JS|99oFUtW>Qf`r@&1}vgCDz+Gj
zYuz?D)oxW5;k$HdI$M|Rk#FJuCtSN__CITX?WNr_-u>R<Cci7t<+hai>dme#zbF1W
zcyQOwoo)~N^5k@WUpRc5fAO5mb6Ovt^!#5bZ?|Mp$D81#4)YQ(`md9#zxw{jy2zck
z?#WH9btuu@A<MQXSuuFO@5!EB3wcUKwjL@tcXLI}UZ1G;g6)=TFV42HxVpGH>yx!m
z$MvU<+X}sRPPH@LSK!YPTHI>><lvLGY`wC2H=CZMP0|q$m;H}CH&0i!$3aJWpMZAd
z1JQ|>y^K;z-NPol>6-lK>3^&0H?Qm2+_p2dvnu|-H+?~?RL|#Q9QofoyLIO9-|6?<
z^LYE?4jx0XCFRZ@g0-uwKYW}jwO}*HS<d$PI;x*<{#ur=`6}+2{Cq{mB<EFiKTh<o
zUoIPS_h)k6X73$qYk6$6eUie?&VDSe6vA_p$&EK~Z-Z}tW^w2IpJn_eH9Cs<Z`Xae
zRBxr*laqUti|d=p32zy_T(4~9vQ9y>`nWjZrB~-Hzx%dy--5sAYjV?K_mxU3F)Z-^
zBL6cgyW{HrY3pT8ZgpusI?DYg@nh7ivK^`q?=48NYD!+x%9=Z4U(DuRQ=N1xb+$fv
z>Y03f;nlDk<;C9?{+O7r{rBTq(_&pky|p|qEAMjGUw>add+N76g*VpsJYT6%KVN6z
z-k#|)3p0Mrzh0)TdSKuA=s!*CB{+WlE@$m1iTg6!f0KWGx1QI(2}^Q~>ys>9QkLz#
zn8|eX&8#<#7pqII>;B-{UC<LN*!`!Zm@V+0*N)dUJhs2)SZ=)=)sf05KEb3pS&oZ+
zmeKxgMrnGJKR4FrpY7Yb`9}TyUoui}*L~b7wokMvZ$WIyPW97@+tqJ(<zxsPJm~Xh
z)rz=HeHza%zBN<*Vq~-7#Eq?U&ZK|2#p{3ebIPWe1WwLXR)+!wT%H=wTC6Y0b8&Cq
zk`HRVB_$#9-eO)NM`d%xlBRgfOPTStzg<eY;mi5$rgLS}XLlTMzh57|nPtb6{hLg$
z%|Di;^S18Xjqjfp#aHVsSYI`3VUpDQr?38QJn<ms&(z~j1&=BetUY|->BQBq8aRT!
zDQkq5Z}oT1X}nUPwb#eukjcuW-#^>f3R#HFmR8mgOy=VbT6j%STGVM*MwoommdWBV
zmqjyQ7*B3GoAH-5q;zt6XIn+^`}z|r-idq^v@de!3F7IGD;Ey`=T_(6zkR`3amPEJ
zqRvxnWSS0#O;gC+9KB}$<QD-k`mX~kpC1&PTpZ@2nV;%5C)m#T_g?!Z?wKkX->jV9
zZP=+G-^la(`Mcr|w!KF4_h02}E^M359A0~Pt=5(YZE?S|H+*rdUcF`NgnP$MJTeHb
zzw~QT|DT6i&I$ZRle_Qbty*@o!N_Lj+Xu(4ySXn~E&l9C*x%nQZ}sl}*9!{}>VK3z
znKixk67!#$)dfngXJiGm_5aUh`|a|ze5J+w?=!b5%`RHfXC*1cvNE@P=ZBi6Zzp!F
z?>@`YagR+){L$3jDO2}9{j7HF&z;Zn0v)nAI$S*J|4-l3@;AQx_j{25nNRC4+8<H-
zQs3?SKkNV5ANRNR)laWCj`}~VUQ7PJ<WKwfyY|X&J^l;+{Lb5SB_QDc)~+wxxBcCk
zz{SuYec<$ag;&p(XS_&#y?EVQ9i{b;pFeniN<IC}BHd>>3i%pp->*3yaSQ49ms8Su
zq5SnfLx49UlL)i02m=EHWSgPX@2=uRCI*JM=?UD-iYB1#g&+)3hftRF`QtTlMh1o}
zj0_Co47>~=z{tSBu%xk{Y5GPUW?`k`lF}r-vd|Dt2Jrn9SPYr|ikn%Q=?ODf{d6fF
zW<H$Oxu~AMu#16#VFv>PgA~*{kd;ds16U#2^Ld#0j6s%wFuH5yRmw9X7#JA%7#SE8
zP_%nb-@wDHAr8_6!e|<&zvp3=W_$%wBQjl{7mp3WjDPJ_#TXb)JY--Hf!Y8G53lJ7
zyv#B<eWv-8<ESGe14Aq`!e<bTt5m^0b4tojEK1R<NJ+*QmXX&^Pcz!g!@zJ?o`FFO
z>HtUx>8MXn<YgANE6zwPNX20WWYgu6ngiQz^Dr=gFw7GWGh|G_X4n*@B;hatl6vk&
z$Sz$g%fPTgmVrSS#T7=5AQMEa@^I*fxZve4ombVo3=ANQ>H^7lkbYsC;*vxhCO}*;
zH}reGs2Br-fEXeoAbvQX12G{rB@KrO5I4N?e(>Frfq?;pQA1L`dHO_tW?7tZDG|C*
zS&)H&fu8|A4yHDP?Y2wK$;KIi5Zm?2jdsn>W@G?i)DU<KF~hcqfC<kg^5<HxFff2H
zs{41oXPh1oz$jUdJ5NS-JxG0<tir^gqQs4sj`Y4TGNhyyXQt=H8^)IxB^DH<7U`v=
zmgN=W4bEHeTWdi3mcb=OJt#sLmNcG|V8JvIa;ps<7ou*21{=r#$uV6{Sj@}JOD;ll
zpf;$?1C?cvAfFE3YmA;`x|~_22V7<n#}{BI`y!x89^xEve@y2fZJEI1MZ~&vMsR5Y
z4lszJp93)s)hoxDq#>CCzS=<=HDSJO<Ve6`Tt<0HDoP%4O%L#9Wdp^U1cL;_6m|v%
z)@l|8JqA}3|A5S>f~*SHAd`xS{4xWx@FYk7>~QnI0QW-Uw2TaI?WBsdfXoc%h{Swb
E01kKNm;e9(

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt
index eed11a9..196e7cf 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt
@@ -1,13 +1,13 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version     : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date             : Thu Nov 14 13:37:00 2024
+| Date             : Thu Nov 28 16:32:36 2024
 | Host             : hogtest running 64-bit unknown
 | Command          : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx
 | Design           : design_1_wrapper
-| Device           : xc7z010iclg225-1L
+| Device           : xc7a200tsbg484-1
 | Design State     : routed
-| Grade            : industrial
+| Grade            : commercial
 | Process          : typical
 | Characterization : Production
 ----------------------------------------------------------------------------------------------------------------------------------------------------------------------
@@ -30,14 +30,14 @@ Table of Contents
 ----------
 
 +--------------------------+--------------+
-| Total On-Chip Power (W)  | 0.222        |
+| Total On-Chip Power (W)  | 0.297        |
 | Design Power Budget (W)  | Unspecified* |
 | Power Budget Margin (W)  | NA           |
-| Dynamic (W)              | 0.143        |
-| Device Static (W)        | 0.079        |
-| Effective TJA (C/W)      | 11.5         |
-| Max Ambient (C)          | 97.4         |
-| Junction Temperature (C) | 27.6         |
+| Dynamic (W)              | 0.161        |
+| Device Static (W)        | 0.135        |
+| Effective TJA (C/W)      | 3.3          |
+| Max Ambient (C)          | 84.0         |
+| Junction Temperature (C) | 26.0         |
 | Confidence Level         | Medium       |
 | Setting File             | ---          |
 | Simulation Activity File | ---          |
@@ -52,16 +52,16 @@ Table of Contents
 +----------------+-----------+----------+-----------+-----------------+
 | On-Chip        | Power (W) | Used     | Available | Utilization (%) |
 +----------------+-----------+----------+-----------+-----------------+
-| Clocks         |     0.002 |        5 |       --- |             --- |
+| Clocks         |     0.004 |        5 |       --- |             --- |
 | Slice Logic    |    <0.001 |       27 |       --- |             --- |
-|   LUT as Logic |    <0.001 |        6 |     17600 |            0.03 |
-|   Register     |    <0.001 |       11 |     35200 |            0.03 |
+|   LUT as Logic |    <0.001 |        6 |    133800 |           <0.01 |
+|   Register     |    <0.001 |       11 |    267600 |           <0.01 |
 |   Others       |     0.000 |        7 |       --- |             --- |
 | Signals        |    <0.001 |       15 |       --- |             --- |
-| PLL            |     0.121 |        1 |         2 |           50.00 |
-| I/O            |     0.019 |       12 |        54 |           22.22 |
-| Static Power   |     0.079 |          |           |                 |
-| Total          |     0.222 |          |           |                 |
+| PLL            |     0.122 |        1 |        10 |           10.00 |
+| I/O            |     0.034 |       12 |       285 |            4.21 |
+| Static Power   |     0.135 |          |           |                 |
+| Total          |     0.297 |          |           |                 |
 +----------------+-----------+----------+-----------+-----------------+
 
 
@@ -71,26 +71,19 @@ Table of Contents
 +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
 | Source    | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A)  | Margin (A) |
 +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
-| Vccint    |       0.950 |     0.018 |       0.015 |      0.002 |       NA    | Unspecified | NA         |
-| Vccaux    |       1.800 |     0.067 |       0.062 |      0.005 |       NA    | Unspecified | NA         |
+| Vccint    |       1.000 |     0.049 |       0.018 |      0.031 |       NA    | Unspecified | NA         |
+| Vccaux    |       1.800 |     0.092 |       0.062 |      0.031 |       NA    | Unspecified | NA         |
 | Vcco33    |       3.300 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vcco25    |       2.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vcco18    |       1.800 |     0.010 |       0.009 |      0.001 |       NA    | Unspecified | NA         |
+| Vcco25    |       2.500 |     0.018 |       0.013 |      0.005 |       NA    | Unspecified | NA         |
+| Vcco18    |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
 | Vcco15    |       1.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
 | Vcco135   |       1.350 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
 | Vcco12    |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
 | Vccaux_io |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vccbram   |       0.950 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccbram   |       1.000 |     0.001 |       0.000 |      0.001 |       NA    | Unspecified | NA         |
 | MGTAVcc   |       1.000 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
 | MGTAVtt   |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| MGTVccaux |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vccpint   |       1.000 |     0.012 |       0.000 |      0.012 |       NA    | Unspecified | NA         |
-| Vccpaux   |       1.800 |     0.009 |       0.000 |      0.009 |       NA    | Unspecified | NA         |
-| Vccpll    |       1.800 |     0.003 |       0.000 |      0.003 |       NA    | Unspecified | NA         |
-| Vcco_ddr  |       1.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vcco_mio0 |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vcco_mio1 |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
-| Vccadc    |       1.800 |     0.018 |       0.000 |      0.018 |       NA    | Unspecified | NA         |
+| Vccadc    |       1.800 |     0.020 |       0.000 |      0.020 |       NA    | Unspecified | NA         |
 +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
 
 
@@ -116,16 +109,16 @@ Table of Contents
 2.1 Environment
 ---------------
 
-+-----------------------+------------------------+
-| Ambient Temp (C)      | 25.0                   |
-| ThetaJA (C/W)         | 11.5                   |
-| Airflow (LFM)         | 250                    |
-| Heat Sink             | none                   |
-| ThetaSA (C/W)         | 0.0                    |
-| Board Selection       | medium (10"x10")       |
-| # of Board Layers     | 8to11 (8 to 11 Layers) |
-| Board Temperature (C) | 25.0                   |
-+-----------------------+------------------------+
++-----------------------+--------------------------+
+| Ambient Temp (C)      | 25.0                     |
+| ThetaJA (C/W)         | 3.3                      |
+| Airflow (LFM)         | 250                      |
+| Heat Sink             | medium (Medium Profile)  |
+| ThetaSA (C/W)         | 4.6                      |
+| Board Selection       | medium (10"x10")         |
+| # of Board Layers     | 12to15 (12 to 15 Layers) |
+| Board Temperature (C) | 25.0                     |
++-----------------------+--------------------------+
 
 
 2.2 Clock Constraints
@@ -149,12 +142,12 @@ Table of Contents
 +--------------------+-----------+
 | Name               | Power (W) |
 +--------------------+-----------+
-| design_1_wrapper   |     0.143 |
-|   design_1_i       |     0.123 |
-|     clk_wiz_0      |     0.122 |
-|       inst         |     0.122 |
-|     reg_decalage_0 |     0.002 |
-|       inst         |     0.002 |
+| design_1_wrapper   |     0.161 |
+|   design_1_i       |     0.127 |
+|     clk_wiz_0      |     0.123 |
+|       inst         |     0.123 |
+|     reg_decalage_0 |     0.004 |
+|       inst         |     0.004 |
 +--------------------+-----------+
 
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpx
index d1f974cccd203d006091f36bfdd94cccad5a8174..0c946a14257ad268cb4bcfc2f54a0c845dc25b6d 100644
GIT binary patch
delta 2827
zcmaFT$2h;6ae|a|qbmag7lV*MN@{UtdS1L?e0fn~K|yMf)FRG_rrP2R3=Q_O-N}D#
zRQ1<Qwb5l@U|=xqPX0T&kX2!_KP$(?`5Zz^ihkLs`a0CwLS&Z|{Q}F*XXKdp&`XHx
z`eJKUSA_tmUasqlCnvHfPF}#s!DunLk=b(d0!DF0h&5hTPFAWQ8z2UGSvgIvXH=a0
zo~dne04qPpBpW1YkV%EC9*ljHcd?p_ub9dq!KlE-CB(%Ml$xGdT#{NOaAB3eWDYhx
zel7_H1yL>@E@n@Ce}TD7lU>;I<R3C~g>ng0B%3E185oolC#9QMnCKb`aV6*H=B5@U
zPrk&an|eYp$I0~4>26004hIgQZbn{^+e8@{PB_Xw;aK6MT5#vQqprPJh=VBu!vY73
zUyM%Txv43crMU_kz7RT~C_gPTCsote*m$x-07H~HmxQ5FNxq?}f`*}yLP@@Yq3LEn
z_B)I$+p3DKChKt;TkSD#vk+jE1qHj30EdVW5AU4%U$!t2L5}<ELOi_k9e->E7-T`t
zQ4-(~g(#Xlo70$iPW`XR7de$Q2x^iS;^Ez6-Zoj9OA%tZ1J`%vjqYnFTX8FUr!G*p
zMwrhk#KS9be!sZ@mn;K=f}@fE2gnUz5e|?WKq3r6JiHgn1t7W>I4B8lFbeVTg3MdN
zt>|O<UffiG38WSz!z9GRYu2^VQh-yIfk6PI8>Ck6+D1zO9$5wk2S+8SH(!3tf*8oa
zpd`Qna)zf`;$%@CC2xmk?nv4}&hcV6WiG%i%fMg&G7#jP{Y%^+y1~xDRKq63!|U+O
zeR2b@=;Y}<yyBi}iIxHqvJ4CdP#iFMH;+O+s#)mH72v@160;BwFRHgOT>y<Zc<c&r
zKw||d1+WP5@G?w};uRD{H4Wi<rFtxpef>S79cm1My(cZpz;FW=K-&&BA_WjJo`Ob!
zr2y0%L5_RuLOhcd`GnQsF>(MD#h5;W`hIdWpJM%%>pZrws21P=h17Q6cV+_2pvVX5
z#*yly3{{c*1d3uzAFSwmWhuZf%fL_o@+Bx*impDhgr;1fi~JmnLT4ub=JQ}om>h2+
zF}Xm%lK-8~RSPT5q>{YQcu%KLx5?}D11CF(urM<oPMj<tXuxPT`Jhn{Smf8MP>{&v
zdqNzOJp}!kjn&*id?usV$vXr>nN?~WCkqH#PQEPY$GnTjVe$kc%gLAd{g~OVs!X0>
zU_AMuPzX!dvoGe81qJmdX9$Ne&oG!e`Jiw!+nfLnb1T)!8-?U2XNrXLU+}+e26m7O
z#6k1T{ka%5m`t<h%`&ml1}WnSj5d#r&x|*W&x<#hd|o7ojoo3Y3B(Atq>?<B_@d1h
zM0=Q+j7%rTNvyXpH8bVnFwipq12D_j(A?CVi^b31&lSuE%LpxJ;$rd$;^OcLbN2NQ
zHZq;;sE{^!wWO!Gk%cir8H8nQYG5+iK*?QphEavJ6<bbfO0;<_b`!2jd5M~07+_*-
zK3QMMgZbpqS(EFfB|vTkS@&PsQ{33X3}Ok$SrC?qxw!?{x~&E)q1Kt=uufCfQ_R>B
z(>@EZeX`LNYoG?0;4mOq4&(#_h{ecGFfax=fmxwv+2p@+a2MCgdx@H0T4!Vm@}6u=
z^E9Y+Mp&&|FK%RRf^L<uv855%Dkrmo$$^Sct5PPPSM<gbl7<$RAgfqdp0HX^u2ypA
zo-u{T)`~eNHAQIdWM0+$$u`RSCrhg)PL5HrpS(a`Ve%bS|IJ*ghKx+c#*=N;x)@C+
zFO-*`d`DGlvY@&Q^B(iI%?9dw7@5t#O`QB*!;jPa+eC9K=H#60$+_CStn;1qtgR*&
z*eOp|(g|R*d2zzh%6xL6ozmoNUD3(wbwb$waU8a^Qk`6ACp%e9SC;MA!FX$g3TB}t
zER*MJs7}t)Oku14xY5$e8m#iGW**2a3$RMr$!%J>tp7L;TYxN*n=Ga+#rC|6%L*<j
zwYfppn~}xX)WU4?c|CPDPzh+IF}cuAYO<LAOnBxt6=u?4GR4f`p(vR<j2Sg!d$WUd
zp=9nrun;1Hdx80gOb+2AGI{_9$Oxp&9t73^$?)}DAW1}~-+a|X4C<HnrYL?fGrNnW
zGzezHT@v_BE-+_7Dh*gbrNQKNV$z#!EKV^p{}BH+`JlDRWL+zDHe(}0Gc(i4^)^z>
z4_Cee3v*hla#-jYTN<018Gt4FUOWU#ltUy8%`FWf63**E5*{4phI(d(CdS5->ujW%
zFGYX^RVL52Qkl$Yqs+{*dIwl{u#FpYjC>cEeZa<@dCG+ZFk8<ynfY{J_T&J2qshl?
z<C(Q?q=DH{cJa&{w#i`jJG*#hJDuRk0rnG_OJjV&Vqy;Q%#J~tVD>79c;;F6mBDN~
z$2gY4b=yoQ2ihA>zUUaow)NjMQ!CBMpn^5T$&>l8>Ey`^oLbn}+zuK;gg1LSOS1p~
D!VT|-

delta 3045
zcmbQ=&G@8`ae|bzn>zyo7lV*MN@{UtdS1L?e0fn~K|yMf)E}OSrrHw5#>MusDgCn6
zsy#PrZFCtJ7#K`J+{q1$Y7^&i3U4Y}WUVUuq}CQ91>#O_U{s&@&};H1Mr$bx(X_N@
z_Okgx%~q<XZ;C<<0C6`rFq$($jCa=*wp0a~3^4=5o!r2vF!?=Gn}9PHr>}cRSaNb=
zX$8ov$sZU^l^wa*!;+H=GV@AA85kPuWyN`1Y>*59aX|*a)Iqh{%N7MmAgcp$LH>{_
z$jJejZ7*B<$-o-PAP^U15Wf=_M_6)letb$wkthSh0gxj=E(43@X674!bfXIzf(0Fz
zU4-|POh&dA#GTy0C_i}{vj$`D<onF#;s-hzB^VXhxP-Vkf>P5ni%U|A1R5qYP1a@6
z<71LwP!Q$f=3@5L_ZKjmoW+u-aE6&Hj7zX0*}TfY&>%B8C*8=%RM*f)h$}NMrL?%D
zX!3U!UH=acCpek%Hy?4Z;Bep&D&qwOuqXq=2}juv4-Yx1+E?6h)cw+K;b=N*rlq6B
zFGeSpy!^aWUy!l@hA2}mF^iIXLqi1(3x$$=1w+Hlove2lS<K8oSx(MmH+HILuD1|i
zlm!L9k^qN@5D%})$?vu>5kZdo>_R-eHn)G+3NXlmj8qcf5Jgoq`2)M?<j?G4%ql0p
zPv+rJ(jaJnybup>J#+o!Fb+kCL#jExbI+PE&r*N`WQXJA0(OxosMVX;*I6N~W)<S$
z?Ur6^F2E(rz@Xr$B)|c39#{mTRY`z@L5PP}c6~EM*8&G60S-nX9$sYQd$}cj_M8bc
z6<`8c2U5W##KU_%YN@3Frz``50LWaBo_&XxS_<&UGB7weDnXrNH|aaXU<L*y0S=IJ
z3|l-V2XHBQr|mLC(hhP~xZgH&0d83a1_O|RAZMKr*b31Nb{3`@HX$C~v|Wai_i`zT
z8@6~@3P{K@FdRU!eDXIgg?dzT(48v4f$1G)As${-FJsz{7OzSI9MFhDN+2vkJiH8(
zr*aF5qM8PC`EG8ddMxqZy7LcG0)hscrGT_71H%ni;7ptM5h-wp@f5l_f*kkQg?J{%
z@Cd8JW8(lQdNF+l_5I{&Jc{*p>*m?OqFI0g6jBSjUYZIpgCZWJ8%L(7&=aylq)brs
zV*21i{a#A}epv>F0+263(ULZ8k0mtq3SH#qU=%tt*^Jj?@&n!w=HLxplMnJ4Fq%!C
zAP~m9Jl_+<V=|4MT+18GUvkIN!iqDgBri1H(<#(#axH%-^R@!r$&Ln=lhgFQCL8dv
zF!u-RfV51m=I5NuC*a4NB_R#w_X}`NF5vTHcF&fae2~v#vZA0rb94(USdQN)2&9)y
z$Z)2)mG0y~7tP5ULgCC)-6JQzGt!v6N+^)uc}asQ*lHJu)elYmI2bjUOtp7CHkth1
zNQK!YzG!l+sXseN(C_~K$qB;xjB%3>DvD0NZDuxkj|k`HL=iJ4CPRzKGsV`6TbP(~
zaTw?sfB~3gXl7z&GC5vc9;Bo}e7(4_p$S+5p~S$@!q9xOqN?`f_tG-Vj~8%Ho**Fs
zQJywgK+;Rh(g<CLk&&4hOouGHik`I<TTW_9v{|gsawaY&k034%pD<@%|6oIl$qnLZ
zldYsY#Z8PXFibHqhubTAHO3BViYX3LQlve_O^nSjOffYwg}H^fpVw(JpA6jfeKH`6
z4KcKs7+b)!$WBSNhFWZl!{QyXUSbxQh8P(b!wpf3)Px#hgu{?Wa-QPG2AE-QZfXHD
zh54Kk`{W*ZsOwWEb18U>npvVd-oVmgvVy7(D6)8bmQJ&R1ieA*WJ6Wy$quSKU@V}-
z#l~Q2pfk^AGNYm>qs8P36@|&>ivOXkaHV=i!^sy_<R^=(xUm$PE;illplrqniu4MV
zF1VD|WFJ)-=6dG(&DpAZ7}=P1H=A3TO;%u&o9wNw%LC>zC+B1fEn%75sHQr3k7f$n
zp5v01R@Rdh*kmU=Yvr-7|6pQaHCdibZt@&9smbrOg4tfNY_PCW0xL+-mSWXw?zcoJ
zXjJcI>*MdV0xM9OY^D(aG6Af>V)9{)5Rh(5D^;*=D@|GEd+Sb5Zq!zo?BKvUxn5Ir
z^KNZ#MiwI@GsDSTy6SA8!qQ4(vI3jb<Urk-lOON~Ox~c+f>IU)fkjZt1AmYZmNFp_
zB#u-r_@R{vUSN6DaseWSC>y-NY7pf^7;4!N43?hi9=Z9Qkp<KvS|%tSDKxo@rO*gs
zK`StVnIVOR-sU*7$BfLMXI`DGV5u@W!$O_S!ra)>+<0=Kr4+N$gUeuH1uGR!QxiQ)
z3o{FIL$Hj;>x*ESYZj^;rh4Y)2Ii&^Ej8hXCM#Haf&~qYjm^QTw{U|5RVHt;RGI8z
zrOdo^*)p*1J}WooyT|Il?B7=I%+mM0!0i7HtdsMs<Cx78LMETLp2+MK7BKm|wbA6M
zHu21wqP}3ZrfocP+*CU-d#`Og^O7*j$?|p+ng5-a0E<1fi)RjcDhy^<+Q+eMySK!2
z@&#+d$vh5mZ1-I|OszC0e>75^Jk`OOMaprB$z(-K<;njY64+klt}_P9DQ#|dlwbh>
DL>DLo

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_summary_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_summary_routed.pb
index 9731b00def9d85872b747dc9e934ddf0153f7844..1a18971ee35c84cf12a96d71d691bb05423b87b2 100644
GIT binary patch
delta 144
zcmaFH_Ka;pyXCWs)9sG(Y`M;3JC&D#VTI#Up(sODyRE_u3=vMPlG_e8T3?l9U|?`q
zD!-!dmE~7?1_lO(qpF^2i4zYfiWU`d*?qNOV6bpHY6)UbwPIkfnD{N3b58v)+o=%@
y3^OJtF`ic_xO3idX*1&q!5pWfos5@GcRN1qXZ-)azP@!DqrF&&!{$IHQAPkok2a<N

delta 144
zcmaFH_Ka;pyJdo2vfWW0yLIzyrt&f{Bse}5s?Za%+bYb!(BRZ6Ic?rYtE-X>3=Q^6
z<v-N#wfrj2z`($8RMoJ>W8wit(ewWo+J3cQU=VORY6)UbwPIipnD{N3Q|07$+o=%@
y467z5F`iejuejs5w3+e4!wF7DI~n<#k2pN-XZ-)azP@!D<Ck^|$IXFEqKp88a5-cE

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp
index 34f34d62bb0851da48de07d527e33e0c65381988..87ac018221fe641f3ceb11a7772e0da69773ef6e 100644
GIT binary patch
delta 202842
zcmbQZMri+0p85c9W)=|!1_llWp_ZD+kbt9X%}fjoGdLL-*cmt(Qj!bwDspo|Ls%J@
zMSDHck6!aEo^2q)@Symww#l4Z&Kzp@UKLJaY)OvV#<=Inl8KIeR}+pfl)b5smwD`I
zEL-`+yZXVQ>R(^B>}kCCxNdTjtftqgP1P%PwJ$w(&Q4k5`KNxC@vP8<vc8q8SY&2x
zd=wY7r2X3TiFR9aI_|7oJe#rLW7{3?yJhQ*Uz9Grom^wHHTTQBD=vpEFKjpQb(qW+
z!M5nDSc?k#0`6OLAI9dd;A>!3UZ9or{K$_+_T_F@B#d_*=49TT9Fuoi>&LCvcXTqp
zuJt_TdNt+UW4kcXrCQVV=hizuUv^i-wP35RhsU{0dHo3!A8lIlQS+jy_{GUD7tNGx
znRQo1d-;rW1rz@mhC0P(cx~!R+bFa7tby`x=gu+{#he+}Gf!<;_r=5{>1U;Y=1cFk
zTQAlgsPyX!mboOPAG|16JFW6p+16Ft|9^gRZ`-FZw$oah?9bnKk&zKUqh>wHtp5FM
zQ~w3?A7vfwI{W-jVXR39-_OG6vj;ZqRTps!j(sH3aVl%~l=82-37#(Z|Nfh674Yl+
z?!WsFa&B?mQaY)tai3VmxkDRw#_hdWp?v-N&;J#TFK^#64qyE5S3p&zs<?{(N5?ZU
z4$L#75<W*Zb$?NKKRH6;Nnp65$M-D^pES1E)PHNYknl6P|0J<!=P^scW--0+o9Rg{
zoNEom6;FEkd{jTLcty}8eOjVYc=4HC;(HqQpJ;ir>66y(eYtG+Pfvat8uh?ed0$w}
z%7Y)79Ca`4NZ52M-cxE@`ky^L$6mgkx+44BYxPZQ4TLP0=y<#GXD*C?wPk5RdQ3!K
zWTN4bqTUAQ)mP@Paq8hz*gs84aLrTq7du}xwPbnz3pF~JH`lpt^S(~A*C~9ycAM+G
zPuMrOZI}Na!@u@gK1!;cJeNrqlsY$`Wl~}k1SR9QUYmYtGcqukF)=VmO`aGpJb51z
zJ9kD3JRxsZWif*&O}DU}dX|BK;RFLz>GXtt7M^-&dS^VrVE#WMry|VI!qrIu1Pl$#
zL6m|*lEJgTCl(}asBdU~ym^^}!Iln};~M#iYmWA;pUqcLU$b|Yx@GK)#={eP_sY*$
z5Rxx1%JXbveJX4838DK2(o;Xo<uo{LF1<U^{8p23(7%;Th4mJfbTlhj$|{yjo|2Kq
zxMS|V9a;<TxGveKaq^3uYo7(TTciC?@9>1Z|Ie>}CHId1VB?Bh^9KtXOTJ`<I&7XU
zDyF{nw8xQ|jB9@h7OA8kRN37tU-M;>>)MWW{8KvoCjL3L1SQlWi)2=>jhY<KW&jGi
z$&=VzR5Te_dC#ut>0QI)bHIp;C&u|iO7M!43@F+r|A1)Ntj(^)!3PTL-Bv#?oDgGR
z2zfcVFJ8R9A|)AKT<o2jpMTq8+p+uamn%%DEfk+&Hc95Wzy3S!qg|6tHa;$uNpC*r
zP>{2=aQ2NT@65}Z&vwU83d)%@N!w0l4nz0cgEI_&epdV`BR8o%a?<gaSu@pE|6$)P
zSN7=L&!?U>_uj{CoPXK>^YJH#&);o-8hq&g`{H>i^*`SwZ2dFo$<4g<pL<S4lov?7
zi}QOo{oltt`^uja_e!5nKlv#(f6~*Ful}CS`6V8Ga((6hy7IsKe@)7<`~6_^RqtI<
zKJzB=|CixuyZH0N^!iWYziWT(iBj#hnWuX5&*w+|^(k5b+h!g6d~~_$@0tHSCiDOA
zwDK`Kmfrul^@sbXN%eZ+Kifb5dysZy)5+~i{#*|=nprC`uO-lz*V@`T=ZnGlC*g+w
z&U5~rqciF1lZl=^{-;Gx%L}Q^K9e%}roqo|^I8KQGkrg%d$agh^t8=Co6Pk@#b!T~
zUvMk>+}8cc=eu8idfEBE{HJlp`qMW`PI{-kEHa#}Z?`#STfwnQKb4wiZLR-qKI`az
z;rHR2d|jXZsW$p%|Hs@*?K1n%zxy|yRKK@%hi&ELBgg+IP1el+mA&}r{b#4A*s9&1
z<gT`Fj&y8Iwpv}*$IPF}&H=gV8Y{YLe`)c}S@mGrOB=oA@i({rF<dCcKR4@2R)zU&
z|Ke}O0%dxKE>Er~xR-v|wydtymg{$*MSahWr#mk1-j%oYhxl4+*4cJ-N7ZI2FQ4|#
z=jZZDA^)fRU-FD*Z!F)$oo;XRPk#Tgnjag=_b`5b+Vk^X_4(xY`#!IKCVWBo_sfmn
z?q_d#Z@E=!_2E*kw7YTby<wAG&3vET|1n{zy^#F5z0Y;hzyC4!Dl$yk?<+U|>54zc
zo<Ee_{lETT)yetweqwuy%;v61+rRPCZu$B>|2psAtC!yr`TouM`b3>|#%8beKR<C^
zsM)%<b;T3Q`BN(<S9YtdDYufES7JR~Jn7VtsHYZ_uk#8o>zh2ecG=hU^WT)1bW{pI
zw)_3M|Nl{`mlo^I%{J}cI+1zL#Jta6t_A+F^#3{I%a*`B|Lf|{%N$+%z47@=fowL3
zgTCyu>YoeSMM~J4$S*K_ziplEp+G;W=v#kFy=8Z`&gRK|E9O7H_?~V}d)~sr0}^>s
ztXBp1G%jK}*Hu(0lz1-Vf!V|BrGZ=9`~FDrt6Vtx=XtWx@4LSr>-$_}HrLI_E4aPx
zpxvDW^Vp2M5Bp-9nRgt_tCzZX<$?5Vw(Sqtc56BXHy_^?o5Y{DVYY#Z>5psQ#PbgG
zmR)Xt#uokW(C>ogyHggdJXn55cx%=N##;}rNuTgK?Hjhi>du!I@9Q6n=jk5|@alHs
z>D#FlcIFNHteNjWyRw@Y_6oR^_4Xb(wyV=&^ZQ#X^VhvR|4GcA%Y53~Iq^rQ*EgDX
zxUZdYKL7gV_W#236Akj1F7gOp-D$biU^VX<yY!DD(?pLsEtb8zYvqnx-FXM%o-C6-
zYyan^-0IA{+kYM|e_6c8>&JFoGyDDWUmj1C|K2v&?@aYhgG0+C+@7l$1;|$CFW|1u
z+jZdio7e9@*Zi80F!|fO#V#ggUBY%-uYBn`RbOys-{;hJqn#|To+#NLskq~^V&?MG
z*BhtV{A*Ls(AKc)c%&A1R%7`$jmE55wuem^PdG14TK+9|?b455O6Q54a8^x8|JSH{
ze%_&AuSmo0Q|Aq2%yqMm{X9{h=so8fo4aaevr33l`N43RX)3?F68|V$793ItnDNa~
z&Ac)@?bXft@@>quanXxq5;WeL2VC4XyDO>G!s|KT?p-#W5hmv^9Y4vx#!IVow`g$2
zR_PC_b1(8vt8tV)zijroS_Ro1C!Rkp^)Kt##B$74wbb0{)ta3<Ztr9ie)Zt!#Ov1%
zge|DQx=d(qbcfDtySmUEvk7LO`%Fv%*^(Cv9k5<up0;`CrbYD@TE8CeUtc*t=Vgs?
z!Sc<%OFNnmF#p(h*S{!KOjPBgV4I6-Z}N$h`)Qkdk47DJcr0f9vh+yUWH*c1i4A#H
zoL4vgXJgv-UM(Re=G>xtmdw?^_AQ=bTGnllbGx*={pMwxxV=X%yId5DcbWBPN9Y&!
zr5tSTYO|_++NHlPdOc%vZhdR@AE|uqI*Fb|DsG;t3zNl9g)E)ocE@dI(v*-QDY@UJ
z-6s|Z9j(g!?QCT@S7D!3z{?8Go?ah6Uv4vAr+1th-##z>yDt09@zaSdAx%<p&6j=5
zg4aB+zEq=jhsQ*I>K4A}1wTJccbS<QWu&9<<SK*6Q3EIQ+*~yt=SB`6L+*Orv>k;%
zd7J`ylxzxuzoi|rzTD>9veGZY;!UViAdhm%7wuV`t^eBv^sZQB?!2|?20zbCxqzRB
z+cjcWT|Q|Tu~F8`{ppFGz+J6!66?0hO32wQC`!l+*cGyT^>$gW=g)Q|l<u$;h>-0Q
zid*MB?fi|ZuMJ)yhXX8Jw=J4An_D=(-plW(y4I=0mXJkN%8#6{-HqFFCt_}h+t+N#
zj`tJ1g533LUhP_9v@ZU1LQP21sc@Cj-MrCZDmx?cudsz)3H1!*dc1hj73s^WSyGMK
zxsgF{$|Ro&yBb&-c^-XvMfK!9llv7Fm)0sZy`JQ(6}#7&eZjk}+h0EuzmaTTa`|f7
zuITzHe&280F1;)K?Hd1Gk-anf{PiT}zFRB)v9If`L;bf47pG?|<94*V8?C?1U2B{3
z%M&rvla;UBb6H;fy8Y`m=D#<cwYIC;h#9LpKt*2m-I*h{hR^&s<LbkKd&=X=m)~so
zZy2UJb<roy)0<yOY!10m^Xbo`s?DzJ^=lQE*0UUQQ(anf=u&@*W6ta!*Y7`FEOgnx
zW|Q=l+0(S=RT(bR&Y3a4&P8ph;vId_ZN4e*-+v11%)Gz7XRWwyc(~%DDFKGU>+KKE
zxT7Mn`mpbb1y(iZef@vf$k?5K=6x;l+<^^E?{}W`F0Plj`A*Gu*~b(!(cc<7cimJ9
zTh_Quc7+&Ay`t>bwUR+!D!orszs>2@PuklkCZ%<{AtS`9YIlCW+3b+F>S1P$yTlhx
zW0qX`<qMm^{l}*^%zrb#?Qe>rK%bXSJ_EDe%N(T)A&rPf$rDcbx*hbovxR5P5#N{}
zb8IT2&fQ?vpS<RVyAJ#0_d8Ge7O&?xYHK+=?qljAR;^QK-=C<D&o<R(&?~Jyenu}W
zq_kW#YvmQyn#v-LPv1qf3It#5el}_2!b`Eo;saK8N5>yI)3wul*DlGUb6>Ci5y8l!
zZ}4LsXPOSfU*~9tuU>8XOx}(%zt&oCU0WVpsrW&!*?-!SXXn1%SesL_cK-f0rt@ci
zr+DTbx==SoGu-5qYv~hquKFvAT&}8<WlmL1Sh?M_>uTDQ-&|J&xt=bYWESv^*?Z@@
zgY45n{!KXF9++L*7U451!R1!@nZ?XukCvTHQ0)uKv0ykJv9?b$d)Dq_5iJpGb{*SW
z_c$o0Wm`!5ztgsIZ@<M}eqvHAd#iY*o`Ztf24B63{zK(wP9`5LO*p^QlJRJ6z0uXM
z70HihY+F9_rC7qHlK2*b=v#HmO~iQImQL7y;6YI8{yoO4rMOEk$rv-38sBB~S@mlA
z_q0&!gFYd@D%gF*R=r?<G-XYm`jw|8XOvS8vhZkyer6WTy!@8McyBA8^)mKDT7^C@
zle=o!*G%;id7qQ)5WnnKR(!?w*Blq7uWYWruv<+uD}~FMG4=S8wO@}KxuhO)-RG*>
zk*J}jVy*V}Lgqv^=dHr4T6MK_dHUbZ+)~5+XwHUHEw8O3m6j+P%nPa2zaF}3Zh)@J
z>2?#Q86ma$%?7LHCa8f_w@TbH%={JiWKGc9mMq1{UrRpRsJnOhORP)Y*N-6Aec9)F
ztzPB6ZSJLdr+=~OLH7@8t!(+QIKR^DZ)(yUt2=kTaw+dyqaR#yB58%nB-J1*<`-Xt
z7tc06J7?Yc3lE$8r$xW?k-4>P$z^|8vF8^LpSb+C%}XVAnrefOy>8SUl?N%i&L$XX
zEwtHc`!Gn#WoDE2Y}r?4&QB-le7&^NV{eLQSo!kq`pGZNnoq89`K+8`e3e(%FRlIJ
z#605=tCK=Ta~V?(DR4z*ZdSgVrg1a+N&2VzN{*A?&s+FXO{VX_t`%R5c>XG{{`bKq
z^68_zN1rMu>$%ykGw#W2pZzvzsR@Ud>fGdwTT^*w?LU%rOaAMPsa_i0jSYERTw#yY
z(h^krc5OQB_o=-;Wvj=9b&jUD?_d4hb9Hxt=f4BdGmd|sJ#S&mQmy_Q>$n?BLX7R?
zAC^iAy?#0G%9HHY^rZ>5jh)wPj>ov24JiM*?zq{CpO?P0n6COMbfjqV^t3h6OVpS7
zcU}|r)%$75@k}GLH^F?{Zk|OCSNq5&IsP`~*!Yj9WznT`g@0!)y%$x#diu($u%I<d
zPZyu+I_Uz+()#&F_cAl+g-`w^vix7k2ASQ~uY=W+H_aCa*MIa-s@Qkg#7-uKS+i}T
z7qlMQ%YI>1rVEdW$rr~NEu1dF*Jr!_6KCe_6_~Iz;Za5@`;%>pSDrT7=$gP|8pz<H
zTw?d?()a6&pWYIQTw^Y3@X@fX-gR0*W{*$bPD{&KVv|`NjI{Wl_^GVgtbSSD-L$at
zSiAqklAY569CWX(coJg5aItfe&fQCEXZQ#>y!|nKlHWxJm*w7@dc4au7L<wkoHWm1
zSTy-rv`Xx!`%14Ty`NXM(mHa(!Cd||eO?~<ubO9Ho%b<wQ^)1^{$ASym~C9QYn-lc
z$jEc*3Vk%=3&)xxfr(`iCK7YsyIpTC-r%%K^8Y!rPsjH^-F)KW5;pEDrBNHXVy82G
zT=DF;^zD-Sn;srDo>Mw`p_BRNGUF@PmAqL@R`hq~JiYv7SB>=H?~gQYT$lWNcX_HA
zyW-ttHPR<;&CJ$LIh(oeLU!?quX`sgO4#t*<ot#Dd9Tzg&rUFZWGKK8w1@9k)`>?c
zi7(jad<;m>d7E})HK$!<)&fP374pxfvFADUe-FL?V)X|->By=Dj<pX;wHMZH+5Kk4
zBc>~jjvV_AJ)XRjL&~X0QBEh6qq{j_Vfl&OFMk!i*rnk1Vusp^nH%`etuQ^l&$*x9
z<-SD6hpEoTRb1=Owj^iB=v{5O_Eh)VxvxLd%s+1x@o;~7f#=AywWfEomR%4%F0AA~
zKfXdxp!d|wm(Fd`nJZ6BU7aQ+Gppl{y7v*2h8LTDeoyE1@3X0N=)J8k^uAVe#wL>!
zo=?y6EaX)4etwHVCojA8o?v>;^yt-ED>V3;f+|hQFL+!re!hBTR(*(_Md3dGX>&3;
z9@fUM6!tBgb?I{IJaNX0cm7Ch5Hb&ETBTCpf2pKQ&hTS<LT$*cs@<hR$vFkZm6gT`
zKVMm{ESabyusSU?BlSOj?Y^AM+-bV5h7+cGW&ZwZy~k`#RovoFYzZ$ua^8twKCeQT
z{nD;Z{fN%lY)w-wxGwPhHkYc8<B|#HHvK+v;#-xU@#|tfn%pkwdUV8Xd-2|nCZ~-Q
zq^|z4-%wlTYgYX&zSej}iRs=M|4;5@)9`NU$#c4J#==E%b^3YbjSFnw&+d587vL~$
zPiDgG&}UnpUUhykar-wj^Hu|!O*($gBL2J0BBBcZ&Um~`pyT<n?Fp)RPV8ZimeuP&
z>iyB-tFEoXo&I3Arf>279b4zl*c@qdHCDJ(e9H^5R7ruef%l~@x@|Mmx|s9yZri7z
z_!D<OX+)G)Mslbu4!RtD`!3JL7wW9Ow`biiuw9rC5@p3!wYYGV(@Dvlip~8YEPa{%
zvo5-A6W)+h_Vq;MleNxEQ#l2Cye!-u(yx54Pw7g2II(=iQT<a%60(tA%cT>94EX{@
zECrqB|F%6?)fz9x{PIr9=9zgsH}a-_SRef1O0rM3StLhGHTTXkpY1GRk2Ee>zp**6
zX%-9P)Qo$#mc6|yuW>i!s80XF#p@YZQ+{?FyXJDMd5P<Tr&HN#KByO*abXF2v@8@<
z0&YBftp4=znopLA58s?FX7l4(x3fvA+F7D2BXp^Gh`)Vj{x7qcwKt1)?zj2BWC|1G
z%4J_ZM6}2WI_M_PpOPZX_to^oC*j_|w`?bC&B-X+Q>wi1fcEKzjC@6vzRKnUUNWjF
z?WNTVq9#7QZn-*M|3~9Zc{^KQ*CThj=Qq9F_Wk~8?%P%MfdW@@Z{@T7eZjKpDd!KX
zfHzAgH|Plav$K5F77JznwT~-GjMY*0>3OCtWr-IVRz-!_mPRsjMO`s;4KRMenso7a
z=kueN-?H8Pa;MZ&V6s=azCiUpv%1-qt7oh*T`BS-xmF@sds%)|aI9I@3|CKq%f7w2
z2HE1r_8$vbyHZYSU47D`YbBrAXBp~m^wXZ?m?@rk>Tagsy#U4TB8A0^tuLLp!7Ld(
zIk4|^fXs@k5~-FGCZ6Jdm0Y}Lga6&x{Es#oT<*(Q)1@;pNPhd`a}l=+_h-4!n0V^<
z%f!ol8~UelZRWA;isioC_u%1+2A!Qj@+m&P$1e3%hgvV{>C2opOH$vkeyYf~i<j!f
zRx%#)Sdn^k(gVd02Mo^#8>jLw@$vOqIkBl|F1wE|XHZb3&E8*|`J**=wqCjX&MU*D
z=h!2G?o9s=C#oH$JzK>;X_?wenVCjbX;H#yOFma*@vqKJee!Lk=MSl_$C=Ay4>td8
zOrEql?U>w&8MAth8tyr;`j%kYl1~-&RbRt%wiy-umRZ$v)OOLsttT~3H}-_c`JZ3E
z_x-l1hNhBBW!taUUF|bao_f5PtBU!KojX_Z$3LReC-^1>Z&l#%eEMll<AjxrKUYnj
zy=P07BhMtA+c#KO$Z>!CaFwm-b5ohb)>X$`<WF*)xYQqeAg=$MW^ZIs*xSzHpr5wW
zAH1nQoV(<$il{$t%cE(_GQCUeGv}XrymQTc|68YK%<5?k>Z+c#Og7QYsGogK&5qhF
zKiaD{oH9|7Vo>xBFXLo$2oet3wa#?HCXhQm*R0tevPpE3w1@T4)pvS@w+HzqFA5ac
zq?0DDke*d^Dll?8-$BWhf94s-=w8+6;JCp#U13FipqB~PCjY13YFH$Ln>UtJH%U!(
zkvutRPV9l#0`a@w#@`Wne{bFu7q%J`Hm7MH-UxxB#ZqZ^c&JyT(2^yeD*O&|7;m2-
z^<U$&`YbmWXZaM%^L`&QLk~-bd`uA(=s$IS;``X!j|=C&6}O%|`|lS}B0Y6^;_=-9
zXL7A4hx*hPq+c@p9A%uwe#FLP?Mk^f7Y({6DX2|dExa=!&-z;MQJ1xzPv4&SF8s#u
z=$4gi4yXQl@@~*z{uEeg^~tlKLErS}lTXgiC#M?N9iLNvwkEcMSMr5_+_hW!4#~3X
zUVfYP^T5BEvud_}JN$En&1{Y*kGEaS`>@K8;c`dDf@YoL_c`l1X3m=6#dBbb3XkX0
zr!EO!CQ5tkUJ<j#T+7WRStiBupP$3ND^DhC_jdhFu+!SF#`1GV?zSTZT4pZE(kYz(
z`W%m4Nx5?*-^cn;Pl#M^jT~dblW!}J<!h<A9F|J?`S*!66GL3q1JCtal59o#b#~t8
zd{)MNIpbqb($f4We%_P`^{L-pH_bIR{m?aaU#6`@|EbxQjC*tQX6dRIX{os^mQ1ny
zw@V>8%g8Hz*(q?!+~?D=Uh7J+*3*}dyLc}eYo$p3>vP<9<;m*!&;vCg`_33voa?yl
zW;;J<&fOI=_-$u7O}nw4`O#9gxV>zLDnjIZ*r!zFNtvc?tmNF4<Y<yp|8Pds1vbIE
z_pS>r<qH!`HRRa%bk>axf`N9jEDCyc`I!^DKM5KgZqYR;x~Sy6L}Pt6bIr<=;nrM*
zc2@Ch+vZP`UUp45xA9@uwB&6r(l$40u3j-0eSNSZMULl1M)Bnp7rV~R+}_1{u)+1T
zsrM3AB{kudjT*<}MFYi{1y}x=p;vFmwsp4a*JJ^n&@Cr~LdrfGu6TI0-%;ZH*?vat
z!p|xjykd$?-fcKn^fB|_&q~vi&rh>PFMA|^Ge5^Fa>MTy+w_w?wNdMCJoz|Lw7&JJ
zMXu$t@GGxg#C+ZTeEG*8uZoUX3uN!jH~r(7y!g(N;QWy9Un*+O9-DhE)cNGGYdbXW
z+VlUDM;$NUp$Z;g-~3wDhmjLBUjFoR%*~^d9n{t8K{IMoBP(;}92LEP^K}0Hy~j4&
z9aC)2v}AhnVV&&lha79ZZ`i)EeD2-S4GW*Go~+Z^&8f&~o_kib&nb#)DX&7|3L#dm
zwOm}GmLgjNmvXK87r1a$fJ#)SmuCjURz(4gx7Eh?x9^@mn<alr#q+<<&i>x}{n^>s
zh2LInIQ{itc2fP>A79RVx%S%n^VHW?-qFjpg<4$>wq9=L^LB;KYoFMyZ`<Bpp1u9e
z8=sP+)mD>@jpusZxwv!hrp257-a55RwA)5+`s<QE&!gTQmjBqZWaH_rzizTtO{hul
zl;bh9m$Cix>f!^ZAM5|NcV<{c`ptTolvk^k&zHh>_<>MkQqhq+J5uYjs<heFoRYof
z+}c=}6|BmWC+IQV?Q4mMjnWKlo*6rxtc>T(>1YU-@Cr*3skv678SInp75Je$!Lh&a
zqyx_^mn~Ya&r39PL_L-gTk$e-zM(;Y|K(d%OifCQR%QoqC`RY*Fqq+O>KxIMtJ``+
zA!u{zO>qHHL3I)4xaaHU316u1$=a4JrXmp3mb*5sqjLjmW(wn$j%^{Erg$wg&eV>*
zy7JmC&D*741)I%EN=!Pn*^X^`c`2bX!-nN8lZaV_n<Li&|IG~y?R<ip(%P=|3T|*{
zS+ns{Mq99f=(O({hT$BCodg%?1_v4I9I8Cc+FB6NV<vk*NO0Bl4u|Cis~+;#yF3h8
z#i-qN$bn^F)8*1f;cL4BwOQu4-8M~#GYXOL)7Ir&-YsT3`*hlCwWI>KwLufAmdrTi
z^3W%?aGFj+=2j&g4Yu@1OBtQ`NuDA>PoEU<oD;h^YekW8Td{`l5{sx;*^Q}9*Z=sa
zb1@4qe!C&&h|JU_AI%~ZTbwOtyY65PtM9tD^HtU|*<CJc#AaqbUMnDe)-PtJ|ANYP
zM&V%P1=7i9lV_~53VQmeK>7>E#ABA~GOeLn-pb47bvvz}<UQ@oLVoj`^YnYBT7J=X
z&{hy=xO%AlK*ToLbE1Y)Q_fCISvf~D%Iwwy8%2{iDZh2+BMOY?^oyp7=8KD_8OH=T
zKa#4CR6g`0^HR~$>pbFC6DxT17RgE*1v{Utu`|wjWOq~{(t7^1#Y}9g+BZu1c(Eq)
zJvp`XVT{&cMO~+D4z4$uBoD4`*s8-6sdFc$Zg0_|J3P(j96n6ow`6uyanJL=!v4ur
zy{U1{QU|qH%r6@fFGlr;Znf;`xDc?bY>(0eaktDx^(H|lE}!BMX)z4(T2>g;xmab{
z<ZV07iLG3h9H8<_aPy3l%i6AMO_i7;Gvl3dYL;{aZ?fmpc#dNmo(9w|j#rFW&st=}
zC7!Grc`u1;k%3;5#U^HsLoFGnM9+M@sJKT*PteUU`t#Qyq3nHicg0S!Gpn`A^R8T0
zI@9>`%`}6#Gu`W-NKbj5Q~PZB9FDZQ=Fi_wKe^0!L!;(!^3xnMhS^s%><=wea>`1X
zcIs#hSM#nImf({~fw=*C@(pJXna61?Q)AlT`orzmvCV%OXIxvnkD(^GKg|4~=%HXO
zKK4X6lcjCPUu-GZ5hS@>yDVYH1Re8(VGj>-o3Vs*I+)zEmeX%dsE-ak@FqT&n{&?1
zJZ@W!Hyc{(M9Q=lS}){@2^PI@VCyyqwso6XjSBYaewog*!|}&d1ED5qU76hW2WqNc
zzG)mb4`(&`qZ{q<;8XtUr+aOc9e?y?Tsr)Z<BZYQ10CwW3Ts*NRtgth&%c_KBzjb~
z@%Ag1IeTNfS3eR7k$9JIBK$&q_TdfQ-d@uW&-G#X$|&c#tgB+<-J>h~wq9W>e|*_}
zdXrwZ4BJCFwLiZMIDhD^zIsJq>r&PN{louq=k8V7*3uIxcj){<Mb7VrZ<@Zvn#6dl
zT^haD-~!w3nHkqiN<Sq1kQ0_&G0*wg|9H`c_r?!(l`imnf6Sc2;B2<G$?yTY&yRYx
z52X|O4>J3>Oj_DmvucLq75z;NHXYvX!8?6e>Q+o{-F-2B*A8a6q(w^&qRfgbQ*@Mt
zr^VhmlV<scBY0`K?Y-H0-?ks>pZVZq%rO~RGxsx3D%Q0~=C#_IZb?}zBX{g*#>p*l
zI%_|0ES`O7<^lnmQ!bYy>T>rL6yDRFDShj2LH+;9>t%R%9h~1#d)~fmd3*VX+miKd
z7tf!UsGsn0_K)r!`=dXU{&79du9#te;78oC^V9Y0@09+}-g1NY!6UtwuS#ArzAmZc
z-Tr!q{hbF+tI|7Ph15yB{lMIl|HR*T-m~X|SJnPSACnKSzn^yGr*)Y7(~T=<*g6z#
zSNkscgD3r!dVR0t<z()_Bb(>T-+2AJ`A_Y!<v;FA*dO{4-4lOe{Ugr02_N^b?#NHJ
zIq==!-|NRh*ORU=&v&gkG~Z(nPnx~jBW;7mbmhBJcE|SqG2${m@Oa8aiTdW4s`c|O
zRSS99ekkAdr)_%OdW)OvRvVbo<}aSI|6Ij1dzX^w9~JK%{%-tFyZ+ejAMz6VMfPtG
zJ>63<X+`L+n>Ls4vi|N1yfWiz<gxhFKj}R?=BMxY>~FAd()}~qf2Q*Y8=Rbd;u$CR
zsq#-vhoZh6o_&6gmv^gt+Wq=Rnd{}h?P=J?bL0DTeZIg(1DSVqeC<5i^_quGecSd$
z3uHZ9^{cZ`^L5hSd);@OUz~emUoSgtU&YF-%=3@iZQ_$UEp=qeBC0;h-M;kZ{d=1~
zsnhQ8dCObr?5*XQzI%zZZtcI7o1T1p+*shf-t0!6%>HOCrce=&2eGf*n5Mga&}Tmw
z9olqUe(!m;1YV&E8J7QL0)MuO9gN<c!{p!0V#j_^kp1An==;l4ln?IqzmqLoA+1vX
z!J5OaOyJL-*6(jP`a-`SXlL11DX=20gT>d|wv@$PmPNkq%O=r-`{w_9WZQk<z5=5K
zhh3q-o$rmS+?6Vp?_&4m6=IQLnQ!&|!Q^JMgO{&zEO2Bx>{xKd@k4s8Sth^T+INl%
z9Gez2KQLxL`1&`0<m^B1F7Cei(4A?qW7CA@2ld752j9JtJM->VuDd|a1cf&WKl+;=
zEZ!U1#4f-2yTTO($0Lpf4<6e7oBYlF$uC}6`|o$ymfLTROpS>>ow4WU#TR^5J@U(Y
zAAgu=&3E>?MY)9iD}%Z@bv-Zq?W}!jGwhDJp0#*XS5dUTD(vfzt&b~~{(M{Qd35QN
z5C!!ai(+1B*4MJH40)}2>MiFo4L;kY@1;eT%?c`%t@qkmVLm}<YhCNgxc3JFW-MO(
zPOkUj+$EVWgo+YQSh~BH*7RQVU2r|{b$P;%A2Sv&mfCr2#X)VK(h~Nz_scA;R@rCw
z{Mz<xvD@1NcOJ}My!h6-V=H8{dw#8FKD_;NjO?prQj7Ig8)mKMt3Si*TU@%rGplFT
z@?$HatqiK(pS!s5-g3iNomO^PO?+o~8!fF?EzRtSntLcDzc?Y!;Mt26eoGBsCCuuN
zn#Nr0T+r8-<sh~A*plmk>O~289A_`yEPo<!^BQ~heckgD1icqLUcYs<y8Bn@-mB+W
z=ce>tUG?ukFne}b`QAwP!qunhyH)>u^e;7b|8^^T%JNqqIdAy=``A`hv*`J1ktM6|
zEzY{QTx*Hv+h~W>$tKRb_AHqmH%D>r_b=x{nOa@@e=mJE!S3=VPF8;pcm0F27JgG?
zzQ&^(E;WsD6^G;&mMm4Hl$C+Gr#6^6OKU1mbEx%l+d9i@(GxNLxz;y(nx?<z75-O0
z^VBl$-)h#9*VUbv3wZ-hW-X9zoM2ZU6k#%@OmeeMuw5!QXUz0@aofGtFLqOp);*pX
zoHAQA;OVKdO%fN^I#-y#xns5L#mgm`#+<2crFNQ`sS)3~AKtVmc2(C4jrG&@y%-dF
zq$+d$w+6HLvWRm*y(d_=J&&0DNkpV3M&4|1{W|xh4yEF}CB8xvv%0%9*1ickK9yT@
zvEp5~r%K)n?rDfeGfiC^<J9xx$CtzZc5gWKBCqnJ#}6juyxR1svu^E3J$F5%bKT=Q
z->Y5w^>&J_ywCHn*H&MabM>v-pD*I1baRX?tk(R?<}1H6=iaVl_3x*iel6I}`umAx
zty@iS>hUl2&(@~hnG?5j@1~n~LT*}^8gJd1RJK-S>C~yOwZrpfublC5>T91l?z8Xc
z|DG<LUUza=Y1gry&uTOC<}A&g)cj^PzqkBGl?sPvubfq;IGH?|`L&>*mHp|>&l~4n
z5Nt_Vb&q3Vii*zktB3cB$xQTqo;>>-Tdw<4hsQTPeTwwL^^MQfuZV6>Ke#9Fms>Sw
z*X3xz`vqs8&aT|-bEW!LYNghbu46l^)hd1FUH`FbpXWWL>u1!Bw|LH&88&lfzu8jR
zt+$^f)OM_1BXIj^<Yz;tR~as+avnE+_4~wE>G=3{nC~anPk(+^xc_dwH&<!0u3O8l
zIlneJu<r<%x6JXc?J_p;lb22FEyCFKrlp&_OZl!6uXLVQGGNxdNX`2j)*h;jJABl(
z<3;{iBhGic5?}s?mYbI=uc`m)a3fu!?(6(x%5z+MADsEp7LuCgcAMewY+rF>nfHPE
zl_&OE9C%(~{{4mH`b!JfK6z6Q>k2V|R~KHIA#mJ{hk*fvMHo0IPmULw>|??K?gwdX
z)&H9y8t^ocL93ub(nw%~o2&uPVJPz9W!al^JY$!pT~)T*rEk+1^i>Zydza`6*STcg
ziS>Thv2BV`6=N~uaz^h|A%;&so~FJz`aJh{P5wsd!^P#Ivz6`SEav(xzx?t`z5mjn
zl_6SF7nNq3NcFlczNjI>Rd4$`Ktm+!+QReCKmV+;oA0-L^BU{9e(I6sX0y+xZN6Ev
z`_4wa?o!J&rx|z295;w)e>x-f+}py_a?9TooaFn?wqXCxzxms@nVD_dYMK&mUAA@o
z+_ti`uxF`n=B`<xQnu+{_4}hq8zXdtI$e}5md0K$Z9n|5;KsJx+uPO}{8%S+qrUZU
zn8Y8sKiBi83*Bh`FMVS3yH%!3qV^^yB{CkEahg4*B0BWj&bpP>JL0vc8kgtC@7Vce
z_0-+l|DRG}c=GY|=^NcH<u|yR@3TI+mGrY%x8pMN-O%g<I#mnm_RZga{%&Y?&5Ozh
zb?fG5uQiX7x!e8q*P1J@Wm~4LynVwX(`WO`ef77rC9bT$Hmm(;;fLhLL;9`qUFJuB
ze>eYbl^jtQTJwQ{=_t3b>WcTX<GXmIj8>cos15v)d*Z|M2c?coN8~y7GR93gmhm?3
z>*rId#++$7yQ~>*MtrT5H03$S$n3G<d9?WabI()Ho6r37r+0hAozDh4c#nl>&ClPk
z_}-c4M;?9pS$}P}@YIvfqhGK6ey{rd+VA(Gh0mV@fhlQVaB>p}7%`-P!T;#G``i0@
zk0<H&_liC>6RUnGrrdV4V8*n=hweo1EofwHOE?_C#+=<6Jy()Hx^lJgb}Qo@F?!Qa
zKh>V<wKVAFR3Ej;C!hRRJCwD~;EQd#endt6xv+|JpKQ}L1$JK#k7d#h;(GAY&F+3)
zZccK}jf>g!mA3bfJ>P9FxMTah>U=#FP&D=*fBdoH&;7fWJ-3%{keo9AZM^=Sod3V>
zzu(hbz5l~h<I3+<59{o5S`WT|boaFEwnGapW|&Cz{-4e5SLF4X#rZxfx9AUzH!poB
zOb};0R9`Iie&_E8mah}?4m>!QcQDj3mMMFxmwEexhDIiqdG8sSmR-{L@-pX?p^iBF
z_h;9RZCf@Cl)&7+#qb}T!C3$2%frp?{&F_uZ)~(xxu(2rF^*iM!Z}IVtxG^sNrhvA
zyj|4>>yjr24$glsWs-KHgYj`|x0p`Y3XjG|EuBItKkDaq2q@T9+^9Qm+5S93dfxoZ
zio9uz{`v>5ao>5#vTE*uZI{_=3ii7$-OB%i-EO^edfyz2f_pnM52y9cGRZhML(@5}
zXNEz-zKWNJQoE<=M68Qg>6F?vMI&IIMdqQD&PggBeG-~ZDIF6O9O{0&IGEhtCu5P9
zBYpnWbJJB})~{;n-ILdMnI}&(JU%1wjDDJUarexldy>Kom(K`1qn;*Q+&S}z&7+^s
zMK1CvHh0(`5d4(JUs=p<&nIn?a^OPwK_^x_uIegbl_#zZ4c`wO{1fw<L*T<2UbT>q
zY8ES^)C1<1oZG3!^wS|n;o0smZXZq$b{AHM<^C&;Z?&8{f1`43QT@)}m6xMZqyOHz
z8@M|9@L!v@L;jZOF~WXz-FI~k)Kpx36%)F@JdET1_hPfkw>#?|vxV=r)|#+m{%YIn
z`OVs~OuMbK#3!#_Tw7@GU%hXk&FQNb&D<w{Pugq8d3C4V&8qU}4KGrX-4gYqPJC4f
z;=kg==f6BC!=%q{d4UMm-1bBDkA<&&h`X)-HOl;cY^-9@k2|qf)y^$p@A`5309Uk$
z<l38e{4DQvw-&E4+;Kd1TB^k<1;3n@-a;Aww<}I~?o{D9eBpKPGow6Bb@lHp*9r@o
z4mKV=dh}>u_T9-pkF_*6cL&{G;AQ^w_U+jsR?nk4J{5lW&CJ#5ye04Q(dW_GYq!^L
z3tL%IeE+W9iMsON_oe2s@y-xhye%g;I{Nk$hefZh-x8OWzAY@)@Z_%7u6gcGt!=sw
z3zRLBmT*i=|0$q&{*!`3oz3cJk8dXT$95m*leM(yOSjzrQRlhYJllJJZ{MsH2#DYC
z{|l@99<3F>?{ofZzNR%bkMH1}tJm#bw4bccF0Ri_4Ud0)%W1aNQ`?C3{dxPimhvAv
zbMDHOD@hsh*Q(5VuWkObH&1QD@>&D_4a+4HE<Ui0SpS|+pJ}U}qukuzcLL13!*n9n
z$81g7>J_RLvfO8?lBrjSM!<ZV(nni7gVlVd>x3>c@eES&=$A1~+TszY<kHR~s$}93
zpy0sRvSCI2<HX(&CBca*te%OI%!j2F1UwQaId-gN7j9tc@nw1{;F&1mWz-_j#M;%t
zVl<IOxU=JmimX7Y*~0T{<>h`@X*9?0Xy-l3H)Gcag=ME?zKDFiqJ6XR=r@;z(oQQm
zUUq&_UmD}@u(qvU@)5)KITK_jd_GnrBFM;5s}cPnh>O9zp0nY?VWS<gdk)NHaeR>|
zS;HUqz^_T+OTfB=FGU#glo%GWKjrRQ#!#T@C{Pu^mfkGBW3mE=?Ud{St4mxQr_VcE
zd~b;2Ja|9x)nw1DVM$uftK_2VYM9%vW*!r{@n*3Ck2XJ73g_ojZTpsf-YGiqNwITZ
z=HtyRN0Xwn?_Mh^UsRvIzgU|^I%{pQdeJv)_mC|$tseW8&CMPxnScLnUVXi_)atPB
zvG1q0>ah!5wPNQA_gSd^YVYJmDXV*@KS%|2T4j0c@nwCGEpc)3ZPrrz{9djq*~(z?
zdd7Us4Lxpiw4c@}M7_$|%bsyCJM3J-j>w~8r7asWdp3j_3!MBiZJTZV$^Sdq82O4F
z&YfhRw{<~*yutL0#%CtCuil-X|GEBu`u~4-|NNbI|9AcGxA)(!|Gv{w{HPkMzE8uq
zcX#aaZ(crie(U}v?oa%mx<B#0<jB72?k7VX-lK2kpN_p|@nlEx2}7y%uggu|Pp?(_
z)+%%LpGB*@bHyKRRvD+Lr}}-i$7F-*4+pgw$jnN3_sHk59iwFncY&wcfk`5Vl6EL?
zr!n;{P>gX>nXM4cT5;P>T4mPaMRkhLzh)mV%Xwb5ZF%Xe<+Zmao85ikd98-aLdfXL
z37<seobnCXpZ{~^aXfF!$UHDp-mj0#My;1IgzcBhhU>>2*aE&!Hmo_Q{rFmrWq#vw
zp?cPRUyeV0J$LaDA%7pkBO=i`g6rMW)@*C!TKAz>{=<~n(=VUqNULcJX<6y^+jqgf
zC7tr}7bh>iX1tFp+(3_`vg$*|tYz8`GiSbXo@#n{-pp1_#_r8)dg20V+J7_5W83Jo
z$M4x;hv$s1pG;C_WH9b$bm&otDE+T~QRro<-iQ9c`qG2T@5Ef`|G#q4v3EB-4@vI6
z$o+a=Pay9@_P&3d;f2Yp3xxIVO6_9UI<>P_GTtOk>Gfwh;|6Q7#uxu0|4RRJKm7mO
z636&ljh=a;cD_quy0)!doKq@tTTeIjqF4Fk&D;-TBpM@3FCF%3DqNGsC&adSmZeX`
znRRKFA#**W=6TnjlU`nH_L6_&BJ-}Gt$`0C{al$kYybc1d0V*X!l}cPI64}OcQZCw
z@Lq{)5MJ40uIqZ;>bCIyX#R_b**66S%&SjwFn^|RX@2;;aoh?^!#C`lg1!fNH$B?V
zsQ#yc^&z*zft1-#d3L#fPdv?V)O6`n!SDUMY;_q-b4338U0!l~cm1iOA<sSvr?y32
zEl@E!cV(Fjk5lJT`9jvj&eI2%|I2>GVg0Q`bvlE_@_t9Pxmz?u7c=!Gd0+HqYf<V_
znPe=NdDhzK`CgArQcCAHiF|F{&-X)u>15y2gPRm&WIC-+eV&vR(qg=AqG9;s;{{?I
zYus8R5)V8H>RTkd>;Be7Tk;I*TbF*?a&tq4WNLcS<llSFoaOp|afM;P3}$9c<7ZoE
zPT#e5+ktae>wAN8pVnLd4Va|Fp;jireDM>L?h%nWzZx$uii(!7)SdOg*JpcC%zQcC
zIXx2Gj|)^@9yr?iansJFX^di9#FT`K6KkCePN_VIJaY5EMaRvC4FC7l{^+R;y>q;t
zt?4(<Q$v0pS(}#*9I0{kzu#INxXGco@%-w>xx5G8E9`ypSp9`NN2TGcmV`c)<`?Y|
z7xdGOwy%FYVIm{9^}L%*j5BK9owmMU>r`<z>9nFs`oDv@fjjr6mnDg0i$7SFBB^E}
zW76g$R<rH4qtnJqW=~8tYEL(tHpa8uJ-8%Jrrxl-sq$OI#@!E8-CwU3nJ>GXchWR#
zmz-}MGu{+_NIYiKCl$#r@9X(@nY_U<kAtSIXO!hPR41oY85vIBy<njs#}4Ls^I6#2
zB?Ap+aWr1;;ILWd!Sr&yL~VG^qK>#3Uzkc+Vf_#ea6hDB)xSPoIR*v}IR*w{Xg_40
zBRjYs!pI@_{UP#>53`gM3($@a!;~}w9i`B?4~ETh>EgFzS{}(JY@a52k%^u6(gm|q
zWlA#yOX_Cs$YKBCP_R-&=*hakr+dr~T7-GUe{;T(Zr_mcEU)46ZhhIn!yL<B?ic$v
z^M=s_IkwCXsd0VVm7;`?s%6)Ijx*o%I<0SxTqI+!M5r&DbEnz5$%j~DE`Pee+C9v0
z>#uyXeH-(6c$M6*^;Km(IyWOGJ^w}5$$%}|T1zKvn{~9{qt%=h`&L(L^hsY^!SG+R
zYW-F{bDf&ybu%M3%ysFSGqIY>(Z|Py_5WpK1NokIMPa`FU5D=cTlbsk$kAD<3+n^d
zF0Lue2%7TxUc;@M(w|oSnsl_xeDl_=o`EdEVfQaB{A7E3*R4eytDTpW-Io@rR`Y*$
zZF9-rdY_oXZ=$811U`1SrF$kPRXXBS{o>vQQts9%nFk82=UXH_Xg|-mVdt^^g^B@E
zx3^v5joz|Sy+knB^<cPyUf)t7p`eqK!rvxv)PFp8@7xBhCP&u%M(2H-zk2vjR}ME+
z@A~m<!m0CHW<O@{?Rp~orM9^G0{hw4U$GKP^p_bW=N&T%{nny+vorr~(D|sOx<^a7
zPpm%6Sh4KMkMmy&k2f)?@CNBmbbTq4e4)B>k%d>=^9Pk$x4E4P8z;{$m2ot0FpOFx
zdbaUv*U1m{n=D_f`Mp1Wj?FGL!N$+g5++$wqr6;K&koPApSqj-!IFKdvS(G^Gfk0p
zO?Nvyzl?E7j~U0@X$lS(x>ub&t-Ab2Zr7bzpZ_17G0Qj3Fz%Jd|4-fz3?2%FGxYXx
zFl?N5-M4A((TYmxi&`3W^MfB5ua%Dc=eo7_dfdBb{xJ#mZ&uX5C|xg{wawb+%O2zX
zfzP}9>*gF@)1}Y3_*eGx_rYAnQ+Tw5B4*^qw(og4O;1fuk3W<_X3NLWG&U)%{pS~b
z`{_1i_05wS4<=;9T`;~L^kwg!D9%G%cZ!x896hp9-N5K?6hmhqORI~W`n&I%8{8)g
zJ^kVT@OCJpp~JFCQ#fiPT<X7-`LcaV$z5dgGxlk`8_%nr0JEOmhhJE4ZxlcNI3?||
zy~_kKlZ5k=6$DRw{MB*psNfv4<?~sp)w=eYpD}Q1V>d8c*P7=gs-t+4%l>9WqT$9X
z>rYjsJMBxJ`=jrYWAl{M-&Py_8IDE$E6)8;(vz5TqJnW-w8Zz)jgeLRZ@;=!KO<TC
z@P_|hGx);Z{!o*jqR+bJ&3@7O8J@2N!o>gfTYpe^BC@wAyw$Ax|13f6_{EbKUSPE`
zOz*OuzU5TfRPUSR4@0)sZt*^CSUzb+37hELc;@?F%RLf8BI_Tra;+?u=+I6u%rr=U
z9^3qSMpojJPJ`2v1L8j%t$kcl{=wqo>=*UcVfUi5quag<aH=;~8LV>3RGz87{@+uD
zuGb4|cg$bJdux&CEa8Zrc%y6K0&OmNFJjhKFY06A@aDPUdsHa6My_$=&(8~V4{0$}
z3t3!<u<BErrLbDG@o)Jny^?7A?F9kxJ<U8lCyz_tb-OX+1n&#Cxrtx?HVFGpFZW1d
zHq1C(Kc%)RZH-$Azp~;ct5)VW2^+W<><|98HFE9shnJMaoqNNqn0Hofo7EALYnpzv
zD<JausU@F7p7yX9{z&G1ynt`h&s;NGX2tV|BKFFDj4ixvcCb%K_hf3hDu-K~(_YT%
z-P@a6?0(Kq&Hi}HR*s4D(8`oOTeQDsxIHncZ8qjP8&N+;{F}e=)yvu&X7JANstI>p
zJ2U0oq^GZYN^UHVyu3hPcHs*Jp6%PyW=z~R?Q5c=%pXRx@0+}T%c*Z?7TB7&e0_84
z%;0C+lVp95zO;God+xqRje95e(YlX~dfToTynno=(xX-<Z0(yy1Njs`Y4db#(G43z
z`J(pf-}f?mv`dOxsD9N8Ri0xUbL}{ee2frm?)!1Is_a7U-;ekG<*c9dY+iPq<&5)&
zkRI;8$F$SmZQlJLhv7=cWNU>wQH6C)@=K~z=LMfQd%)7GQ+wX?^QA4*zcR_3EmWIy
zX>$32Nspp#c0TY6Y>2ipvSZqMa@oO{J(DLq4R*2Jr*rqliH$mb4?N1#>aQGYo5Sq)
zkZ19>U6c2}sId8}8ItehUHw`6!hO5YK!xC#{c|t-?^C=}C0JPIo!MDnWSq_TieE^#
zrTx-Nci9O7ML~|wXDMY~T;)7pVCK)G{q?H9ChJ^O{h`?W?7{JA^VtL@w%vI8<H?%l
z|Ew>6ZCzk_wN&|xx89vckK4^B?>yvQKVi|gn!M<#rrWp4UlNd$Y`8tAVh49r!RBjy
zSt%9|8H*ZbbWi(v`_+z{^;eYrs*+j#oz34pSYg=9{%iNW6G=MKRwX+k8E3~ye8_Q_
z5a)k~C0dT5l4aYuO-)xD1@z<8@4Vpjc&Y!!=*{!sz7OrI>x&tReY0k{g_$*QJ-sDV
z^1S|N@((^~O$nRdLH<{`AEhoRQ44ythPCJQi3o1>Z58Jm4f($*Td(}X_C#b=#*uFu
zqT5%j-7Ejr-bvOdhg0E$(p>GvHlZ2CMdv)3c0c&Q=xnu^P4@TFN1EO}ALYMy*uGrn
zu;iVURq(to-%hO7b2zvp@yAxt{-i$PBWj}GkGb@v)!&;dJhMK^Gm^37lJ|4oj)`LD
zOB}ByFF#g)VxraV&Eb(j;<6_bdP>=^347V|%wOfTU3&2f^RgEy=RUCP6|t>RKjzF`
zymyy?(#r$BX0O^_eb8UA;`<qk<mJu@NpDj08ikCN_B1>{%vAqUZ|{B$3p)?TS9=#b
z$1%;E95-L;K-K(u_i0Oh7)f5RUcGexOVb7y&zd8$ukur5c5Yxjel3uF;*#rk1V8SJ
zJLsZp{``N4(8Zwg|MqXs{Y;VTOk=3~KQn#OmHvHos~i(mUtQh4MOSV5is^zg*i=?J
zp8U@`WtZBqsxPZU!+#o{zrLmS=9QkQPrS@34(;?%;Oe|7x3^;Q{krb@^8QsDE}L$v
zVy-JqUoF06rVKX+??$!?*T$Mh@1M@P)F~_Q?jw7woTO#8FrSjw$qOq|n+^pPhuxFD
zde1CMAW}{3(E7!P`Zi4Y_^mKhhcDpM%a|mVf&?Gci4CkZ2QM$aKfTo|-Shsg$h85E
zFNJ^pD{|l3^GN&f9x3-lk0s+zch=Y4d>(bpW_3~!|MM#afsbY~zYA;l^hQBhNw$2c
z@{AdqR=BAaL@4Z)c>9)n#;X>cjEM8A{zW?5q?nb2O=7gvU&$k}De2sd$KS2<E}yqI
zeZAZ}@0>Za$&o_V)A{Ca&pC^DEs~k9)fu&s!yzJm>N>$wCwJyGp1!?e3fr8&^CPS#
z)qiCC(Q$Xno5P*@AFf6#*`MG|-ae&O#_?$DhgI2k|Fo~)p1ZIoVz<%RsSFdRO-*x>
zJ#G_b+ZJ#B=|PE5vOwIdw}-i&@`PNyVYk!z>4mIb<-)v<`X?`P74|4Z++m3;7hF<W
zq*HdJIdDVj{67spHMdVvUCw^xru}ol3C#B#7>yb0uk3kd7UC%5RGhTv;l8ws!Ea8y
zK6fpZ{r9hRwwlcO2@6aQ`?|jq{NpcK_IK(>rCE!Ff8ITq$oWWfm-4Jcf&P_KIIf;O
zudwC+HPNH}UHZ2V=kJ()_NB|w@A*0f3)e{pO|smvJ|INT;^BiC(GM*}zOFqenbCjh
zQ2GS+sod$x(^H?-A1eIGR<g;o$6!`2`?;gf<~rvsu|2`u{BwcgI%Zvw!w(~`F7Ubc
zZ`oT%#*R3)HfvT(DHWYHPdFH)-rg=gxVva=YUqW-Q=d%j+7;*2_jt3`WP_ip<_2?o
z6xCtv4BXQFr+3SZBdmFiue2f}tqrG%95^-c#LOA<7{4ytzb&!sqIX06688y{oy-{X
zwkYiTy?kDy|AlQu#jNd*jlFgRG^*tuv3Wa3>|pb?o&5c>TK29N{=fMf>f>_x+9UZ@
z*T0peM(Qo96+S3vGrcz=;nBSS;i_A^yKdPF$p0;EzURO5XBlI*_4jy_y6JWEn_i|G
za^Ki+>_1=m=WhZ5p|8S^tiG7yo>ngz-*xHkvyDO7oqyWqi78(&t93l!{U!Ncjnm5N
zqNy(pKGimr@1FL+z46A|Z|N^z1<dYxuxxG8g^cBI+mD)eicDzIIR4^lhF{&Djh$QA
zkF{UfbNs39W#Js9ly8ymv$pFmSjha1lP`AK?ah2Mj~RIEVc{uWuI{~?@5Hnnz7Y&D
zYV}T=Hf*VHJ$NqOtE*ynCdU`+7t+(2cYHp-HMuQs$4gO*8w=b2JkwyEQ}*{J;}ITq
z$<<z5hu2?yZMUfR{(ZSq*?cQ+&q(NXa1J?GweQP~>jsh=KG-bdT<|V|z4Xj>wiy<8
zlNAr<^N8+aztd#IH>+Mo^Zms?GKIS)a!$JKU6BwdT;DT+h5eT6w8@?PTpQnVEXZCs
zp-TGkEYS-(-hOs#5{e!5uQeRJF~yt5-l$(ah4KFMBg_BH43>%7u&ljXk-2l`T*K$L
zMUKAvd!$q^?XBdxMU00Wg}>~YzCiSg(h4DoxwBe|4JIB^RgZqc#~AtRn$VZui_KV<
zCrS!EnY-=5y+Fs1`fU!pN!hm}AKdwP`B;H!<x_2gnL9d8T3QHK{C_d`x$fHPQjfI{
z+c{Ydoh??pT`a2gEcA=7a<T+VXYcmQVP$-|9Iu`zsr+cqyn4sDi%X{Rbz}Q9#c~^^
z1$-Q8MvWg|c5615{JCuuyEm#<QE0R2x#&14Nt2iRe$4keS@3W3mjCr~d#+sH{e7p6
zchB?;u8U8%a_8E;Xpfz}PbtIe+@`>!Y3Gb41pKp%6Xm)gwQ`qk$rNcJv6p%4H7s03
z|MWky{B_hh%yskr6Q`fQd&EDBJ11Y!^;nXv59jBJiStjne(0U^nq9-|cmF5mO+ksm
z2mRg8-&b;6H@j3jf0-l0{ViK8&ek(7?u(dT_x3@kp+WzC-(&6<j;jB<%Q8u4arML{
zfy|1JJ8uM4o%w5BQR(z4!k}<P&h?7$?^RhR!n4HMjpgRd<X^d9@8JiR4xTaobY|=F
zwCx`EH~Cb~%YIgWxc+L5cSp*8jYX&CXyw|dEzEo7zU!`njWhq#Lsk~oq8*jX{UT$m
z>iYxk#mp)?B0tk@`tM$zBWpxWQvOdk%Jh8S$p-0D7i0o1Rd=MB%ro76;LZzvAx<a%
z4K7;w$G`ecDeF=#IgxZ&K*NxA+jH6HeSA58)>!b^9GImbuK!D6gF>7BtC*Dz=ia$h
ztYMn>oaqkRtW$;wLg9}#d7C<yY>u&?P``!uF?)Nxl_%GcTee=yk}p{b%*<Hyf4QIf
zdp9A^zk%vJ0b8CwarBs%{48Ly$@~Pn*9rc!PEN_?Sw8t!@r}hk<q8iB9kLv}in4$F
zy8LEQv)bkTX=i^-iBPQTo-McY#v_Bp*$n@k*4>(@_j8tman@;Vg^*|N@78Q}^l{a;
zcrtm;ni4MQD-8AbJ_Yc0Ir6@-{^jjn(lqIx!%NYU*3zgI@!2VkD!a08>!!W=m2@Ic
zL!7Pk2%pKBGCKk9#aqojB!vjKt13%fJ>{diY(eYk+AmS%dl?z8C8lYmXvHTzUcBY?
z8MZ~Xg{P0`eEB%x(VYhil}#)9@9w@<Q(=_d%(~8n{ml3E$!t9$^~#&_>|?4k_h)z>
zEtwqiJULZK{8~fa|K-!8Bqw?Pdsq0V>`B9auG+)PridHAzCVlW(Em>w&+H%kujy%A
zQ6!=n#=~M-&Udu0wKUazX7rR@8}-ke^9s`Ix_=~M0gIK)xufRS{f+CUFy8E_nO$AN
z<t05^?%HDaJK_gQnV&Llo7_=<y8JxH)=Hi2(xE0nqTM`N7t)lsTFzJz$k(u}RJ^dQ
z>ro{8+Vm;qg4Gw-e3tKi&E=V;AhF@G*Mpk%^L34VnmiT58}=&iUMuMGt6-S`qerUq
z;ZI9fH0!yEbG6lHdUVNqUHt7B|6ylVrNH!q9Nq^Tt&abGf2Aj-eKBX=(q@lW^=uv4
zbH2Z8xXO1ga{s+`W?@`r|JQ}uEnRn)CF1JF!{3e^mta4<C$&s!_v3?{-<~mR_ZEcS
zF#q6IlTd!_{mL&Bb{*<VI@b7QNmkgmO(r|Mf62t16I-yV%yx-M^v&OGKc{JaWZtK}
z@e;H6PL~6>`?KbqV@$U>_j<eC9d+0FH{U)}uJ3p?sgW<$@ypjO8@?RZU*i<G@ZxDM
zF6C>rR;M1-WwlDI_{2Q-y-o54>4)>*NE|P&5Z$Q$;m<Y4Cl~oQMHF%~u8CvuJ|rK?
z$K?55!iQh*pWHVuy~=m9xA@+YYhm2Bt#{Q8ZMQmc*Z!HuV^2pseIr{VmhhnaYOibX
z^9mjH-c#E3j1F_trxd;Q<CwntfU~>w#@MM7_@Df2v^;5a_tH7PV7683uAcwdKRvr_
z$=4Xu6FItGXU=J}eE7z*^5V^f{~hyO^3Qo1|NQ?vAnVV)zw+Ntx;sxhy^urb-6gr0
z@+Q*>69Th?T3s)8rg^J$hg2EAvpB?MVpTG6h1`yx-2!VJ19#TveK@*t#%huH2f<;I
z%tzFxTKn9!YzgvNDx^K_%Z4?UyY}9iv(T~l#(S-4bN?=vTV|4!|3jL6mh7{0(p<Az
z|J=R7lDD<`_3DoM`8(x5EZgz4yY|bEf?M~d&0OR6Fyzy!`FuSYXPE7lG3X|3_q@NY
zvpHs&vRIRUndHKs`#DvfYi8F!Di_Ll9(+)AhyOo0$wh~LdM_~KRZeZV>#j1pc<EW@
z^P4`HZo9PUQ_PRcPk$EWM9gA-x&622@gv)<^faBto4A^iL$%+@I?5(ayVSDh@S}_i
zi^JC0Z?#LEX*oZXu}J97oS%C){*Lau=e7A+cJ_NQuL;7N1n$bt(=VF7@$tOM@a+0m
z+>_rqxrJ#dnRT1wuYPjoTGeSgF_yRCs}E)^3v+noyy^5rZi{%sqf-*<Cu@EYd-vRB
z@``rxZ@25@WiNIve4Oh%_2Smv{<MEZ<zBH;Po*jxzA(;7*^tw`durb))89WEw8WmD
z)Mym=a?aS(T;aH%v)0Tw4L++qCeCJOXS_CkS<fMukrAR-y5V$l7Q>rG1^zAJ$?rbh
zoPI^NYCiL~w%7N$3g<DdJZe|M&BEz@F<9Gh^X1hdLQ*;tL;fr5dhk#{FRVMxS2OSA
z<g>MhawcA9Sgg9-XvPEA+K%&g{tI2dzj5BHRYGeMySA4fRs5pzFf9A^#T#Lpo*ftR
zU;k0}^Yol)^(#&}Pi6^P*$$fajS3RcSXTOj!|3uIwUs6garF(Hb$2#fX1)qyn-Mxu
ztMTaUdvDg3G+rzaX#D)ISuW*pP0mG)wm)&+H|&f!{jGnot=zeaWqL{P%$=MoDtxvr
zFAu3bc<e;9VjRQoNskZI3H@j{uq~?S2|39h_q*kz=-I^WyXucP=ooHPsd*QEb+>Ny
z!ZS|&;cG5R{G9#2?f)`?tWQBR_7zQvk=ml2d$42smg)Mxjxv^YGT2SipB@*vOGsn6
znFPl~jyFLCPTzO#kbmXl-2K7Ru2!yQQSH`ZF^RKL+B%{|a&xzOcB}|K^LKl*Ri==l
z)2z-5XIh^n+~PXGEgl(JUu3<3<MN9ie-(EzT1|XuQKlqW>#ivM*=+B%>w2HLKdYX(
zvdL(H?crOS9y(cz9u7EedTyeC!r$f_XGCZ0++$OE;j4^td8$+0>Hm{kc3x-w(WLkB
z-IW)LKVHxN)!^#DeZKDo#}uxFIgX2Vy;sq>Jbkms1L@sTqG{Jvr%zeXe!t(h-u=Oh
zi?dv(b#1<SrCrY9s72FKmw)X}MtYT;Wy&nsEms%$yzXJWHvgef&7XI1K3Tm|DY-2l
z0u;-ptT4ZF(QAjLfKj~d5xb<*i)OtkG+Fnr+v1DG$D6b7{1l9t{o#9=zKqhWYd<co
z)a7)!a-zxL`*pYLTkB%J=?Y)eveR5OZB2i@b-0yx;TF5!?va<i&O0-AnPpf0nqr}#
zU3)$CY^GP<GF?%--c;tAtIV05tG*kyTsat@`qkz91K9wL8GQLt3niusJpI|XWNBeZ
z7H8S)`yb|=37@%g(Z4p1w*Q-Elt=q~t;?`Y>tDY0QPCn%g<pZ*&!7D_KJfT{-=WLZ
z+w-3;<q59e#?BHHD>qfdBdML=Zj1TSqw62M+q$o#RG|1!>>W*+Kl~?`KiMduAY?PM
zdy32k;c2(_*3a8H``pUQuhX*ocP{MyZ8L3+luebVNzB^IY`vjd|6l3!bh&l;l*W>m
z+}vLSZf2|JT??EtYfGBz3EsTEuWkR|XC<v$5ntZq*c(^(<XOGsgzl{x3tkEs@k-=e
z?M$f4;B)1(i&WHnEO=~=U1p=lG`&~vBK^`A_mxc#SmbH-Q+C0$=L^L==bg%Bn$IZq
z?w7zZ@sRft(|x6j6{o+hdue0blX!A}{lW6u_Y6-}KR&rRIp0eC*1d;1+*0$Nb?SX%
zyJGX<q4<Xzt9!C^0%Kio)(0_5Ef;Bsu6Vuo;-+JnYhLQcU6H+V&$Rk!VD-na`D-2u
zR<F1CenC=B(sp*MW5U|v({b-UdMjt&;CiTirl-D&%WCf*v6=qi^Y$*-Vcg>{CXn-j
zyS&)(Tff)!%f2PgjTP6}OJ)YlFf5xkYq?^S<DXga^WwtogIS+nWm-1dDgRBq#*K}!
z6P1sIzj2r@bMns<mB_M_JZ=j6+!n8x6`e9!A;|M(#Lu++dkYTtBrqj#Jvu+bBHY~8
zly5N~o3(V{{<^*jfu#DyX+n37s86ffsi$MOX8*orKLhUeewKMPfBy^zrqX5G)KW{Q
zUp9zRJlR;b@VSwcYO2zX&hWi|=ia@3`APkC!Tr2ze%-8>&n$eZ&VA?D9lvS+_?L+r
zo9un~L`X|w=A@Fa-b1n<>{ZNp|0srORR8n%u&_U4_L@7=40<k;JY>^fZMklI#dema
z<6Ai?0|qA383u1>`%ACNVUx}^FW+u@aPyY!ngu(|4x2n|;J(&zy5L4w;Qq6g-p9nm
zKP`yaR`2uW%inIn(({Ecz14b^ZnS?~^skNWf9NXCA7WGXNlYy4teSM=Zdd<F)xRfv
zIQaF|dY6BzIv2{yba;hmbe)#ms!pHGiTlG@^EnT-*+<Vw6A<)G>Szn8D_y?uUd*iX
z;dlAfUg-Ilt-q{w{P-3n<}dwSH=3mnf39h<%9}YsOgp4LL+_4k>c_u_-ajZ7eDkL6
zjYbK-<U^}Jzh)^NsMT+L{H1sD1asMIn~EJ<pZSUW`EcdBK*6T^JNv8^!*6N_o_i=W
z<3-BJn`z9~Mb*n*e=KJ^k}P&@?lK9(>WOBi-^<&d`I<TJRQ=_7;Bs4Y*X?EUPaD>D
z@w{(r?a8^IDWg=}ajL%j4AV~j9Y_9eJ<XkZykUKMcfH5d17W)+2}Gq>mli3!J}mI<
z)}Gl8Jt6;_1hh-NZn&tHar7-JaOGKe>ei)mhi9$5@_Bla_0RQ>8IEpqm2ta#Dr(t;
zHP76+b}|>et@r%c_3>QZ>BOv!A1CIkmWJ)zoX@KM#`e~a7x5A~=i>x(Lh9F_KgjqY
zXOGf>qH{$jq~^_9sS&yOF;`FNUaK!lf>oSE7_V5)D_^ll!%Ki`-2^5#w+`p&sS7<B
z&wPE-<Ec4MyYGWRPf6wLdB3!hnWyYH{?OsxOBu62mt(5iv*Mn6RNwV}ls+vtC}ZXO
zxJxU!N+M*JsLtQ?Cbme+v&{bZtEb1e)ZcmNWTlqHSQZg@PRV2jmwUks|Gd+7JV%!>
z+Hx9p>V7_=;ihTx^Y5v9Eu!5M3+_G$YOFuMXq8N}^M=xb{7ZZr+;?<eS)JLIUh*y3
zCqZNNOGABgKanYSUnoZ|tgxOFb#&(xW2uX3?=N48IM*yFX6~?2_|>PTTEER%X^9pL
zw!QT|Q;*!XKQo`*fPWcBL;3M+3+A<PF^A6I*uM8%==F(q4blo%8C8s5@2J-n<P0=b
z`Lu>t;Gbzxt3}tGU9WVk;sjpK6k|K7dnSubNTn|I{>-Dzil;n3KN4l)XK&0hI6m*n
z6RE9Y{(_t;{*#=I7j4tFt(fb3-v4f}vUkQpoyD*3)h}e?`YZKhGQaH02R4s>y^xGD
zQFo~Qr)BN^ON(2?`LTJA#gQW^AB)%iSadyUSKgtMCO1vZ7H!_Jp}R}*q|$WfV`q=;
zuXpof43qr(Br@Of$L~F}b(ZW8O}h1qfBK6uw%_SOw*<fJE)6T+kjN!}dheM#2Rp;s
zD#WAXBO82}m%d=Ezu$D}`Tx_*+hg+{XUsmVc(z%fr6a=T-Q=eA+VyKcUE{MiY`GJr
z!1YP=YM;F4iVsiBqw=R$t$!E9<A2LGGVT2WH@$EHImxWMDniZA&HsdK;tDyp$mP?e
zPdBxzmaI&C5Ei|~H=5zcUpfC$ZDsYnrk*=QRy<&Csrs$|Q|_OD`Hp(e?*(bCUB!aj
zA9EfX<t#URA|4x5sUp5ln@=b|_4;R*z{-=KgY#d_zTfh{TRCfmPveQxkIvj)I^n}s
z<Nl`YCZSKIN~2QWb6#+JHre&qBd@O?4SdSAr#4h{NNBx35n5XE`;+I754sD=zTZ&r
zOKZ)XX81>g-#THE`dNpFSBA>*DTfVHlwu#LCKY8__|J`5|7~^h+j&QmZhIf$nyID6
z<8{e+<yDVuHeOj)PZ~C9?94V!o9=sk_5aCAt5^LD|60p!dspp6K=x)Ov4`P3C*omK
z&XA?Asp7%$A9xuUK1}Y7R{&2mgBQHI8ky!ZoLYND#Z4e1Ybo;t-ivQd0wuT^cxK#D
zVld1Up0ikd?!k5L0h@$%emiwOWZCznnL$0~UFxlKNw3^{9ky_Cezw>46BJtdX416k
z<x|S4nS_{_nOrK~3*6Ig`OfxmuS12jCBG%NW%%=X#S=b<N%_tDyX8mywmH%Jyx%@b
z$(?k9fw|-bhl1y<X+_*Sm+mf@ezfVynl}-FiziE(SMAMu+<Qfp|H}inuJCgci+zum
z&pz77u&C_LE*4Xnf9DpipLcfJ_x-#2L_?>3JALy>W$)n$y|JQ}wO@X`npE^l;`X8<
ztGUTBDdCCFZ%!4tZ^?S5`{c{V+;2+it&VQncjUmPT_^UOT9Tl<@(}~4saEg)i{|ql
z=f|4AetUCsdVjF-TJ6Mw)2Fp{Ui92&m^8J~_2VfftwY%kl|gM+RaxpvT|b_h<=Aq&
zBB)KZM(C*a=~j+Ija4hSTbTd8`u4yfMdpN-hs63Y&xAcuj1v#87QMtBx3(|jLzd{1
zM_22)ESkbs-)9xF5L@rPru(^r!^3IeGp4NFyjEUm#jYc(_?MmA<8)I<xND|MK<Sy)
zQ?9Ti{S*Gf^=Rr5Ru}G*Ck5FKsyS%!GjXXFD6NocWOux({LfiPF;v66{*bOlcwBg7
zbd-}ubdsZssn(?1KR7L4%u?!kH1(@<$l`x{%v+Z<)~l@w?ERze5qOEop-SPS#Vx@r
zjAtI2uc;FkS=r^Kbmi8kRW8X#ADZuKad;>`W!*+y-N_kiO!tIw`hLB`cJoH~^bH=N
zQv?@Y5tj@TxEPVSqgOd0@X~q@&L7<K+z-kh5cU*O4haek_ME1ncqmJ9Ujk!~nxk2d
z<fOn$c2DMhXlAZYNsdklIIyE;(Uv{CPHi~%;*zJS=)sjDF<w?tPE7M0&Kc))pNu@_
z>gq7z=#&S|`ahU|9Oh@ZyvykItOg-g%P1~6T~$W^r3r!p5iKHrShIP0AKzHKvDkUV
z3>{O)q9vZHx63=f`5vmBoO560R{8N-^V{W%Ym4u)Ukz%UA9zwOzdmeJcl@<Ym-l6#
z46Dn2S^1{M^<Jlsrz>xx(5EL?j%+#75HvwWDL5$5d7cwzWl>gARFZ?HhY;rz4URvO
ze>JSQnCks|=l!ej`gf<>CjO#w;YZGi_TO{^UjDn&El~4Sbj7nt`Y)=do~w|$I=$u@
zb7+*^TlLk}Vut%_*S%I-Upcw{+}ktfUfUd&JaMz+Utw9geEO?5<ykME@;_Hfmp{jC
zq5a^_;hWFT9JXY9E_;r{;&p-D0n7H!FMAGGp6q$(c!n>r<OE~8^qv?4vnvjVdE(ab
z7}k7bYMw5ArS@Q}SVY9Z)1iv2BD>~1cv@t*utis;LNctJMQ}^YgrldAKH6KcTcJLr
z@Dp=-J-^k1hOWtRUvs)<PX3qb_i3`F<ujdUdm4*p7|-}TeX~fq{&S6UQWoWw#g@*6
zb&vKuJrlZh&Y7ZPMf-}%9_f^vJ8<)qB=d9bIl2Yw4(#kSW<4it5pEf5X<QihNT+eL
z&~ue@9&?ln_=5T^PG;Wo`SLB@MDCqtbC2PXdJboPiTEcU7jf%tyTH^x{m*PxvG9n%
zqq5d<zw%}Er*pku`gm{go^r1DQy=dw+!N0AzV-3mzzThld%s)0TRq&Hzh^t=`_x0#
zcPsLx-={v_o4qHz_xrMs_f+?UbG|?O`JT!ianAR)hpI1DyqA1$dZ@blL!9wF>BQ5f
zRyUt2s;eBS_gVRjmwVa-=jAV}6BdTO<n-XR6I^J-o-T7-F1h#7)T?Ukndz6BGivzP
zP5V-vzg1=b^RUxwFXsH&n^bDWcO|>JYUw4p+ripXzg?SmE%a7!wN-eg{@cu$rM8bQ
zZ}+Os@b8}bt<C?Y*4?f7dB2xc__t4utL#dD`ZD%z@a~<<OA71#pWd5yNOb<L(97GG
zd<@*SJooC7DM62d{eGwI$gldIIxRo$_p-A1d$q>5%$NS&q;uNn-RVs_r?=^!-qce(
z?TG$Gt!bAdcD+CPds&hHzR+C-_p`tIKAh+CJ8ozGt8Y&>T-s^AbBFrFJJ-5WZcom+
zdi8|jOgEwVOE%`-*ZICT@BWsz_0sDuh5mlFBVVmff7<)4pY|5t|NU)m*vn0|n{R*L
z5M;H(a_4)C?OT(!*KQ8oe6{LErk(#B-#>1v!|pB4y8rY}`O9yyt7<0~-(PaueC4;j
zN78qBW#0N6y>!Zt*kiTRbMLEuoZa(V?e;#;N5va21-*-0duh`Tn>AnFz1)`~zqx;H
z4R5{tZQJW7kM6ZS96Gt)vL>dcEIT>bbn@jHFOr`>d1xH<_EX%<Z`DhKg5GUk7qsbz
zbhzfsZ_#VEJg>W`b++m0DgAqMr|yck-nDZ_{LZXHdlettezZQ?^!ANwtN4G1t)2Ee
z`Tnxd`G5b`*qO}f{^q^L;r-XR6_Xs|wLLHH^WO13_O@sJWRtUNbM7frPv2c#UR^%@
zc;WWy%JSodGUer^1%;RH##YWKSoL6oF+)H^HUH$j?BWNew$GMtQD!;1En~S^<*_Lr
zgP6G=EIGEyZ<3^$cgu{+4Z49>Ro#Ox$V_r>_{!4s=JDkE*XLCZo~ckOdX~vP)sj)n
z_paqVZmz!?yl*ATjp|!<LPIC5P;xLnb>Y~|Y9Ypn5{6R00z*|BA9PHaIB{a&_48K}
zG9LQeJM=hWmW7Y9?KLgGNq+fd0$fI~``(uvYu1>NVcf>Puyldq!i$YQOt)m_`j(kp
zzq#hg4tM|9|GLICMz3Dj_dT9s^WNAj|MQv*wdc9lnr7Y<SM;y&7u<TFe)3US>(Uhq
zjMKR+3vX?h7$v;)*zJ%{$8O&d=c@?%T&K0q_NKzzM|<Y>>?kfe_1TPfLHVB9A5t!=
z9N&GiZ~IM?cF(@P%(Js!p4EMKmN#!DPrjPcEUAf{XK$x?eKxCo_qmPJJom<$z{zQx
zs-Gq~JmOGl;b7{JuhF>7Jk!vo<ECQ$8D6^tmjzLKeCI2ja=0k4;X&-q%}L?z=IY|?
z$CpiWb6I@YHg$qwn?&M^pGxPaU+UiO*?mu~I`h<{*gVCBYd-63jQMDGR<L99q|Gy*
z<mBmd@(C4Ox?5^cka#1bf??r|mmVt*d7ckE#K-sElke%;pL1Qr{`4#{mNHo~XWopB
zQ|Hw)+)y+*eb@H3W#Z|rg#|7LTN|AeBPAum7}e%WdZtglbFnhTSb4f+Wc$Q)i^i=S
z2M?E>K02{|b-MWZ9i_)wH@{B1v#0QEPjuQHv13m+MIBo!z1`wn!S^}6jLn=YCUwnB
zJTal;L`R2;=OjKQUXJ7t%ka-a4xcI}xG6OYC^9*5)El}eG@kHKXk;-_Hql(dy!(>V
zgRQf_%#T?U-}E`^4p-A>qa9ac=P3R-QM-@BEY+^^q1MTQ>&&LF#LWfYntb^DUdv2k
z%`=g(>oF>BP3z1|OyB2jc-{Mavw5aQrSXi+PkwKj4haP|{@(FqN*(hWwZJ~r8UxJ$
z$+?y<T{(6hHTqgV<-6&+K9@IQ>rZZIzW1=Eo8wpT5$^3QZL8&W1nytK`PWodYwqQD
z4~<pTrd^kLb>r!2t7)H4KE1Dyv#z?#zG!x2q1Cyqtll3xZA)d!YHTC)9NRW?zw5rv
zU4BmF+dZ8p&un?i_p<)#QSFzKHTap97OyR4owYqQa^B^h-YV(W#r1Creb+y^XM6Ya
zzv<SxJ8pL`URo4eow06`@|nocO!arW_cXt?DZdc<*0JzzUSdhdmBd=hT$g<piW)1{
zl*B&1EY`Q!^Y9tp-{&LCbJuA~{Ht}@cI$|w$%Jy3lh#w;SnD4zPSE_^SzbEZS}94v
z?&c4>N><&faHs0Cg_-@yz1r96P4n7J%6fcGhZNm?U!C~x=btyLPKM0RkGQ#L)6G?K
zOKxsoWHh10S+Oq3cG8KR4MJ15mYGa9dhwNY=c>;$7VNqlSbhE0mPpnApAI?9l31Fy
z+-sJ)&jr6{2I2Rz+N(E4ty%x{Wn*tJd!*l;KUx8ozeRpIa95o1Ng~f9&YfjPqHI~}
z-IfIJwXJUW9qj9QMa=%=vX^IXuj5~`zRZC&w<;w1O@e~LmFDTES2xS0^lsXblFiAL
ztx~k$qqpK~XMSbZnQyk_-SbOYXPzyr6Ct=N&6+_$s?u{pvgd2QnSI4>_L^6A*oj{8
zXVF;pRzR!rz1hqu3=4L~z7714ymk5t(MVTAj(WLebqiIW#|le7Qr&)OYM8{=hNY?^
z3~!fZ^^1yhezAKi9#-_;%u!Kpy~pCK(JM~usAg{a{Is)4*I4rJBH_xu_>TG`kND>w
z{-)vlyguQR$MGizcp9h8bDqY>oqJ{99M#=sO&bkN?2Ur|9NREkVC!ABrVDB34_ERn
z@Qj-JD}J9?{kiWkLPC~W`Mo@Q8B7@((mG@lFP(a~CYO12$!`}{5ms3fM&0VX#Rp54
zeGPLwnC`ex-!gp4@*{j}_&;<ypDy6LHUHh+w{~`yj~-p0;~K-Z#CwB}uc&)W>RiQc
z#@f949gBD;`pSxz7*DTd@$<ZYTz=MHPP?<8%|7KF$a9<Hv96x;;ryFXiMHWoZb6$W
zWUg&&7xO>hFMGZB;W2;C?|l#4*2FA(y5McNdsS$ZYxnxOO?Tf5{m@)({UT+>rSMSe
z;u7nvE*l$cBX5e@IIq3TvRQh1$nK5*om5@FPny}UVz6pco8YdkM<&`@nJcrrV*GgE
zglll4)Rs-FD>(9wZ*0}yP`}LV#LVda_gk(w&$={OP_SpJ`r^$Mu3vV=tkq{fVBGxg
z>GBPgx&lQPjwzHDCsi`tspp*A9V?;y|8o4QOBWY?zSkVP^oL^E)JM-dRIe~~-d#Rt
zLjT6#UkkVx_jm_x_<!Q3Q@Yc+(_McPRDT@S_F(_d<MzMxd~EjC%eOCWzpB->NF#o$
zaj%e?UQEXFs6^(5iDIz6B4l~;p99b57>Y44=!k(1RG%E1%K`2%GU|w3ei@Nd5oT--
zIg36y$uJGPGTF?~1l*gHKNY%xp=<pg1>FN4r>Z0-S`_ncDV^2pJyAe3M6SZ_;@73}
zD_B;9#&<1R(H^z>`J1jq8dA$%IPf!ZF}-J$7WTAUv{=*oSK=hOdq=*{KK%3k!!2p2
z7q!j4R#C5~6u!(SRFK8gMYQioWahbRyHvcMHfXndKAEub(bEpcrVm>=MAjerF;S^&
zj!`p<ucSMVfU4{P(X<;sB)V8GIP`L8tf>&Gt8V`KRy?2Svs`qDRcgyMS3{2prGXPT
znpr|WEKXJN6!uaqa#Rxt2|O_Q(3H)kzH7QqZF_#}QQ-Uf>b}ht`RO-~zQ6zTk!|-R
zRqa`I$G7kQ-F-tQ>7zuzx<#5n6|;>$DvPV^&%J%~(cW_(&s*=$d9ywB^2=`X#)oF>
z(l`ATf4?{ULN3R@pKaBB&!V3BR-B365ptk{PnWr{Aopnjm+2Mh=G=&FroA`oqOA5`
z4s@Q&(0^f;?&a#k|J&+w^&Qqsc4IXASI{6(dfhrMt#1AcC1=LM4VjWGEN7bpO1B%|
ze`wXR&yaoEiO3DSjEq8-+-iz8T$4|{u4!);*tK8q!5@}~<-e16@Ep2VAjxv{aLK#+
z?G*--ik^5T)aQ$_^0YQ?``G7t=z(v92%Fe6n|70g<&0ACwf&nl*H7M9&lC6j-u(*p
zMHTzspKUuW!Br{1zoI2*V+EJrVTB6Uk7hHD7tAYuKKb*_A2Oeg{#eoSsnG1k@;AKy
zyT5b&`Zxb~$5HJUR`S2^E^63VxS{vi&W_HtH_eVrllX8@J^p#cbMqsu+0`H88t=>S
zJyR~ZB71oE+P&P@zbW}U-)*=V_sT=O{)W`|I_H9_!&b7lP3FA})buc6cJT~Qo+Pr&
zr!wc<k?UsdhyThQ%)IrU!_Us7@Auh@8$Y+Fo&NH^pz88VUe8%JQd3H8XE8P@^RGQ=
z(7o;ZQbze0rpwiv6Ka!xEG@qIZI^$BK#baNn?;vr>AwCGU$N}9u+(*-i0z5f+wcD`
zW-+N>Zhikv-~aym-<Ku-XnT1#QL^t|?DLK05!d&>KUZiLv&?}xYenXjfM|}p3zUMd
zS*_A|agt@0fcnxYVg^Q~FC)IZFPP@txBqaUm2*I<kn%hckvF9(M<kSciY`rmeA?iY
zr}p7}&$p*;Ht#<D?NjWw>t)Ynn7WS#2pBjpv2-ne_`cq1dM3lacb65lU8|JB>SVq=
z*|h5Tq7xka38Il<dJgW3PAv+Vm1NklMr)N%q_?1Juu(HhsL`|)tjua!(fp}hYC@~7
zd1=lq_R>u5xwvbEmLt1D?SWlt=ce|UZqf5{(+a=na+vwlW`l_rC+T$_o5&bBX)Wj0
zgoke$mM<^nU6>eE|1S5j$^q@iz55TVTD+PvdDA*}cb%72N`l9(HZMQEDB#1Sd0loN
zJOr;z<es#e{n9m;#EhynJhqeB55-uCtxJABOSihOYOT_$6m9P!(@r&Zoxcu_da~X7
zGPd;`+>uj%v+j0T*-g8o;*PncZ_4k!|6Q&ZU9!$JdReaTvE2Id+u`+EYp0%PzZj*N
zJ$G`D*Yh=@Ueb?CV<iqtsL$!^R-DC<pE5zALV!2JDDN~+PQ>vK=MJ=;=2Nk{zvIa4
zCtcfCbr?Op?obh2r*b9x0P_#250V=eC}&iy@>rz9@zOZK(cC5UiK$7n+S7KeO-d(&
zZG~kW{g-sD(ah#}*b{b7I(h2#`Y6qnYfH~XYAy}AWSQMt<R+2Yvt9Aftf#%=Su6B;
z90k29bC(7mlUV=ykV$;c#_K!lx!ZnichP*h_j^=!?%J(EUN7eqdui@|_v?^hT<G47
z=QK)droa7u>}F1<?DCfDQzu&${GTTIwl^xS(wNQYUiR8Gra@ZIdxDN}FZ?3&_|Lie
zW&c}Wt4uES<i6DvAi}Pa{9uORHL2{HMJGPn_<wPk=%FHXQX=4VDN{#7Ht)iJy5aw)
z%`nI<i8=FaQu?KdD=u;ET=Zb8*{!J5r6rdvUryO{iY+()*1b2Ezlm+#n^}GN+p-G(
z+tJP-(A*}K%;v|y>%h|WeM8#mb*)-13pqXOHJk)?ZM&qIEV^~y_gh}edyu`wS$ag6
zSDWMg)@@;4&!-r<=`MeKPxH9O(>YVFW##q+sPEt~xc!>#XmeD2@6CJJE9$$`PH)&|
ze)RIUX9WQoiboEs*lNtXZ`%}Y)0V`@YEtKLedC+n(=HhTCK^nvJxp#><l8ms!?(1p
z@N^e?GE<3hMRStQ-S&Va^T{jbc^=!vuxge{M#qy`UtChXL_<wfJ;l1d@1A?`Lu>iM
zd)snfyzTjF@%rtW{Qdg7wGvVcF1?%~?iw7x@_z5dDDCXEpu{vM)GIT&XF~%cnwWBE
z>cKfv54%_gOzNwTnb7ol*Sgn-B$9iSTPHb)u2i_6ox66*InC6bEAM~3uX@rHV1Dq_
z3H{@)PuB>}Z1!k5bb0dvSur!6$1~3)*L`k1er-o@UWnk+OFFzOA`NDF?#bDodH0(6
zve^q#?9!7ta~_+=Hwe{MzqoUya87Kl)m}yUxoOQgR<hO&(r1zl`sz(3w>#Q=H|)Q;
zKi&2uFUR{{<h)S5{`H{?a{}(AXZOzWnH&(Ba_UM-`JebbeQ$do>s)b}WUA^cy?RM;
zP-Jq?l9t&I8v3NyPu+ITOLK0im$tGeWAA+i6_a&|6BO7ucdg@2_IbJ{>iwSMRUeXF
zg?bljS$*(W&sgs(_T<4vxt>~|*WX;)U+$?s<9Peeq5At#^Pa3tl)J2K5fdxo>L0T0
z(-dXFYm<8xx9i+2=wecRs<q0-jO}%Tm0Dp(Z0>o-4+?g2)y=-s-+sMkCAGcM#%B4~
z*oOtW7c2g(SzK6m=wXyoV;fVio6Afk4ewcuU1AHaU*H$GC(YbeZ@I$xxa|uGCuJig
zCC^Dqn2p688VpRtCa)-z%lX78eBeN{)4~iLqi&Z)2?hczt&Ij9hZMRTC0LRyS{8fw
zO*waymm&Ynq;1tAuiJk}tnB-g+xyBrcTQGDFxR^^TMj&%d1tSh!<2cgTPMrNNHtwm
zRo8Aj%B~eLy-4i&pBHO2((2ii&y}dIcL|=@+q+k=U~go>Cz)8umI<><XR!1(rB2!Z
z-f$t?J+ZEJ%g&1*(_XvXcVqX<-)$FHea#K5Nv+yvxNU*&pA$EC@+&v5=sS=)pYQ1Z
z4WFKuwm)L5wd#0kQeW<<%EG4cS@!S@<HjSp2HGs_uLRfkxo<Iymi6C~94F~tpOF}6
zDwmPG&1hc6;VoA4E-=NPKQTElOuR@X>C~cy+gsfi1uZ-48}>GS+RMI$b9jXqJU_kW
zU-o&b;HG7B?OyFkXLg@<M>j%1Gq-TsEG2X2{Obnwyh_Rw_GdqMGi74Es!8|eHm*k}
zFPT?XJyUqUv$Xr{RI$CRN2_oD)s)}I)T>s1u5JsPoyWW4{2m=0!5eGxru}-B9U)aw
zb=&r(pZ!xm`%-`V(#7+On)&{SOEN#YHFf6dDKj6tZmV#c@;F~wQ_Ou=R^n&IxnE!2
zsJ~n&71PL8y0KC7p~7NQwKKYAi^?13u2q(^EAa{2;KAaOHSy^$p?E2myv<)%XPo4_
z;UMM2UH>uJ;P?#AWe=BezkFrA^WvK)H6B43*~gz`c!a-M&vJ`R{A#sR!anYhsKS@r
zZzlOF?g)GPDl=pOJHy;fTPoP5il;9B7qK9gM<Y&F=z(410~XyW2Zf5+gx<+$O!%ey
z==ve%rWB_2I(;+BH7<D1VMvrTRap9}QA2?9v!aEkn}&0}&g0@KOuZb7RgY!~Y(Fxw
zGdebgXVIyteM!1ArE^-I?q1Egw159L#qYLHEk1PEtKX5_U>m@?e8T_YUg6Y@-3y;?
z`JEB7x`APaV?-vWnDA$fga-=quTMXfa`IG?;134pm{oJnGYNF8<8JUdF!_<yzks>y
z3~gR3A1BX!F<W<!W^q01rfpBHnxkhMYn5N0VAk@Z#3gve3F*8dzB|1a4N7bl|B3bM
zm3Xz4ox`Ye`;_+l88=uYW?9OrW;1JLB>!BI&DCib>m@2Zb!*7~?Xk`mIH&ATSNY)I
z>3i+o9Yz1sJG5_p%bvxM$zU_nFzu_|vh)StqqE*AWORt-74BN`=-;)z`pb?YY}<pp
z+x~ox`MfaQE?ee{iT~7Qo3x#e81|>%ynRlI_nu~MYuCZ&JZrnQIkuYGoy?v(V}bCh
z*NdjiC}>!rlG=MCXnj)g#QBC1_c|k@ZzTFmEzwS!zAJRiUPDQp#XPc#3HMhDUbx`+
z=CwKBibX$^6T_9cv?j4cHF4gFX?ajTp?~RA#!Gt-Ui_(Ku6yxmEYpYY$9{%Q=MU0U
zzQQkgA?(VdRVlZgy_e%(n9tz3J@W5T#_dUq*ND6n>Up{BjZPrP1@~@~-EVG*J>Qr0
zK0NISqw31FyVkhrADLC+$}=l#?L&iO(KZd!->&Qk5dGXKa&`V=<HybSdVidp_&4D1
zE{_HE?L6<JEp&9Y#Ry!yq1|b_F>n7O!!7<v3F{amxji1uKfNv^eOXlIg@bpwr^kQa
z7%=a~sxGD|oz1JWefSeKdLQY|{E~6`X`p$?dJ&al%Qae_aV%b{DU!SKihfe_qm_mS
zR{lOcK}AtC&B$TVoxQj3#Olpzf4F`I_llEmW*KlWOutp%#-Z}L+u>K~GUX!-48^KZ
zFW)~dcbq8Kx}~#4)JuzTeXYlLc7{~dfR(MsAAb@)B0FKaqDXqWR7bwi1y0tj9X!Xm
z8oTB_Ju`XvktEq#>s62M6iqlP8r76EVd|s_duOTk-j&x&y}GTEQ93ldi8Uv@;Nlm*
z39TF~3qQ@Nv1Ar+tY5LSCiLLW-T$Aym~w@G-^8^|!jBayPp#9QHFIK4o$$=pVLKxZ
zWvQ%kjGePT_{!FXxeYCGM^5o@2YT9e%{I&~?6hSu^e$r(suJ`L%#`a8`O3Ka@Q)AN
z>-Dc(G*6nA9(}o@g-30{$BRbR6W3k$;V*4GyjHSeikom}!4tQulI@N4R`TCOKP_rJ
zmsrf0C7I%3yTj#?l+27|f3uJh_c=MY@8_tjIUjB06?9#F>Q6?m9a;Nd?0&fTe}D3E
z*Bp+rlSQ6tnNyf_vK}Xy_wUx7XW%+f@b1#R@usuqzkGYXG$AuyuK#M7K<}b!f#rXm
z<qL0bVHI!saO!4Yp4z&M@H@?nx9h)3&--PxFfe1Mr-km1n%%jawmWZ?UsYdq`>R?0
z#j~liw_kd?>+H4{Wm|otON(zlTU+w*RITx{Pe1l$)%*|Jz5jDxj_$$<tM4dF9-X)U
z*S}Sn=^dM{H*E22&6#pYUEzd+&DpdUQwz-7j-8KbNHFFsHwZp8?cJwsA*KvUGNSup
zU(`Qg?sdp2a8l37ZF<V^F-M@XL;Tmt!@~cUSX<P-dX;RQANQ?3c=4BRJ{9RnA|0!f
zY?yYo@kgGy;rvA<<DK2YSmj4AjZSg&{Eg85vT5Gr8AXC(lNFo8KekV@N|*0CGPPPD
zX#E?<iMxDy8ca4_edpycv-4ZV0mf^^@!mnAXJZf5d&^A8DLP$eoqMAB^ymKkI^EPT
zfrA?(-yV|gY3mm+UK8p#CpSm^sYXamjMjvy+APk-i5I?Zdy@O8Gkfp!zLk5G3$jmr
zTxax;>D>J-^`*@x!Y=Y}t^CZPo~?avR{b2kziW<e;x?<bG`e*5?2e)@-%HN>7h1je
z^o3n`oy=d`%JljfcMVSRz5Ws7;<~HB;IQZZzg-h=)@misO7!-cJ5_U=6pJ&%^S`Sm
z`R(3%uix>j`}~$;wU^dkUl{*Hcx6lRt}hQf?zpTrS&=r$YD@C!d0A&(8ZJ+q)n+Ms
ztRz{)o8^^}pUh1M$%xx)PbhYZM0zmYx|yBJP<8acz0?yMR~fBQ(XGE&^60Sa!ZR}F
zZZjUb_>?Spr6^OsUbcL1&^y1UmOouL#yRCJe`5L9t<h@keTB(a{&*`KJDb6@rJC!&
zgY^?;X&S6_5n0;u@x7a2V*b8gR$==p)uQ&K?)W+9T|~{=`|72R;Z3%CtZ$#3a9Z+8
zj<e12rlLPfO6CT*<!k;<KUFx{sQ&ssQK75%-<~?C{?d8B?5Yzj%eQVcn$Hts<GGCE
zNz-*U<_iz!eL1@Aec1BcX~y67+X}7mJzS}%(|_nObFRjJhP2D(whRir6Z3xBO{o%Z
zR9W%dz@*6O!lqdF<(C;07IoiP{<bmviPgqS3nwcbNOY1rKZWVWOt0`AZ+>zzWuH9G
zR<9OZa_illiOa=rR*5KjHRXOwm$hhGC**L@Yne*kLd_R9P9HM7np&bh@lOtW#Po_S
z3j`X|tDoOok;J`4WbUiVxY_)7Cx%K0A9?Wq`GTbp?+<dCO?dM6qd-)rPO<7c*C}fx
zrQ&$RKX0fol6l%}ncU(f@|7nrVy|AIwbhlx%<yCNqOA|ZABf3vEo*0KY0}Y{8LT*c
zhIqj7tX`kI*8Mi@59ZCYT)QWouQItN+Rmm<#pl85OUG>P|4Y@H<J~;#<~!A9`N*j=
zC%j31kU60{rEvvQ!s5%X7q3_~TcQ78g%)S6-v`dyO1saO7|L8`4647DHY0ycJ+r@v
z?4sK)>(p4*OVsP!x?r)c?)M>~()K8(yAcemv##6}-6?zK<C^IU-W`2C_dkc6GiTVM
z;?o}t)ouE?ezgg2&)Ts5*5ViMHE$ofcH>5P%T%+hi5w@-Oly<3vZyoSwfp3~)amfC
z-|Tfu*D+5_Sbod=N!dY*e*b$l7N?@jzx@}x^7{tc+;jS_uCZw`N%hv3{mvW`yr2A%
z<7wZG=|>k^ZAduF8npT9(LWODhdE6OUbu1HUEpXg^rG!g<&29mWhRF&z0f!^<IwVh
z&T|h1ij}7yy<}#=mh5KMIngIiHIci^U|rLV;-`!$C(>VLSH8`6t$K9%b|7CZ<GEz6
zT7L_#?flt=1-!qWyjiigK(ivIzBz0gV@+l1v=hr-C8e}i&fovx)=a&UP}SvyH>TDf
zS)vt}zRa#x)3WP&XXp8NFBuD;zpZY+95PF)9<>JwPOEwsZ1=hEal@1N5WSp9^Y$!c
zv%GO`ebdqlvlg9Mb<(<h;SQB`yAMxoahj9C5yZ*j`P6=2TjY#2c0ya)m;V2^YVOhc
zJ1h&NQg%IUJJz$OS1A62yKQ;_pR-<~SK8HF)n^@RbSzS=g^Q1`ox^pU_0k6C*Pqs3
z|GaL_zDun^?z}mVE?tWVp1u3=dGDhtOMaaEo1wgEe`vqYTt3d+?B$!*TYd4HQoJT8
zIq1RC&@kKRuWl3j$~Iq<XuWi38Qba3=KmHSxjxoUWH&FD;jge+5_?{H`o|;J)*orP
z@pR$3C(os$r2Kc}E^ajVrRKm>AG!OE<i&4kzyIl+QJA{q?e;?_Ls_EP^(Jp`*s&ot
z@94WtpUap1d6LW5aVPWkys0mPA{-t&%+hCxJ75+m71w<Kck6sL)BkHObY)C7$X}*3
zkzL4grOq6i-!JOR>~9(AdaAo#)#`~0W74^lVt-}5V*ToaA64fkxE@)#MP#BQ%j(@S
zk?}7kv{jv0zTx?c<}Z2qlTuwc6Dkd6d42N{dbFpg-@Buyxb@}n-;on;JZ1`dQugiN
z*=M(ADcs?n8*{sNj=3|lQOM<~alPIe@;s4#9-<!8XQ*m$--?j(sefGJ92gU@aNhdc
z9c`0^Cp*OMi9LT)c50r9wDi=&^B$eN#30!EQYNi*vgY&siYn?XyV@qcv1@MnYd=}@
z!bPR_Jx+}OeBK_mU4DaWSxx4K=Q=;SUo47d?0+7bExgO}^TZ!Yun}m;YNc1+558M6
zFff3y7y~E6<m1iK%%Z&>lb<%bFblQROx9`90rR6<WWaZT>Mj1UfaL-M1Iq>QkoBAv
z$dEN?RC)m`8xw;~9^1LczH4-J1q9aL=GlGRV)OO*)8@(h@7vFQ;Ipvu41dH=V}@eM
z&4;rs86MZOPMy$S^8TPhwsySkRvmNBdrzJ&(=#vJnR(i`(L8e}=Z9rF=6~<DJXPC2
zd)=Lsr^~d=19xVg@(s#+wY&5EG7a;$cbAmc3s0H-Deutkm+#be&z^TDq+Ix}Z?XBw
zyCUVncYO2Bciz2H)_hO;!@Y#>Y_)j{s+sM~ZxlD*k$$n$ah~*pdkp7!-`#oejcuFx
zhT>)`^A&p;=SrX1**Hh~$WF$$ybta?ILmwIPQo{~P39eY8Q<{Uy7S;G+dA`v;^u48
z8}221Wm{!lA5q+VMS8)#2VdB-@+LfE%g9TpYQ7{r;a<WQwngR~dl_Hwp1bqlGuu3K
zgW~3M(o=RaKIb*dUr^b6MmphM!x>(^{DjKpQ_=zV5<am_GM6ZBJ|W$)({YBh!@UO|
z+4{^g_Aox?70X{x(R@T&;ogG}Y;EQmdl+X(3*1Ziz!smUP*KmUZ~mf)S<n1NQS$-m
zjGc@RcsJd7@SZIq??HL9w)uvlW-aptMa`P#6N;MmNq@MjaEkX^-hy&w4f7Mb8SnAF
z%S$M4RySX<oAEC1vpj|J<{i=p?ml?SmS>(Z+wnb5MfwKoW;^2xa~bDLzM1Lxj_1o6
zh4VZG=?&>@<!J@h%(o@$Z_IR@D|zKy!#SR3XB^J)JUY`*##WH_A&o6B&A^)ZrsR=x
z3}tM&X&<bZuS;&2>G+x_B7K7u^Hs?;GaX;?1f&;OF`F8D7&Ds~8_acl$>Wi3V8wh<
zvgI5@30r#F2TSJjk~3yHKIb`grlFW^w(*5Ij?Z`u(jC&+rW<dV<M@<EqdwihlKG@$
z&rHWBJV(wboZ(SO|6sv<T+-xRLm^wYaljnMM?3=Q1s2SQB}L9LoZ;a}H?U~dHx`)F
zI9;-2CgXI;oSBXfc($BjC}4|B`(VzjWBg(E!KsoFGaaW&2FyG-MbcxY<9(hVX#%Ni
z)y5ZQGft6wa+V>Vt=xD+^F!8Uk2>l_wY9xpSC<@laFXX$nuB@sPRSEz74p~$j4Nh0
z-sZU#ci}hFy_p&D8-6$0>0hX2oX=VvH{myvt^SG6Om}AfI<w{WZzh}dxnU2UvX+b8
z*>~U@Ygt^tbJnf;3qChl>QC6r<b6!E<z89jE++FGqDRh7jAVS~Q<}EPc=Fr1Ge4|Z
z5Kw>KXQ%Pei*FBIIo0yJ$-;OcpPrdro9EojGfR?|JfAt!A)QNhZs!#do`v(LTW+3~
zB4a-NML=SccIvHc-{9kA%PiG)sr!^ydT;az{I%>4YthcsOVTXK9U3P*W^kUm`O-7`
z*_qfWwl=oAZ8W?~%A*RWKe~12+`fmsu@1kPEc7cr*E5;x&!}yD!<{64VBdnX+;Q;_
zeleNpXVfyj<_;5IG4Fs_e;$)%_Ox3<UuH5J|Ng`kz2O<_N_~gggD<(S>`^$&ePPdm
zFRV-T6>1N@;C6`@_{DTVd`{)T=iD~&3C~#P>T7&vIwzj9&*3xcO#K5jj5Eb!_8s`d
zI#qu`&A}(!D)9#O&sZnw3w&-e&~K<Y_?TNJ{=yHYW8ymd96qvk>O0gNe8|lcAMk_8
zKwqKe-~;Y`dmKKn#>HKD${G{5;VEmQ{)JCW2gFk<58mhAu;;*g*6_H5r>voI4o_Kw
z;}o8<*6Kf~cAO&qX0OA0)_}MP-<kG^-`RWM9jkv_z;~uy;%D|cysKv|)NiOhc$@o9
z+=XpT_e4LeODJcp4O_6A$xi#m=B7KMFCrc1i9T4zaGvYkng?a9+q5@qZnDx|5z9DN
z^h{*q9ML0@jBmLfta)&j>&}{lGS*Gn9kGmWxNfa^P|CVaJ7IIvHPH?05=vQDWp^$-
z!Nh$lctaZNN^OVOgD<(RtWh}2Rexd4ffClG+6u7;UvRmE3+!ULAUY@V;BzjU@Psth
zx!M|=na+vktaB)4ovD2whH<87%(??btW&iY#2kFWr4nwC#yU}3U~`j!c0<g;$6PYu
z7j`fm6V+MgP{`V;?GSVDAs0`0zz!w@ZH1VF54iTNaVTJo3%iiY8WXl5m9<g(!lrtr
z1EMLB2k&!jSaTqsH9RaKl{GZXA(b^aOd*xER{KG;;}p?1YaQ}g1HvY3XWAorXYGMJ
zR{yYo?M%Bw&#ZOGV=dHfh(36m>rU7Ov*7nWdyL<nyOOSUcjk{XThf=^nfc|+lytQ_
zGe4XuNndt*W={Gh<KVe7v(gtCU!F7b$r+J!wfb8#ADsD;re<z@_MD1!@Ee~E##?8;
zELFQc^TwGgR>H4+RvWK8w<T@am6-wQR&zbi`edd#8Bc!cv($LvITNeNFMJjnH=Zj=
zTXue?O}deB@N=Kkv`2F?i`CA~G)g}-r}K=@bmPc#A(p~VeI^@wo-;{Xc4Fp{Ga_kf
zCuYi}7tL8&Sg&?$rdWE=9L++tBQyEZjpnQ@P%|(VJZEBYdHPJ2^iM{?(`W8Dvn6%e
zftg#*uvi37oB1#8kkRD(KH+JbjDn}mTye%ERZY|Q>)9jb!Bb{_OS?3i^OR4e@x!wv
z=9BOG_@}L!-I=#+=gcQ*U9&m!mTjMTCoQXb<#*M4)63#teV+8ryS^sw*5{yi-c@m@
zJ`26`u87<9`O;hOZTdGWSDy3Us(-OE^PG24T-Rrzx84PDRi7u#o}LyzsaErw>W%5Q
z_I&v@>9u!GTvl!8*QHmdhr~yH4tnLiLVxbQCC`?c=r621`DN*)=^pV?wVq#=UYPC>
zZ}s`obMJZjGb>kqUTUOYxNpnPN%haXXX+>Jb9uJ(^mLv0RW+TTmY$rh5-;^R=!thy
z9M@-|C*J+~miw;!5PIz0t*^On$`7GO-tGF5`$B#Q&6v&+FIDsMw0Dz!W#!7#-Z62v
zJ_SASj*4Td(LC*4ub)_X^8He6{m9Cdr@TYrW__A8W%{qUN7b6AysPzJ?qzwpbkFn;
z^>MqZPrh5Ku77o}%lAunyo>aY?q&IY>9%*C{?@q*zO(F6zhUb*U--qmhVz`?<|uq;
zsq&lfoMnglf#N1>^&Pg2Z#m!0S#XXs%irNSOR=BAbCyCshiWDZ^@?I9bM+avjc+)U
z{28j7%+xDv8((wYn6uz3%Nq3v+s0R%*XAgEWm!?LZeiOvOL&PT<1FDB^A>zzS)wjs
z+xUXh$)BOB$yoh|%o&~5X%bw<Vlm;2eLW`{R6p1>&J-@0x8Mw?h5v?1rnAB+^B6v}
zr1%w7GMyF<nb+`%WwQDNn}aiiUFJEQ;XE{l;S)>0dV!7OV@?VG4HZmBg;nM;d}L`?
zH?VPh$a!E+eZvQqX7wLMOb3N4EFGr{7g!#gCd@L=;WQ_M|AeP3_38(TnDz_DST;@-
z4zXmMD(qv~I7Rr&+=llofqomxnf3}hSUTS0{NPtm&a_+D#?tXF=L<iBa;BZaN9HoT
zW64)9uy(x7QRRNXy6LXq7s<wV96x#z&T|yGFGy#pbZf9?s<%<zVcc|E@J8Q(a~!XF
z7L>7+xEZ9g6uAkcvusgrFm5teE--GoDR`n!p^RmNa)xo!b-^8d4QDx`+z(haT@_r>
zmvELN$i2a;>9XJoNyk}&3nUv~a(KBrST$V~?C4V{VOgMTVcc|Hu%hq484fG=fHaml
z$~R;hpK%zuH&`+m)hq9iX?)6|<?dkFbW+fxFX0S_lDk40OQIWtWz%s%i@pVgEIrB*
zGL4Togxnh}nhpy}^erf0NpN$pXgVlZBiZ<XV^7b5(;Pc`5>9h4xi3g%X;404)U;nP
zL9+2a$GV;ar#M#iG@RmC*5h!B<Coh3^Ck`D8`6#UI2N2qy%x&ZR<DrHQlY#<y74Z@
zyq*PlEG5b_q#N&WJaS_&Z`v-nqj$ku=DqR{{vLS8{;S5}J9CZQhUd&X<u810w2?pX
zmth|Nou3EZvgg<*JZCPo3;4}wB|qacqosVuXU1Fn2Yw!y&A;R4fj8_a_5!~dZ}4yV
zdEhmBjQxRU%xmQn{vLS69%8?t{u%R1d56CTUb0`QQ8>$fq2|CB=B4rqe-FH1cd-}v
z#dv{#&d&qS*=_6-o-xmr*Z9nMjz6c);WP70`2&9#X7b0>9r(mNRer&r15emh><ykV
zPm~w<+-M-*@aMo|b{YE%KNyek>(n`XWbTxA_;cVPJCA+94@Ludg+B)#u<xsJ_`qBr
zXLsQ#bBx`Fr_7D=7d|l_;7|E^;6D3?ngj2d!|f8DGKbnZJY^2HQ+Uc;EC1lP!xa8E
zwGQu@1MDVzXWYYor}n@*W`DbY?~J?n&(u1+V=k0$_<i6u`yIOr+Zylje#lEGXRb9{
zu$$3N`o`wQJG?J$I?UsJkjHSI?Oo1;GUjd48|pVVT1l_C%P^Ps%*}>5yhm;_yk&ck
z^WZGoot%U+=1tNacNyNW-O71T%DhfGVRPd(-VNtcj~#7odcEp}hevngRo)eO31``Y
z%o$RdS4dmjZJ5Qo<R-%`-WhodN|={OOWbXE!RDl2u$%D$@0^<lp0nAQC!{gYmDbqI
zc#bzG&!L#Pex~$+I}9^<WAY9ZF;A6VaOc1iHWhP&H0FuY0-GBRq#N!Wc+4haeqjgW
zF<zZKheGB~X@@%p9<uS62kc-pkXE>J-~rpd9ESqtII|0>%rRygQkfg2FC;O1c+Qie
zXzKgfi~ZC*aprpI1DhIrnd{irNo?5Epubsqg;4sr>ERL=*48s>Nzd5Cs44y8Hp6|k
zML7xi%vI72n;Q4<KFEEL$6O{|v8izv?}gliJmw<lj@t}(*zTD<*w(m>`%7HIcc$Iq
zFNzuOa(~!)U>^6AIEVABpJEukGgasnJZIW2zF}{}T<$A79p19Oh)Fod`Xol-9BYO?
z!*iy5y$8>jHj7W#>+puPK0*J&Gp6<81$!B0b8m@j_{y|eJYesES=>wF7``$s7Y`_I
zG!b_wX1vTjC9dHM(_(Rfy$&x}9rO#HG0hkMu%}@rcS+oWGprW+8!8#ka;L;Gd}d0~
zE2v~V%^ecg@QG=%_=G(NW^lX2Ih<iV6vOa|sb9QckHceD3H=Qfj7Pau;_4YbGPR2v
z>~VO=dLX9Z15>m3k0Qo{+!Z?=rgInUJTQ%$CC=eAD}(-or%d(Y2Z|W?bI0s#n93cp
zlVK{i&(4M^++SiF-ZKU2Z765l%k8k!;U4P;y@GPa-P|@i9qzKe&@(7!+{t|;mf;;!
zzIefIhubVw>IbYF?{a>b+3=3#hfhNNd6pve1?fzcY7N$mHo`lM8*g*o@H=ph<(1EZ
zGNuwWgLI}MHGy=dEy4}Pjpo7y#*H^QPxvX6F>MgeFmAlgxx=sFEK8L70jtKVoGbhi
z&awolH&``Z=3Ft;VHW3tnGG*lywn}68ZUBo_$ibyEfBUaZamLf;dkH+i<Npn8q=J5
z;Tv-rp0OCIH&`+n3GbNG@RUVM-NCZ)B&Ua8!WkANb%ivhL^TG>#^an8ehUhjdW0k9
zG(2JvQg5(mJj^NKx1fM2LCwLU@gQf-%!UUndwdq0X4&DBaGHfleL*TygYXHX#{HZL
zGaK%+tn)c=ie;5g!zq?!J`Sf?eyJTWZ`2U3zcIVv9?JqBg?y$8;T^LZ?y}7DS&+w6
zB0OVu!yT4KY7FL$+c|gmE_lnhm;V7MxBs$n_|8}(x8XVCPW}s@8*KOw)H2Ltzf*bO
zEpv{1!gIz_xq#mcR{S$QGg$I>d}g@CexUNeZ1x?M2i`EJ$P4^txWT@q^1y5682JOw
z7}xSA)Yl$(#T+8P;ThvfeuvrvFPX2{D4b=!U~}LL<5GTw+5<0`UE~FRF<fAuQ+ePy
zvyFVhGse068lM@?vFF%1d}f@<f1rk8CVPzCflrK6`4`k2c*3kAZ}5z9BEP`r1_S<v
zngfrSW#ljXU^vFEW9RUZv6J7S=D<T{9{GSD3<mrPH3#Y+Fz>T*_`nz^ci|~xjNFE&
zjE(#kJ~13%PpLd`pLv7Lf%lBzatTivL**QvG6u^jJY}rqe^Bi(h5e1K!+XX6xe4DH
z_ORcvJ@AgvUoPM~!!GtSwhr$Y3;7$W58P(HBX{B3-t)hA-+x{@|NQ%(cdmb~l>7ei
z&)ogBx3_;T-S_R~pE>o@KNrsX_VCZ_^3R*~e{bafo%`==?EJIa?Ow0n_iFvmEAD?U
zhkwqLJ3G7X<>Y@ay6avv|C{;0=Dhjmx$(~|>pz>?e>R+dCVt=3aJ#4e`=0dwJR$x$
zQSOuc{g2Y~KZ?hH6qf(UfByrw{2AeY59%uqe*Y9Z?{xmp1J^$_%zye{?)2}!^;5Th
z3Y~Y#zf$x5_Zs=9-{amdthx92@7>#fC$F#GHNSdifAx;~>h1Z_4)2*N#5e42u;acE
z%P^nyO{Bv+rY|}Q=a~w`8`2re#R_&a+-AKI=`fe|ieAGxre``1=a?SpG?XzGh<!+7
z%o8)%&2W?Th#o^3W3JeTT@2S*H$*zTW{Rj6->{3}D(jj^hgVDi;sv`HOu0QaGnjB2
z#5%lW@(?%J#c+|eMUSC`F<tD#PKNWWGa?<HGo8|DC}y0^eIdr-8IysyLmK0B?hP>x
zPnk5t4R$h|WbKJ`c*1l<N8t>Ug7}9W498hb^co5oySW2m93C+Vh!^Z&ILs=d$8d&;
zL)@T#M}t1MKup7Q){;nu>8v@C4iA{N=r9y8Mv8sd&Y;8nA^N~n)`&=lsjLB!2d1!k
zL^|AO`XMHe%2>^PA(~+d>l0mue8zI_4bcZCvtH3{$YU(#o)GPDhv|XXhiweoS+~e8
z040zcwhr@|U-WIgs_J0IFrWF2rNcYMFER?}84K$98=f<i^A%Jx+-AOE=`ff1id@4v
z#%D4P=NKQ!G<;(y;QR25A&<|Xn&Bq%5jlo$47q$Csu->x-V-pRF|~E;iCsqC3rj^G
zXtZCN*uap(ccF^m8uJ=Chp!CTd=sh|t}xG$b2!U*NrvGpqYr;T6~iUw9yy0E3=7#A
zY!A$2u8})X|Cu3;??PpR5&Hw11J4*u__H^LDmy%5G~jo5#xR|IgN?&eMh$+0N`{ln
zJ(dnn7?1dfO>5%nIsN7}>$Qu4K@sBIA~E6j7b|aAV_?ka&U_|h1A}v7NsGxYp_g8>
zv}c;FW7!$>#H%YKY*S1p&y1-u;kRNm&v~uYJ{WnjSoPf0Q}t`EXf7*Jy*@QAJZtl%
zH(r~xkM>1+p7mO;y>Q)>T|v{QMubP%#(19h+NoU|xp~r@sT|=+n<r(x^;)Q17|B_z
zdSR+scvVcN;d!rSZOL^iJA~#<-L@tqUG>&fyYO9`FTM3D3$xlh>8;lW?T70^c3yhw
z)j3JqHnQ`SXQAJ%R@;|l^-FcspUyLRu5x?wyE#jqFF9?i%{VXRxys$C>*j=12F;wD
z<Uc9)WuEHpssF;dqCHQ0m1yr>E0U_Zf7jFvbH1>JpYjR~<FesA?-lH~D)!_l&sx8t
z;!873R;%xwx1@5?%*k@n2j`enRtP=v>{P#KnfX!W*kl?1CY#O=DhDPXnIlqJKk12Q
ztNPh>Cgqo2crH?JoLBN}$@$4P{;!_cWPVn$P*1hw{H$W69y#wxRnTj%BJH<pmuwfB
zGWFB0IVu&GrcXBVH?rkC>zU<eWy|?>iK+U;c}J>(UV7$EdEhr`^Q7CJd)1#>c3ywK
z<ly9gexHhjrcd6MVd?pC$<B#kk^ZZSCf)I@uTuXySH%3%oQXGjo>WbmIx))qlx$}C
z5?l3;ic2Lkzb)A{@kh^+YN4qUf2mxY%aW>cb>gQJ^S-30*r<D2W}foctA4OIBwfW^
z`DmrF(45KZdR(k8&6-%?zUZ^rq_-Y>)LkW4p7pFyJ~(fR`K7lW<!)WJCv%tFIz91R
z&lk&}nG-GQ-EYZw7B4w7@kCFHWzchvWy+O(B36@Lcq~?4*f+&W=!M5*<-k4_%b=$o
z6O~&fPoD9}auYHZnl<rQPm1NFc@zE2H{D%v=Kg{H6#Jsjf^&MU%#AireC{@1{%l>#
z^Tp=!N9$OAPn_QW&+gZ!ix1q}6YRA9oG4gqJWD>cu4U)MSrhA5^t6~yn$mlz<K9h<
zvu?|F$e;YV;;XWibYq^#uE3XWi%ab`eV+K%eY^74T$f#f&)rMp&-Oma*z$bEY4-~G
zr!Q-_d=H$mz5kuvtWSbd`&ZSZJYB3U|MP3@mYL5N-|7EWbL6@5f&NW3DnA0Bx=)e!
ztqb{nadQ6~x28WHr`$W`HS6lP-1sr^v3tL~V_nOSi8K0@?4^>ISP4Dy=v3D1OR*4o
z>`^Ga_NGRu@|B5F?o~3Gg-Z_g#+X;hXyz;1dIY$AGP-o%ZF`>Cth*j%OSVnC<5nfj
znYY+n`DN{u>Lu2b?zpX1zStYG{i61J_ucYeC10NOC{y;8)I9Cc?oli~@#c%7dgaso
ze)d71184PLs7cus_|&aW`D1O!FTt1Yi{&HpSlCx`J$0WW->Ot9*?HO{+KuZ@huJBQ
zYWanAQ+{2X)gNL%%Xre%UKjIIcRY$0`(B&)&W%aN^R(NH?)uGtO8?wm@nd?y;{ClF
z{^<V6{_*|8`YHSC>LvH@t=IkU_0RTm`RVxjYx}bU%r`YXTmSODUcKXgzkf^raC}*8
zTz~S<QIk)<cc(Aju|MSBvYDT^f6jk)->Cj)&JtF=@9XFF9{6+g()a79?_b$JdsqG8
zKdpa$NBpY2yzSy`w<>APyeU7fpSyo+|F-(ue|rC(e)|4JyrFd9%@tmc?qA-&sy^J@
zO7*Yz^ZdEJ%jy;KN_I?q=$0p4X{g2X_x7*v@7B-mU6+&cUHqH=^ZSPNvH!#Vr5gVZ
z|FGy<cZ7b_UXQOzSGt|_gNg%Zb>8`NclL==r5l~Ed{WXESqmSW`Qof=#=m`kTYpP`
z4}bUmPW&$Q`nP(I_H^Va*$KaV7spb5@s3NOTGiYYz87D(E)ky^SD*4r{8aqj?h^f1
z#TWDPCuYy?PDs_Cwb$dEYjKu-Rq@29uG7UQ?&SEabhg_}f6<;5XI$rsSH^v*ocO|Z
z!8Y-`aV6~A&$(9L(zE(({$5{hS~rKj)SefoUGujJU!0k7&NV^r({7EepBA0zJ`<Dj
ze36a#$DJOhTq|8x*Dv0oc&d0}{cYD=;jexw&y@~#=BaPeoitmcT*=n8Q23+Yk+zI7
zrJLOgVnWOV--w=8(sRu@S1~Vc3inHov_<;DoPH^(i|h|{Zd36y@d#-xTy$P9a)r;6
zr3FgPM>;vS`YiczVP5C2wu;U>9gkk9t(yI!^Nvft@K#@z?-$+$%<o*_v&8)3J(v2x
zWj-n84F=A|Ob&WWDjSY7TgWZ=$k4+cVbk!4QHZ~xqTw*JgxrD;3<-P=6%7ZOYb+Zc
zFz%6AaGG(4Ou}hKCjJFa85-D62tCken8r}YzN3gin|(zQgBJUYA_h(N7uF2-85hYU
z=r`PBTp*+Ho}q$$hjqhU#(6Re-Z7N0&#<m<xWo8}>45H(odVAo@9{k-YuGlmD*RDw
zX8BS(?U(D0q%XDQZk@@!>j}3~vA{FOne3TzB2^b|I~K4Xl?{2Wu)X;m-zni=)){{1
z<?h~XtYCj-yW*|Fo>s2r7YVHb+3g<uk63vg6$h-A(q(VdEDm_?n8x?X=Edg)#_Wx9
zTPi2KsCQh<K2a{EQXt`pV=~_)n-?D!oNAWhpEbq0<I+b3J@!(|6Q>=U*lR5_J}4Y&
zX5!bfIZ?2{hFwu^O2vdnj@|5ra&j!L?-jJ!-^v~-5187#$Y9<zPtnxFU8_>P#Sc0f
zFtcVAl~qM?-o5Q2lhG?}F{wD~{hec$J}ffH?d(T&&()Wimy3$|XqKzmYCnzSELXMB
zz8m?nO!fBEH)}%Dm)@HCVvR|<s-^bP$j&lV3+=s;C(n9q);_sTWVg^8uiUVz*psEI
zX4+fV9ocp1mDeimwUL^os#m7^g$Hd8nl<&pnv`8ZFTIv%_pVdf74*Vuf%e?Um&K~*
zr=D4JWoOXLsTSe4>SH{Mm!6qw5U#ZO(o?T#+M(-Qc3yhoHA%ZSQnN_aP`fpfvuNq@
zsWRbNF`b1=k4_Z{_ln^xTzYsaPq<bL=NYdSZQgZXQdJL5-LvLOs;ZuLZlq>`s;)NU
zx+$qk_fOrh=E?R;Q>U(36OyW`rR^K(dCIF+`{P=X)TMi;ehF*Z6m+lNt3vzk+LY~=
zCQp48wkSF?Pj%<iM`2CTFLPD5Prc>$%C__SlDm_C%~?_{G=K89Ia8`9&71sbPD{1W
zyvgt8lvGc8>sjWvsyOJaXNlje;z@HRKbqrGEi`BHy*W>wEx9>4#lOpz^V<?L^}X|+
zR0++V9Oa*6oB37c>f~#4rc?>N@?5T7ztU3ktY?Owk?qPaDwig^`3u=<eo?tF`P`f@
zm6M)(run_HS$W2Dj(YCAC6z(XJZGp+w0!wV<@97N|3x;LpHxmxJ~l_Ba?*^+hvu+U
zUV7}=r*1m$NX4Z`o?Ysy^I9q{J@jl-7oErQOy$sIHveBmlOA}+`Mm<S1LlZSOqw>C
zq2B*g(WU#I5q_VnU!L*|^IKIUG<EW_IVw+=?3?_<udC?NJ<lq2M@!B3Dtjis^V?+o
z@}y^}`o*~><&*As7OEed`=xBsZO>fguYE_XFTL}qb-N_ndETSi?UbzNd5=oBL$aLb
zJ<8p7$(}4zu~xn+xw1^fO8Km$=Q)o;w?(p?=RET3-HMDaz46$je6)|nI%xL9IQJsi
z&a)nCl{fZnv6}SCBiqeOma}xp<%w5%rlhHuD9`K*u@ZXeu~@mYPXyFDNO$`sbFx^)
zSh=(>Bu(YqL^JnGGMdFIp!P(G<)o(`Q<VezOe`lo@kn;-lJPv_k?7VW^RiIo_(Uo9
zB$>=YmHML-h1{KFUKXevp2+3SWGwX1qglCD(z8HCUl~^A$#|ajXi!d-e3`GJqx`eC
zBvnOQIaIRqlt-}JB%`4F9yQ9Yl9~A`dnYdFnPM(<&!b%VX787jCA%iRaGNFVnYU!e
z#0PFw(kFA5Z0oPGfAlxw`(iu!mvu*;FSeC`T3@&1`C=RShoIJqwfx<>l;0EQ_P?qL
z`7JoN|5=U7^TihO7wcF+Et#D^GrlR`>`$_9`n%$+`+E7Ebw{2pzSbXMANAMc>ta*+
zrFAX8F1~cnvh(^ZIIG{sUh8kim&F(RUF^C3f+|$`+PWt{C(i7*ve)`7_}qQAd}iI0
zpMuZo-Bav#{W<Yz@u_|d`&oZHJ}owscdb)-w%9=4vF;0~Y0@iiShwZJ#7FL(@``mO
zKPEnOZ<TNS+3{iV!Tx<UDnBMp@84B(<mqBvdDglmPr;3yFW&{H_5ZQk^=TrgMdb2y
zv8KG|&lT^L_w_HT+46njJ@){+Q@=UhFW%k%#;(5VQ{Y`tqpDWrd*B`S0{OkQPre1-
zcH1lcF>lN6!1=u;=8raCoYz}qera>yyxs!yLz^$o?aedaboazLw^FlJcRS9x6`M`k
z95|;p!@Ozp#o4`ga!htleB-uJ`e5Fbw8htZW6YB_2flV&BfU0n$*zmDdV|cJ?!G8d
zHkDoqYVg%Blb)LAvg_gtw?)#ec`Umw&g``_|8(cX8MnF8Q*U+@FFxCAVt(olN3pVz
zbZlPA&cLT`Q>1<KRCZpR(W_)Gv^j7_uY!5iofCzNkM&BJN8Rx#TzsTgz})K2iGsz4
zdJp8d?6~;AE#6G%&WY1*v1X4pU7Xg-WPWLr-~+cvvtPG4PS?BDNypwiamp>!Y|*BR
zQ+k)=T-h#o-!0JW)@_e`Wew@4xh>l--gPUJw!OI`PkC4GGqXvzHS&~q^xiWoy1n9U
z{`>fQ`*+v>{&V&3>F4j~?cZ7d_0QSQ;@|b>?Jxg#@88zH+0XOm?%!Jf^3UAQ;pgsK
z)Mx%b^z-+#^|SYHu221c>|bmB-`mgLzmC7YKj+`Jf2n_W{|f*5e%Ah#^@0EA{R{nU
zem35u-uM5sf2x12e}#X^e-VFSfBL_=e_#J(Kg)l9->Ba5f8M{Ve`fy_|ExcA|MYs@
z|6%`@{;~cU{^|XU{S)ht{^9*B{^|YW_~ZL~>m~o|{R{mw`-k|)_YdO_?{BR?_(%1R
zb^VX;r{fRqkNa2l^YqjA)Al#kZ~epiXZF+g_v3Zy|Ne{nnf)|>>i&>_em}LJ=HHLs
zw?FXTyT4a|b3e_$7r$qJdHvgec0Z%PPY1QNcJ42%fB0|T-_qZ=%L3oK?h$_*cjdX#
z-R>VTTb?hv)BPo8%5$YV-5+8~o-exHouj|0IB;%vR=xhB;)`>-pTvkfSGv{xAm+<6
zC3Eq!aVph;Z(KKsZ{7LgtJ3xE8!=a^1Yf(Z7GD{+<=LVu-2wVmdp*v&X6iW=PkiaR
zRD5EbN!7#`t_#H*<4T?_I^S)hZ&V!k+%;A2(Vh%Y!_7$l(4LMnuG7UM<3cJ0pSn&K
z_lz@nw&+Cnk(hdsXG$l!<@Ag8toW#OtXoV!XphE6r6b*Z`bK+Jd{8nF7mPEhxH!F=
zMgLP#;PmbtF<YK4I?%l(hNU8KTK7M_Lq!wsyN2s+Dhiz1y&}fssgkDn*VrTFfm6D_
z>0R2*amuw){9$ZK`NX@f{(7r+cf4D)v-^o&*KUq?i?(;)(aV~>qP|?|UT2y5E8~gp
zTx!&A83(>|sZu*-Ecni)LT#7v#kVfogm2DVan1$OoOCHt>oOL6>r$XrWjt|qXPWw?
zxf*3kH#%?me6gDN+9gLVYi>vBqN|-D>QTmluUu9L&-Gi9w#Y<y;mi{yi!OC~s7uZD
zC|Pu&(?Q+J_~LVydBQX6XRat-WF%bZx5aYeGnbjdiGD6=i%xgysIQvSQMBk}r;56i
zao`h|BsDH$!6z>L!j^tlECe6BbPH?xO|cMs<kBuI=@()lIHQw8U24vY(=JWIl`~hI
zc8O8DWfb_pB}$EHj>c)1df~*GC-N6*3rEgeampn`ZI;o*DV@L69?jM`Rqs+Q{L+^t
zb<v*A4{Ez+pU7LJE_~J3#r)zOmm=Y#zAWY!Z@c6PZ?(PhTj0I(ZvL;89^V!1_}^A)
zd{?yPe_F}$UD1aBZsm(_inrU}*n~V^c&q(|jmdLGOa7yk9p4l!`1e+xIP1Kb|D>JB
zZ-F<?xpGytC%!70@o%*|^6SDY=T-dmYb!OrDqd;#lMnhFFsuE7P0Fu;m(EN0d+k(y
z1-x)xz(2S0#b?Fy?PqMR{0x}cZXtiG#^dwCGwlZQN}n%0b)Lo_YUlFv!V~97{JoVL
zpA-%GTPry}Ej->XBcD~%@p0kNb`g268jg<(54ZEkYt?X^ac<$~wfpi^@nHKNn=4Nh
z_3HU^D>XhS>hd$%O?kR-fBOcTC*LnjZC_&(@>Efa-?!4^lyfcrM_ZAn3-`8vk!$)C
zaL>7d|E_Jy_Y0HTU&$@1&UmM|v;C1=Q}v6tird?7iM@*LC|`KD^_R|)-2(Glzv)cb
zJz-w!C!Lnv0`pqm>6GlA@YbnJY}Mv~w@xKuvo=qdQ{VbX$7Q#`oYs3fPtq3NY)ujG
zisdL<XvV!)@5wHK*{xCHNwFEFidS2&=}g%r@XBd9_sU3(vrZXeMzJeO6fd>9i3`PQ
zlqg<kJ*V?!=Y;1@X=1NpR-AE~!=0<QWM{xLry1N6BVQCLo^I6=Ulfy3q<FIRn2yNK
z2{T#`>9Fj)@Yt!okK0u5$c_t-oVvJG^;&jZc<9u|Evm<org*58P5jrU2@jm&#9nO@
znBKZgM`Xu@X{`+6r#4-<?-U{SDf-1Jr!cWqn*^q|F4Iv-UAV9HhgjF93-_F=xE&)k
z@)h^Az7yLN{o<rkDfdNPlkF4kI2Cdq)cvw;!fmHq_OEhBsxQ2AtgYv}WZQAxv6}Cc
zt;c!CO1?w39OoU&`F7c!_@-dZe${ftHw7#9vz8v`91Hmt*>aq7%;zgAzVOCz6Z=s)
zmg<1n&2juiwjF03*RpSv+fp^*m18!amo3NF1(%zz$V_>rV8T9AE~HA}rQ>4uPC1b(
zfftVHe7|f?d{!`KFO>^<rf{yl*^K{^jmBq%GtDPtN-8Hjb)3o`C}&bR0n{Dovhg_M
zn8?>;^Wvkz@n$LhB%6$n3P+oT_?>KCd{8*t%*D@CEb!2=nZ4H1<AZ`ed#Po|X~$?j
zE*p>2jt%UomM`8b=&=8kEqSV-%^qsmamq26Z&Fdfea9MhSIdm|3VWLu$kb0M7r5tG
z&VEz&%aaAWnqTnEvi5klU`O)<zAEbzZx?K9tYUv8n^C^Nj`=0uk@N+&%uo52q%W{x
ze#qC7zQCIKE?<iEgt?8cctWfN<~Ba#QAuB5!F-XAC4GT8^G?Z(GKHIsN$gFsE6zHs
zXWq$oByGX9#t8N(S&z~Mrp!zETC6VAzjVlA^D-8g)#$^nCEHQ5;9{c-JC`g+$pT~M
zTD~Wi6J|DAv1=I%Ja?GQoXI!EQs9|G3fnH36GaP7HEOWWlJO{7V94ysr;@h7fZ37n
zi^YV;4!z8Vd|NCgJaXt{R^%(OnDEe{mAO%}qhP_o#(g|0789m7?&3L;x<Hqim2XLE
zy~2UUO*~)B1*SFrVcTUiVQS+l9+%Vwn#`V(EAkcgH7?@WVm{%XLjc<;X^#8_yBpuI
zRT%}`btqvz%d27@aL1v5c`xr1vw++7d;fp<xB0jK{Q8pr4?mxuS6}r1;%EPP^#%VA
zem+09KJWj=zsJwnm;PJ%xBZ-b@xO_m{pZwY{BQhRe|~oToj=CE``_4a{D0uz<!AG+
z*T?)%{OteQe$D?i{}%r`KdV0IzvJKMU*t{yFZs!S)_z&BpseqWznXvBzs$c_@A9AX
zFZ-AI#{X;nJ^tB0v)<~z=4bxr_Ot(I{G0rf|CxQtzny=Mf0}=)UgQ7FKklFA8~%6s
zr~GWb!GDK;pLblh@2fBWd-(_dWBcy^8viE$;D2P_{$Ju>@Q<tZ3I7!Tq<@&N|DWUE
z<EQiW{ulgAKW*RmKjSC+hxt1H|NL!!D!;#e-Jj&A^R@m5{7gS(AN23#@AmieHU7W(
zyZAf*l=*w=Km6PI`}n(M_4ED&f9JnzU;O{XU*YfkckJ{3@A!Lp+vM3Y2Ylk{H%*${
zld|o4n04-!{+uUD!j&fy98y|jQWXB*IBxswV_)f<WSRRnj-}6zO_n+zam-L}c8)~9
zZrKfew%bSJtM5Kqw=?Zy*Y>c&uC3>!Uat<UNfMqu<A}0YVTa?NME>#_ho!F-v>DbU
zu3o+D)}df;+0xd9%RXH8^N%<r*!H1W_D+3Cv*exxR^z=TO@cKE%;GZ+FxN4%N4#7z
z(eBoZ_pZXWTP`><pG}=sTI$5DqrK+nw8-n61|n>Iby@Wf_Bnd(NLtrW*V#60MbV20
z>qX4I$0xF9@Heqoetf{hrp?2Dsp`XrLKROzrEvabB@1ruNK`({cCA_2>e7{t23rTC
zBkltA@+pcO8cVlvuB+S36s)KCRH%0PgWWDuyx+t+y%!45n^?}d$NNs~p?5<5dV%Gf
zyS&fDI=vGroZhhe$Zgd-dKb+)?|FXkODY$tRa<DyX*c<XapxV+7c*Vvc|P!CI<NB1
z=TVu^w#gfeJFO<Kn9DNP^UTbaIi5#mvb<G!;PdFL%AI<jq%xsRlRM_JyivL3^Qcs4
z-Q)!0&TF0<{E|wAR!xpD?!4l;!0%CsP?p-nG@%T&M61qAo)i3%N`w|o=9tU!Lgk##
zqhg_XlMReJ&v{On$?{yqOnsqc=NZogzos)Pdg_Umou@nl{E~`<CQX(w?mXeyG1Fy+
zr-R?4LZQCN8FN_bAFGI|FSO`9;;G>Gs6eP~vc?>i8J+@uNd-djYKj(|`jcN6aq3OJ
zVbpoRGh-&p1C>oakMe~g)E=65YERx^)TuRjfl;UC<OxQd`#eARDxFgKrnb<WQ)BXp
z*(~=|-l-*;cdAcbF`MPC$}=@Z^UfWf2Yesp3gu1CsCId;Tp_>lcYTLl|AktX`R;Ej
zUEV2wu~9m&Tp-{0T(DfO@HfY8_ZyWibKS4lHJwv_X5(~D`H@Z2H^BnAkIw}2<P3jv
z+;l%;$Mj7wSMK94j_d9lDqUVHN62sd#c|brO{L2#<pBA@UmT|W9-lc(`VDGbUMhRY
z8~)<B=-y(-^hGdT?&D96^X~OCDqWr{pR#HCEI7OWLXFEaWdnJ~XM)rFH`KU1Ro0L<
z+&NV;Jgi$xJtSskZR^qit0;rimM0yC32E{A8`}Ehc=|eOT4uPnRI)r#mXm+@qvM#n
zfnCx^!LELf8kR@OeDaAuIu5xvRI)r&W|RN;RIsW4!>10t{s*5rbo)7KTBg;zGuSDe
zR{kf~_*Ag2f5#^d?fw;?IJEj_eB#jTe^JeHUwM&D(tE+G{)SH-d)yz`K6)ou)?e|d
zW0(5{+oX4bMg1MsEO(Ue$vynmvCZ|1UQ@Z??(P?xIqtfCh&(dS^@*O-d8JP}Oyz<V
zVuk5~+q*Z!w#;?C66x|*>4i?xIi)8$^-AZIGQ=6v1@pxorU`EDo)GKuMkzu3Vw&Lk
z?t)mB*{)mkno0#%cL&5CndQ1fkEv8}d3V6(4wG(&%^a6qr|3162rljxh;@0P<RD&{
zCOE(QLrlv|*Al%&XOt|&H}2#(>zbm+R4kYxR=AVnv}=f7Q<320?g=qRX1KcOIh|2D
zRIkHSB-r0w5aaS#NkV+%4vwR)Dtb(Xg6-V~F)k044(K!$2sU^B*u-(rwIb4Gx@$q?
zk!h|hdQPX67{n*03f6ZY*u=5lH72rUs%uCj%T!mN$d)OtUv!)D1p~!4Zs*wR>JaI2
zPw9hL;dYMQt~QY_ca>g<8E)s;>3T$$DNiuJyS^aW<+frK|H0~xyUt%MTiz-DkV!hP
zSj4~Zxj-dfV>O3O`;Ov{+s-%S4xLkcC9~+8Knb7WbAcj0!RG>7+8c^H%-ai!J8n9k
zkW>05u%SJpxZ}F>4!NeYic$Ort2(YauaHYRs~E)JSk-aad4;9REawH5EiV<l_#LY{
zE;@I}Db;@wSkP`!+;QHyLhjHRMJxWmX99EDZ`iavQ#9gltmH6i-(k}NYKl5mcARwf
zkV`tFsKl@MOdyeuv9ja1vxVHEj{-gI5jHK46ovR3D>@E4OUNzyAdtZ4SkZCNxyG{P
zf#M#SMW+>a$RwRsWa3}=RG^{#L{Z0n=LE}^`-<yi>JOb#TqV<VN^zNt(<#MYd<V-r
zG}>=ix7<@)AfxnNprU<;b<17Fc`}RM36!+Yux`1d_=u0Oykoob4%tPy0(+Yu$R2s8
z@QcT(T%d++W4gf3<_pFhHq8fQS>`$3kv#HNA%{INU7(aL(3-=ld4@5EWpjry$1TSL
zl1FAc?vOn4Mxj21UC^52hT|5=Bd--=*bk-&tZhz^J@QH+gneV0z{+L^*&{C%uJ9<G
zRk*-&s6=3Cvx4lA7YZ)yf>s<C9Op<Ld9Gl?o|q;uw^_rO<D6p-pHs2G%;p0!EHfQr
z_zo2bOl@8ubL5GF3cF#Nz{F+&;|_!72ALy|6=c{iT5ue5)ZwdlDir8!c91#pP=SX%
z(1OFDSwZH=1BHD&P6YySY!_1nV%RpO3N$ufFyc7im?C-PzQP8cL-_*XY>BA?p=^$+
z0>NyGsRFgl52RhDIKJU^$`=S=n`q9l$MFvDp*#V9wm@@^U5;mXo$>?<n;WE$+*Y{5
zcJW*LJ^K&;62J4;{##K0o87Md#^?4s_Ah=q&$EB<kMX?xyFU-V@o%f&@VVWpe#Kwr
zx%Ov%HqWs?@{{?k{DVIa&&uEVllYB)Q+>x@<~Q=U{yhB3zpg&vbNe;>4gV6q@~^6o
z_}qTQe!;(oU-+~BO?bwi@h{<5`z8Ac{}R9OFRJJG%ltzA+@FV^`RCOed~QEiZ$ITH
z^K*H#{|kP$pRrH)*LX%=?|;J2_EYu&{}Mm(PpX&r+<wBo<EQfsdxw7yKl1n0XZ&G)
zEHCzd!H@PM_6q+Ve&BDb*Z9Lc!(QND;s^fte+oa?_3K}JV%Mv`@u~fQea27b2lAW#
zJbcd|@$bR+cJ2BNpW3zR7kp~hte^0yeV={(hrf!a<iGt}@SR<w{={$Qd-Cu8C46sJ
zuV3+-`L6u4e+u8*ci12J`|vG)UVX-G=l60I-#6@Tx2wKzmwCSJo14z><i5O7JTF)9
zy&;{y{9D0p_S?2MZaUAkz4ET{oZPcFj_2eay=g4tFZlK$jX&?3!EW}OwnyGEmhtC)
z`>>1sy6uMgo6fK0BEE0f#eUUx%}wW5asl59cCnjQdu(PmsW!Ol{8G;2yTLB@i?%KA
z7)$umzkS%re%^M*P3Pxwr`|Lc^UtonaL4(XoWXa8H2&$;8}2wimDBicu#^3yZO={T
zCvr#LD4vm1`2JxB`*B;7ca4So-PHkioFB;vd@tC+e%Myz9b^3&IgalJJKFWD1@1IY
zw=KEJJl!_urt<^2EpHeL_#?l4*v_s~{o(fEskRX}ou}Fc+&nzR*5ju0eYqds1XB5{
zt1sMUo?`ptEn_}^dG&_dhbP-!dE1!BUtB%mw(}ji2j4zyW8ZGO<?h1oe0$1o>~)@R
z{o-EZdD(Aw6u<LTy_@iyZ%2Lkf#P=S@*R7d-^#wZv+$g3)_aHNe8uk+p7RyHbEsyw
zD6c4HH!q*DxA~21(tC#LcC+$|z0I#>Z`@h<m2XXX#NOstve)h?e&t(HZn3v{mi3aI
z%(JX#+*|mCZ%Mht-sTswPVX72+KtP9>}h^3d*;r<&wQ!x4pg?Ewa&Pw_?d4;dA&k$
z`)TWldka7DO(~zTr}>HOu{(;N_>$fUJmZ^CUa_b7v8>el0~PH@tu^i`e&p*Yx7gGC
zP?qaG!!y2?a)~{Mr(1K}J9t`l*PX`GvfJ(`e&CCG$57F(Q~qN&^EB%pcORaTU2|vQ
zDcKcw5>Lr4xwG&+Url*OQTtx&7k3#?$$om*P+#7@+xo`chbLuUy>lpU-)Vi~uHrks
zg7S*p&9`N5J-cAde$VpFxrOItN}eA`=c|4;!J6H+_=GY09m@wZ56`u{bM9anU)i&O
zbiS>{3yj+>izgVjTNE#t%RI+&&&=l8mS@g6mho*YZkX#l+j7sjgQa{q&n{T8U$b0u
z&asp)``HAmdiE=pbIv)QmAQ0=@vMx`^8hRMOO`$79835X7BkE}Jkzq~+`(eLv}YGA
z+l`7J%sKo_#^m_~OZGFC6J{QMDx>o}z>@uxrO!FXBEIBj0+#HC#T>@$CoFBw9W3PQ
zEl!wo_>qjr^9dI0M=WK|9W3B$EoLxgKV->s?%`<}mgf&r`C{vz9Z2PiekNeSu3OA7
z$9bCNpR<goW&S)XNaYKA7Ldvp^2{KWFX-6?bM}3fE;A3`llk(DA(gMP_`vMLcV*r@
z`;fv{TD)NP;X5)<o(Y(<@37o+_FyhwZvKl}=J(QN_b)u>-If2~bDM4ciQ49Q=1(e_
z-${Si^YEN>-u;B%Y}WZJKG(P1GQUuHc#iprO6NJ|7xpoplfJj-;92Rk`wG9?ZkQj~
zxA3fV-2Df?*v#@XYMEb4huvTBtIaguqn7!VwBP*&&v-NMH9X^8makFE{8HNOzQV7z
z3+4^`9-fi5yZ_)Pn{ob&8t0kj1^W^|^UlgYQN#R9`ox}xpLnO`uc%>uDy?>3q5fx^
zVSYzV^9=KrO6Diha`zwnXgg+ZurKi=Z&$uY4f7*uzWWJ3+76jFR5Cx5X1o94DQ{E$
zhfi&K`42v|>E?6PG*2^U*r#|}`ro~Vr@VFfJ3g^#=dbw0rj<YA6PsrKi)!Zk(u?*a
zzUQsVZ}`-<$Na(GhwpgH@+&^I?J~cxH}PFPZ&7|nHS-<md-ooEYuje}WnE)A@9yju
zo7wJ~euz9g&-BSU$MaI3)-aayR$MDc=iQ#YA+~w0>6J+5w^A?GB%YIcvPSWoRK|6N
zbl&`H57KxyXHSTAej}A|{X!b=`s{*O=GmrO)-{&$uFejKJv_^F$vVbT-sRZ=o7+sX
z9X7LFHm#qsuCau7akfCL^9w15>ji1N^Rqw1G|x0GS-0?vl*RQ8JK4^frmSNu=1sX)
zu#@eyX~??9BHqc_6Jid}Fm+kyct+~b8pa~t{_KJn=f_eK*Ej57J8G)3j<JxpJ=-A0
z`JvQ-HH`(l&DlRTu^lw6h;*KAS`c}7nkmaV$J0^_*C(Xb^VVk{*u=KqG$yins%c0h
z^Hfux$mS`gU)DC}^9Ekqu$^tMsY9gmJ*f}Z3bwQDHnoX#zAN?On!$Fqou)_DGUoB-
zXBR{}-<GU8f1tYUuJISk=68}m<|Lk%EIPm7IZx%ehH5sO^c}@*w~cSiJ9tj=)trUj
zcuLM0Jm)DoC-9tSOL~1nahrL1L2=tn;}i1~zwvBH&nRxYZoFe&<5|h5^9QQht{ShH
zmv~k(=zK#}+hyYwmd>+`7g#pGl=M39P}O$PxMQB;7oG*_7R7DnjVtCIJR@m!KHwS8
zob($u&Ceu_&No!D8Kv*AX?`lHb>5+}?WD2Cyu>q-O6L`x@g$yOsBAl4Z)`Dd;YXgH
z^az{gN0LJ48!Flk8%xYv_<<+koI^$1LE{?B<_D5{<}5rdxnoY^X-TH@3!d^cq@O5i
z+i#p;*?eDe-JFA`Bv;L8JSDkoj^ioGU*`^#w`ru`ux`F5xnPbWXba>H>*l+X^X4pk
z$5WC%!@Bv7<fC&8<!#%Icg$Uw%TvEM`GM@=cM`vP9LsrXj%`Ti*_nL7xXmW{fGqPo
z!#k3P-%8{hPe|t}Jr-ciW|cg{n9VY|!<g-s;Q`6RvkiAh9)2T{a$LZg?S|nN$-}QD
zVvZk3<5`=WAba?gM9A?C&lWCbZw_5xvfN>1%!B4AQFi9L5+B})Eo6IbuthQ~GRZ@>
zp81u8-~NW@t9&DGxm~%ge`b~7Qf2jXFO<_}o--*C|MF*E#KoNlS}$mY^1f-^?QFC9
zCU^9!DE%j~8)g?Xa!s=|Ulq1y-OjL$s~3k9cKIz0n<@IsV1=afEW-to%`YXqjyqVj
zT{P_IQxsu-A#pC@2T$`s;pUl!C4CFe_^lJW7P6r}I^~G<9-|+v+*9Kgt+={CRPn~r
zrB`o<t_fHYury=U69-PG%&@h7dz}Qu_);T8xwRuxvo3LUi?7xVs}D|Db;ie3%TLy+
zH0rCbVwTg)nO1kZ^0~Hpo!1sgx;o2XVpzeduFz>>JPY?&_%+T7d$@8{a%#G2d35Wt
z&D&mW;hK`)RllvPgsV?f#FBeb@{R{*UqxDcS^a3q+EaH{OKnYX6)5-e5uLyC__<Yg
zHQl)L1FM!-tc`eRyVQE>?WJ9Qp=+<o>MaNrGn_QBx2L8xw5_8nxGc0gclNBsp|ye2
zL&{hETT#Zk`qi_X#RkPIUrY&dd&{YuYH77n;whK=slKUZ1*T#3Toby)`re4GG+DlA
z)ylx=MFPQ3U%b+K(%H30?G?AE^r~w&LRW?R8{}s^z0hg3#z5NCV{4n&)zWQEtI}Bm
zS9z@R)-s$dnqt2A*NRgC3l}O0yFT?~y~kDY#YNXH?PPb>;RBYUk45#GCCqmJFuj&^
zLB?5)?Tq1sg9Vms-Z!sD+tybG1bZK3(@9z(!~9f2t-Z@umP?dtT37n3fV$`{YZ_l2
z{=}ZX!e+(J=-3OIC;Sx8ilp85Q7kRxTDvZ|Vy=_)HO)1Sa}UkbT+un#=|$dqky{*=
z^2-BW-so^W75`l1jL*T(r-kQeetEg~;@5?`NACXdEa!@R^5UP!>R(G*&#u0#RlhP=
zPIF3UvcUd=AjUaK&#!d1I~MUI9}}=-Gfd_%W;<bM(|1tN`LTq=@eLMiM-5f_7z>x*
zvXtPfG?YlvkYS!-DA1Q!u>7uJ&CXU6;mk@;5BGC^JKE++upEDo$`gC+04vYoX@)F)
zj;AFUj!#I{IBWQ)m+^E!fAWWFuTPp64C`Ygo2MFvNHR|~^pR|yV)%uZwY!nud5Yni
zUdMcGEr|sVi95Nj9#U-EWB4HQXX1{-3GEM0O1wJeVBWUV@I<d-9#27Xg>>_6iCcPK
z?3PwFmVZ3-PW)Gm<9DX{`fq+Zy%YaZqj+AtV1L7N?(%uR{v3KMp0hvUId|#4fZwcE
z@iRWxvs%V?d}h6+f8gh#+4?(v9(p65vR~jg>ka)aKM%bYkJ*3V8TZ=wgujPgiHGdp
z@Qiz9yu;r^FU7CaD4rF+P;>AL_tJQUzlUCkyX+VE#d<-1&d)>7#clQ{Jma1luko4n
zoPJK7<7e)f@dy4e&D4*nJNSuvYW#vfhn|S5>^FGEJuzOO{&TBAe8ZnZkHuy7U--d#
zOkbzY@gsL<yu+VE55;-*2mD|)h*$V?=z;jY8pjXZar-Vj<&N36;VE}x{Dn`f2lP{Z
z9=b2Sq2}Ow?(lsHPq{<)IXvYK-ly=CyEgv8Z>K5xZ)zRia|i63@SSy!{+-%`@3{T<
z1$<}SrGKW@@f~+ze8cbhL$}56?7Ofn^nKW#=(l-S)3xue{gJaZef6ESUvj3VYu{P>
zA*VEb_3gDe+c#|vox3(``=ZTP=d68_Bbu&#Ywd%auW8!m(P#5icZa?S+Yr6==BrZe
z>uYc1T-_!5I&5|H%Dk;<tFNpL*lu;#>ugx&HmA)~UxqD>o|tFKb<C?o%X0OF`n3+*
ztu|kM9yTv}=FL^btBs-yqjKXOuD|lKXX>+%(y*D<M_(S^J$be0GrtvKDcg44IaRd!
z)LM=0v+j5mtu_ps7~OeO>rB{$XxqG}9vVMej;^}cbusIsx{dbHg>NEMqZE(*4XpIq
zV)ZApYwE+W*67BCmo_Wi$-3~WduoDa){Kj*>ZeX$uC@F_MCr}0)4@A~v$oyZ6#5`6
zY7N8oQ=6{d4~tmyXWOn#Q>U(7mE)SaS~DzY+pF7M`KvXe-{vkgZ?(Ge?8+KZk<~UD
zK1R_Gc}rPWoywG4y?eR9S)bHA$AVQQ8YkAi*;chF^ln&5^x0h1?;<b53jHhXOm~Ui
z3CoY(nR~FPezn<|l>v5|!HdGb#vP5`8h!Qo$|K9IR+p@QRD5;ba=rON#i8#)t5$uo
z`C1|RF1RQB;m%inSKkIqkA7j4S~c};XxX||##5e#ZVkV<a@OaN*TFgKCKZRyStzl7
z(b}fXtTx;M3$}5@eSM~79&NE@LPq1dtZ!O3S0}AbSbZxbC~R+B{nIMZ*{iOtj#wWx
zH%PYYi`G?L1FNvLGo$uuiE@>3PY7KRJ~wXZvz3PrE&R9aSH?=OV$oTvedb5iy!z%F
z`Z9D$cyCx|oM@Hki-5R!R>fCmF8r~|Zne!qsdg(D8Lf|6#^I%Lq0h9=onLLX{?eVM
z_|Ru!8&WO?wN{GGT&=f$)1FoJpR`V`I=N6}{iHovXF?~2cka|W6FMQ>HtuOf=;P3y
zaNW426`_wpJHln-QY%6qh9<02no?Bzs`G8ocJI3@rDD9wPx*Ego_e?9<OQQyOZ`17
zvrYwvth0IgJ!I<gSJNgLf893afr5myi&f3EuFdJ1cb5NJ_U_Y{dAA;~{#7*fL1<k4
zx>rS_(^qec5v`ayZ8byxp*>osL+is6cb<B`N;^Dq=c-eoA?s!pO`Wp(*Sbf$wN8ar
zhrf(veY$GT>JRI7?LPHxm3sKqSl9BacS4K8kH)f=U%efg7rxc^s<r6*kln#wXL^-u
z*#*Cysa39J8~k)8SGkr=Ncps^H&ct|yeiYWz4A@HPiXq8C>t%S;Ij)SCGVOtXX(3j
zYp2Y<qWUaorgvtMUTE6Npz}-ZrdtW`n(`uO@#Ng3^!qLsPb~~)_nUJiX71daRa)A!
zuPwcy)4J?z&<5|LzXFfW>?$kMH22=i$)b`Py7|h>pcUEEf?~6#a-9p>;$0ecD|CnR
z*JoU-`$U7vue#K`uH2xmW$5*hTW0F)m1)Z-&DAQ?y0P+>%u$c8R#RV#CM{Mt+Osgp
zIP`VMn&7oUb^C%=2Cdax;$<uB)oOM1Wk}XCFJsYJD}9!0&Fv~#b#bMO=SrvLOiQhb
zr^sDZpZYu`ZP}|itImYX3C{IfY8m=0WJd7BnL$svu09Q!791*fwY;9yCTG!)fR!5S
z6QsXNY^^xuWokL~Nl5att~p+3LK2rX{W)!P^>Ij_`(4IozE>?oABS`YYx+&K5PcNV
z9xUk>Y9TsfCC759Ij>HKGzC}ATy;7mX4x&H&<7z=%b4b9oerrFPMmovf0cHs&isJo
zo08gAoeBwAvCKy`b=5xgODlh@s9$7a=V;fta?g@mi<ewlyu{gqHC6qdO-N<%L*G*K
zsj^omuY9#3;Nn}ANXPR9t9H&6Sovhdqq3|eO|xI+YHeS6Yukn0toL-^<SjfeR<e;J
z>cQq#+vpQ_o95|0xykfS>_g7Ob7Fbh6Lzy&N3Yo2dQ11h%|ml^Puz5xqkAEb@toMb
zoce=j#nQGb>~6iGdmwM&S+ThKSGSv92W*QuRZ$m}V0>k5>owgCp8}b)62GeZt%zG5
z$GuAI8c*wU&G(-9D`ITLg0?s8YQ3zx;-=Fq-32$BUW$2bci7c>QMaS6wo^4?i@+|{
z3%YY|9(pcjvppe=dv3JGX4Z4MIeCu7+%uyO++mtouN#whu!wtV^nyEwo`|VzH%QaC
zsq2#Gct-3{4r38_e{{her^jLv+c)fBJ*umc$5_bS9&K>P>7m$xoW=s~=I9@rSP$w}
z+;p0*TX6HxG+mZF$J1gA+b5)Q*GC`N#JXQM=4R7W-H@A1Q+0iAHcip}lG~Wi9k^}7
zc2-;NfNc}Dv)1p?y_0(|kK2D+z;@PMx@U47^SBG68*U%EEp}(yg>ND6gZKEqwY!?W
zLfikP-O=YOZT+9xEq%Vy#{Z#R>+_Y?&XJX`zG>cGxWy(lebu?(t^OA)v(5z<wM{a*
zGG(QO|3y32=PS+qcUES7)4aLzhUSfxX8wEao}T(4GJAQ{{3Kbev-JTP^P*(CzGz-u
ze$8g;FOgTl%l%hYYMl+vm}gWAD!`X}v<KB>ebKzQ+-W|O?5jeJ;|rzQKYh9~bGco6
zRL!Z+n#Rr(D_4D9Y2;sMxAo_gXTdZ56YX4|tvtP4Xa1_1u1_mZE>~&4B%}3trJ=to
zU#g|Zv*4t8T*g<P22b#}wR>9sBjj;#kH4<n(jOs@f;;?W?NTj5o(H!&&#dG+6Wrp@
zYxni3=E3EAY_2}l)br1+)cT;Q>(6L6_36s}%Qx6O{eETY@-;T0Pc^mteJj0A1=sq2
zv=x22a_{ml^O`<|+zYPoziXTN{mSI!ujVbP&U&Z0bNQorP1Ud7YHnYCYuc;WuJZbo
zcbERsS-M+f{?czcQ+H39xAc=v>u!;GOW)~~?w;~CsBGG*%^`1tN~X=)JY~+(M>?*%
zMdmEMr}H#z<;|rj)4O81%2t|r@6~&{OJw%asOd?uS*4m+mtNDEx=Z9$&~opUky>Yi
zGNu{Dt}4;IwA5|7P^?yo=7pu_biVGK@;oSQ+N+rQRcC_cc<1UZ-5K&MXomO1$X7+0
zr<ZC?Ulfy7q<M1bF&)vJQ)VnZq{F)N%HyCuZ&SUaJFYwm>hf0AYu$0>VNjd5s2*#Y
z=Aor*(|>K6@*pT~+N(_>)0b}35#2Fm+ERw;r#4-=9~3d|Q}nA-L1EKYZ4#NfbeWE7
z>dJjfe@yGzbmd-9eU-Okq*lJ>o~7@mZHj(%GN{!1qOR%oDR+Vjy$|Ys-8SWRP_Fw|
zxuexr-UZh7U9#;uA6VUY%GT?AU}fJSTdwng<$b$sPkqy{cE4)5>YIj@`&mn`bAg3@
zi)^{h1?Kk^6<>K1xXJyf9BXyR?8R~YMYdgM1J}B5l-pW0<yByIpI5yt*Vh%77hjQ?
z`b@*beWqM!mB`D$#qOPQqE#X<0@M3`*_`^UVeDQi7y3-&++wr-OEy}cHO?$PAyZm8
z<!Rtl_dq$*$|+9*ll!`Cyv_tB_BGkO`lxYyu~dJOP1Z+^ql<<5oorrx&^Wx9tDmV@
z<Y8d5d#$C{2MvAqQp>K>fzf^STsB^(0~_2^EnmIY&~g7MTl!Q(+db5>>r`NH-=w0D
z`++s?u9jKvHTEuEATzaG<X&L8`%T%ePgd+&{GxA`wb#29I~G6atFk`zcEvXBFL90E
zxps%YC}zE@{bA>!dD>6n9M6k>iedcDRk5z%IoI~^4SSpBYG2vu^j7pmOyW7wCo%Pk
z=R`BsGd$<YU-#e{*XHmEd!62hCak~kjB9;(!Ct1>+FRlpzjCb(57>KXmiCf3#;;t<
z!vl(2O~M_DSubl(iEI4AwK!a0uhR=rhxG-|xaNm{*wZvqyCiPm8BvS%8!B1PYNy08
ze&$M9S5V1%T011J@e|kN@Ckbk&Cqs<b37w@s6K}A6IXwD!5*i_q7v&jRInc9>I`?d
z^U|mFu(m|p!VOF_v<2c4KXApbQ>b9o4}Vd_suzCa)8ypV1KJrg_b@&1pKe_kcA}`&
z-sh~kPt0YD-Qfo|O@5upx?ekHXVX;ekd+Qkxq{azJmspLAo_zx+hymWdw#u>M8DK2
ztzlT|bWgPYLtRx->u&8Ef2Wi`<?>$_P|mtb`%J9kJFdd;hTVs5`zNe>@a^(zzubB6
zw6-{Y<vVJ9<y}B+9arlm*{<{c=@*u$=}qI?n!e)B!Y@2i(>3la{J>M1zT)=$MLeme
zZ*r!luds5y%IBKC!qWMqq}I8BEzSoma}Fq7nZ581kE!*PHv#n<oe%O|O<Qq&VN83H
zamed{HO_1KmRenzwJ@mNN%mEVhN<&XNv^X2%bch3xmsO$5wOU)m5<fx%FKm!?Vn^$
zoe7xhJXNx*c*WU;ChezWxQaE5oMZV?Ekm9LOmX(*Q?<M@W1&*Jka5V2g$nIeGN%ex
z99t;S9wp;dxZ=n{fp#mI`cnlf4lO*u<7#o`K|p+)kj$ym0kLh5jIK;u$kcwxNaR64
zWZN%kuG0Z^&aslGP6dRvEi$??W#JN@tL7s20|MJ_NqgmMXgELRZ8g7gH=xYfR&rII
z#;%3W+9pYB<!S6#c(1KUdQ~ph-rxsw54{ul<>Oe+RkLhEI@iwN3&yQB!3XN+GR@Px
zGxN|}k(}iT>0G7D0<2lBf@c`BS_XF*v)<A?F!RuC%^fojy%9-SE?~`iLvzc_L$5_*
zmLEvtS{s}&_s}bmkmVcFxK;)`%suo{<cg2tS&<7q2TQn?1}n@x^g_gCxqubx1<g4#
z4?P#LS)P!_H8)tpnDv}yj-O*O*UaDpbLyF9YR32-EaI9PykO3uCn74#4br$K1`8Os
z8U#1YIrLaWX88pR)?=DFevXA)oxu)s4m}j%Ssq})Y7ne2=g<R@eLju_Tye`Tq;kb9
z+mOoD7<|Eq^?+u|%tQA@HuxOO=L%nzkjfRh%psL4c$q>fS8ecv*-le5-}pM_a|JA$
zV9r{<NAr&F!8|ViWdY`_yEM=EI_7Z|1~<$;bX(-kvJ2mW-}~>efBWysbM?FPfBf0<
zeEFUEU;a#au6}3!hd(9Hm*1YBQ@`nR@Z9-X^@~1Vo-_Z+ACc$kx8^_i^W~Ykx&7IH
zD!+r@_;0Y^`t#*i_3QI*{JHW=__hCP`<4H;JX?Ncen7p|-+Irp{+V@7pC`ZcUur+`
zpUJPuFZ>tUH~uSmw*35jn|h<q!O#6u>mL2d{H%U<zES<5Kb>d%r`t#V3;8Mh)PJ(Q
z=RcEY%TLTd@<-&E`ic2+^+kVHepEj;U#vdpkLE}9BlG#{jsC3spl)C<_|N3W<>~WT
z>OXx7o<4uapDj<9ADF-84@>=z;A!*!)gAgY`M!U6-KI~$Q|GVvWAap8)Bfw<Bj1Ck
z%>Pz*={M&o|4RFZe@nhkzU%K_x9WH2yX8CQKdI~b&G~Nm_W5_}vTm;|SHCy6to+sH
z$?yDX%5H5Ae&<(Jc51WmJHLvuU7Ihz_1k8B^XAHPep{_C-poAbS5(%uS@^AAL0MJ(
z=E<|?rj<{+t68RgWA3dtUv^D??Uz%Qb+@y0`PI20<x!i1U-_-Dp8IY|+Hw=?g*Q)@
zEWb3@qg?8)XUXyla~;a9HeY`3H_v+J&6UN=jjRjbZP_{bncqz7#CI-f%TLeMDPMJ`
zvuOFrxhmySn}eVDC6#e)7JlN_Z*BSR$`0Yje%;pfn(wCU5Psy>ZY}vPWQXvKxg6zE
zcV3?MYqGACY!ja@dA(t=a=4!HV&x?p*R5ZqtZcAc&${qt=4ro1>&%;+1<Q4;|GsTW
zRo_2%-J6uu<yzK(H#1N91(m(J-I>2!!}{&pCEJCk%>7h$==RGz_1$yly$RVaeAlno
z`s7=Y?ZS8b@~!K4zP<9T<(~QnyTtFDweuGIX0h|X@ww%W`in}ZdFl`B7|#p8vw8T9
zbDRH$&n;H|D{7hMs-LNBnxlTClIgAR1Dl6uh40uTe&gKa-%-o-M);P^!>^p{{1ZO6
zTvOj*m-v-)m4C$NmMiKD>>hsM%$hgh8E3}4gkLR})F;>_e&Jl?&rx5?^g{TY&BM=}
z^ZX4yx13X-QpxmO*lhlSpDkz96YLt#2<y#H_}OwwJ-{yU6Xzs<iO(%3)H^DjW~e*Z
zJ^aYo=bur-^jKJI{(>JZN7NPU9)93#^Vg_hnxQUWm-vA*exAY)7JdI0pIG$#Z+vPw
zpq^35^gwu%&BOPc5%V5=Z_)Oz-|(qL%YVVA7ES*NpIY{*f3Q_NCH!sPg6}LE{wJ!L
z?g_t}m+-ws-G4<j(_P_b^Ax_f>`*^o`|vGio_|KP(|e(c=^J*p*m+-wWty-0CerDh
z&=(!W^FjsF8`3$;rxomGxvhF5(rK>h6}`rDLeF#@&j~%!X)NO`nD!x!GjE!~ZkGC+
zsz>w~%Q$nVeb~ivU3EjG(`%uK=^J*jTvc5Y>GVn{V0ytW7E^DJ%`7I~2C+^rg*>Jk
z>|(j7+M>r;!kIqp!%mj-sxu;;o(rAQX)NZP?R_D}>6wthbcZy~>E0V+oSq74OgGrc
za#FP?(&>rN5go-dLJHGA>|i;rYNFRz$l2{(9}wg8NJwCM!48(gsv>%fXM{MW8|-M&
z_ZEn0nyy+B$uwOxC(`MG&=wuW0?x>3AGWjTcz=jKG*vYs(rKz{K;)q*sveO}_l15;
z6G-K(_P!9!G)47^E@M7tx%Y<XLz7jn=r-nY7JE;KcDf_<VA_XmEZbGL$S(ZOvB&*}
zt<!wv7xi+D=LNsXD1PUt>YMPKV~6{J;udT79kxwx1>eXlJSUjd@9><XxKH6ZM`52s
zHH(FNMKO!H`wZKrH-bt14Am`W?iIF8uLW<&Ed0u`#y!He>6PF$8O5(0E8Hz?n`S95
zv1FR1JVS2b7mg+F61Gh*1fBXBs#=WQf7moV7d#`g@N+#!YTtp%mb1zka*CfhX1FU9
zx13gvkX!hPV~YC>o2Dm%$7B>gaU}H#JmZ+)USZSpSWv3}Kt;<@WeqvSj~pHD7B)=}
z1-beeo^iCeOV}KmuFN5K@U-AAna0zC+hi0!a76VnRJ7>0|FCA7ru;+p;VHp2G7C=$
zu8>JQCAdUp;d>788uyN(mc7a^WEoEhe(Gx|Z`rMUL-ygx$&T|x>)S3^v)ogB!?*Cf
zKuP<7bdKt_3DzvO&L@mn?kGNxJTzDF4&T8tj<U9ZbdIgg3yfPVohKN#SU4|`WtyY7
zN3v<Q;u${2GLDVT4YE$N75DHREak{)yI{p~O>qsMV<|^=+XO3?D~faY9M1||;$b{1
z;L{#p#d1lphtIKuW1%yHZ2h5`iZy%(i#gKTE?Bl0IX{p&^i05{eS#&+8N~^bhn@=P
zv<FzSoKp1Rb1dRWZWFL%F?8lIW;vl~!*{Tdqt`h>=FlSnk@g7|EJqY&_zo6uv^p~w
zvm8?7;d^*mfTjIGDo1SFfmDv@HUSG3U1tUvr)i3Rco|O%{Anvl<p^sFs88hxX){RW
z2x_}v&azL@Me@)+fiG<gsT`Hg2c!?(6?oJ3A%&yVd4cqyI|5JI1k71>DDL4sn9GrC
z|Kcy>d;YTe3(whi*+2N)Y-@kwZ{s}qCqEhA@qhU9;2eKmeZp^MYx@<Sn{UZq_<3-S
z{E45AbL21lV>riu@6Um={Au+HzngE=%OCi+;4FV!{exf3X7(9>8DH~<)i3zfY-;cE
zm+=+9U;Tn-?3r~9&)ApQYy4$=$?sOL@T>WPe8aiaXG^y(O%=OVT3RCFaH`qZ{>Puj
z=lo~>EcncxT6f@Q^I7?fe+r-3XK3u%*4MY@(6P7~i5^y;4z!-(*Q-zX*?dYq;9tTg
z_DS_M$+7WLay>sCpYR{~qi}{_q5i`U=Hv1v{~A8BciRX2aeTxtP+#za`LMjmKZY~>
z9Q6i2n)UljYiDjeQKj&MS>OJ}CuTkS8=smF$Y=aye89iy&x7~u5p@r~H*4E(_|&Xr
zzu;4|ru~FZ&HLm({8c!`|E+GpcV>;`3r>R9Qjaygu0QqSMQigZ`6quF-m{n6Z}@$1
zviud>1-}p8;eS$=@UwY`{DHp@-m>S}XWTycoUh9EciDs;%=cv9yjyUducW+TC$pXP
zj5~~v`M$kTC}*!Kn~=`F!}`GHW^3ymcN^dGy=l4G_?VBUJmAFioy}HT^L@8l7u<G~
zo;SPT>zkdO{_^)`*H@Kq*xtNT&9daxos{RxES8$jT$WiZ{Kj|VX7ina#lkOrv-5&%
zQ}=3qU3P8ujXn2FtRjw`@trq+p}B6}y{)ECAKpDuc{wHcsqeIuyhD35H#_DFKlPnx
z-n)}i<n+D!zAfghf-QR*XZl~bV7ax-$kNo^`{JE#6OT?-HxD}}%eJGc{@aUBW*NoF
z7uZk9-RJqRVdv$BjRkBw+d3yDFEempRzElA)`h?e+js1g?2t3k2yNcAjB$~4#1U7+
z&e^kOPM*v6;!VOiz9(-K&hcfGGo-WUmpw>h-)ueMuHzfNgz^h%?CY%y?lR7n-SV!X
zlzp{zz}<thWS6{SC}m%69k99C#M+^LGxKHHDeoFe*cV$1lnU%(z92j2=E3KDHsuLv
z>~pO(HZz}-&3Wfg%s$ilz#Yb!vN7)t6tPdWUU28&6F!x4gEaPu)&iTG4XhjP9DK|t
zQ+{Cw^D$YScMgT@oz@O_4n72h{SIaWYlS-pAMova<50jJS9T$lJ*I3!Dtn{#g-y)$
z2V_%j9=y-D;mv`3_VBWVRQAv^hgA09GKEz3TI&b59jC~?dFznR9#A%6JM$jdJ8uu<
zvHO<=Y-ipjd*-b}9($p6!|j8&`R<fmC<}h?yT|<Py(`bv?#}*kXUp?tcV>UNGv&G3
zo!KAmlssQ{dv;F#rsCkav$OIS6<?k+`^g=V=W4g=XFs^}<(Znf`Pq9a)xmFkH<)kT
z`SPpU_1QP>T&WU%?Yr81<-IM>mR*@0kZ-lu^Q>=Xo>TGUm%dBQC*Cuun*73dp?TxI
zl4r}#&$h`oDh__`o0|7%Pv&Q}v$Kuz5AEqZ<2&6v@?J=#@KfK(=AQRVo-I2u`^X)U
zXKE*A%jFmCS@}_|{@84>{GdIWAJvY`=F2zQv+{$Qfw|y4lZwmJ)AG%VH{X1dwrTd!
zJht7Dv(Ltv7vJqUo3?iL#=LF2X1+?xHuJvAQ@Z)`*(*8I(u_@J&&&(kCHXRK@$Ak#
zv0aic($dX--#JrkY&^R(FD%XY+*vd8%Xf5&jnAAtkyEyF=F_yPvjg+$%|HiNCYyEN
z@i~*0Xx4n^O`-Aevr^{CcXA4ikDe7WcfRwc!1(Z4E_3G1k`L3GXV>2JDKOTbU3#<U
zbXv3-_Z^?pX$`YeZ@$Sl)|ve?w=C6Idv@r}o>OVTW|KEX-cPHU?RqmO-+1rY1v%5U
zOWsQ>pM5j;Tgv8LXJ44jzU`B@xqipl2WHi`&*X02mi;BJ`McEa>o1CV?`D74d2C+x
zlQ`$|rk`S%ze`oDD|{}s{rZNzZF94)>~wo;`XVOzoavJo<#VPP>lvR*<*$4AOltG>
z347h%m?o^h_)Kd3^@6=@v$MCvHGh>_eLZ0Bv02$m;+VfmEx#U6+-q{(p_un__LR8h
zFZEK3uM6yTdtvIZzVMmU{Ocd~w9U*eiCcWe)MEX{O5U^CDRIo7rBc=vR`Q<C4vB02
zBsKZ^ggwV*WV^&UpD{fY!~99A|9Zh5x5uUu>o->L9?e#XWBw@Be%)Y?+e6a>G0h*O
zny>#T;ysvMvD0mOcEQeL)3RCOoKKrFte^N)s{Z<c`Xb)_*)coYre=riWSg4pv$JhV
z_Ltb^_fmoDHkR}5&34%7cF**~x<b%`8JnGMcTHccGc4!bnSCUd`JGh$^@81Qw@s>+
zAGGehoB3sC+dGpVKFQ}zik2@-m#SRWXw7SLd53ZD?aUi~htHY3@>yIaRkF-5U8-oA
zV7k<n%MHf8=Jl5gjC*fpp72vHliF}O!?^c)<_^E+vnEl?4_ftJ&0OJ^eAXmrd81YD
z<;)c`-DYJjnA!Hy#A~^uRqw^j4nO4*sRfrUjC;>#R`?x0V`8;DFimRC<r{O_o|zae
zZ?xn!y1Zjf+fx&*<&KuUCo?_#lFyhZEmusFN?gWh*?T<G!f$bXp;XW1h&gSKOoWy<
zTJ#>yl<-?zAeFGp(W3WYX3fmD2PS)b7N0iR;gfvYglYN0RH=r`CyaXcXC};SyKl12
z=kO_$RX)w9OqTgLpECKi?4WtC#^oEc+wPex@KMf}s<^ykcH3Q(c|MEtq)IN&nB8{A
z<k2!l^WN>5JA4<vmE3#&L2do9cgDYLoWD!f%-i@}a_9LApL=Z1AE;%Umwu=6*jwYA
z`H9aZOXmgt=CL|I<1>%t`Hs&#x6%((9-E!Mqw?4r<COV=zj<z?Z>c=?+BjzZ!Do_d
z&nMI#du1Flf8#UBmFFF5kG(X$VxxT4_=3&hFOo~oE7Tr)VeB$r@E6a8^f{Hso*UQO
z%ujqKIrqHAXP$HEId;yUC1;*LP{TGeJ;v_vC&{Vj7t|bkVyrUX@R{Vq^8%lH49+*y
z9D8gmGymcbo@41ccFrFqJI_1R9D8WYGe7VLkHL9`nqv=)_t`jqkc^vm@u_6Yyp2yK
z8_!?(#B(4$rSjN);|(^4-%EziOMEIBI?wT`WN`gF#ix?B=O0wNO-X-a>-=6aVBW;<
zJbTjb*dBf-=|3;<JI}84Gq%p}Bn!_sR3E!-d}rRpZ9Vr=Kj<ZwOV&<XxSPlB^o`9u
zcT!(Oy3I>{pvQdP=$+2vGRbYHH*D^)I=v#6ZEotB$hJADM<UtY8a>c?eAeiWPI8&#
zrqdm<Y;TNi>C`_im0Wi^VRO&5)D3#crIM>oM{Mr7lDa_eafxKsw25hw8PgJX^;}Aw
zpqE@Cx#%=UEZYmCb2^WUCFh+s*xYk2bxI`Lb0f3q3wQRMNlnmeK4YXeJ#lBxsnh_y
z<RZyQrzJM`oJj46beoatp!c{?vhQ?84BKNPvFQtU^c+c5(0g1^FWGilBZh58s(@Z{
zfn@wN#T`8Qr(bO1(K~%(Q_q3aj7YWzMw@gV=SxORd$_$v`}BrQJzA$1Z0gZGJz-PN
zzSIx8%BPIJO<TB~N8|K~XtsMs@1`Yg?@>R!BAV^4(X(la+k1AT9?*T9E17pX!`kh=
zVMYJO>K?n}7i`()C%>_DduLexMMn9&VL^Z6bBXf4!fKw|$u}(B<|bc}Yd&ZAOvd?~
z;Uk&mZxRK4AD>C&^%++4+)O?q$NWtqx9?*W&-LUDmTs>NBl<U1@mx(_W9jzFFrdG%
zipTW0M=_7daRXbomxdnwhE+TllUwANzeuF_eXQg;pFG3T?YZG8ndZ+DvyWe>w{d%B
zXwdKYOk(=+4K{914K?}=D|t>P_gK0;F+3upe8y0r|6>Ku@njRZ=8qEH#{+EK9vKSs
z7gq2bP8N}4K4ZwyZ&=Zze_X((ZF+KvCEN7m980$chFfHqKS)IOeJtnEIsU==*wo|*
zOSh@X0hY(6Bzsu8-8cNvC-_vN`uGLwdbTOaPh^?jOOzkqV0~<I@)g<UcM`?NCs@1P
ziHr`9zprd;p{KV|%*owlZ+^`OkE0FN$8H<m>AP4K`95{e^tW->o*Ugg{Uc`E^G$b7
ze~Fp)-005f4?P;Y_wYTB(8-mt3{NfB+r9V9HzVumS9h-YW@I(}>`tF^sfBur_wt-e
zDa<-qpI5B@+F8wg&6~5Sd3vws?DhG!>B{MAE^*hM8J#}ubUa3^`lj;D*{2iqZ_nBD
z#`H{TgdX>kM^88Dx2LJyp4(&oanr%mYxcx0tC;yBHQ<|G_oeciQ%<w!uXf6s)pjbi
zE<sN_C8xN1_U@i{Mte@ns4t$Yb1tRm&4jxLpE_T={fo1z{^rz^5wRz4`LLZ%Gt!9M
zCvj<OVyf~jcIRuKi#MIQapmNzwuN2hJu!B**L=)YrEM~4m@_$-v$fDV@@2}h$qOZo
zBNfyXU%a|!kfWacxOwiHGX`n*FZh+2^H`Rsy_Rv)UN_Hn^4^_()69D;Cfjy0zj<<I
zGP9`Z)}&`|xf356@O-R)$0sZ*DR|h$%PcG|i7l@(hks_SoXPf-U1e&*f+xTDOnbiZ
zrg4=I?{TeM<ygy|JxobAq7;{<nmu@tv1!fO)b-PM#vOaM>DuWC{ph_uUpJXfUmDj|
zb@OFvmY#R9<gC*^`r3PYzHGX9+C`sxFVB}v#?x!#o>k7AdD==}yIAshYW?i#nQ_x9
zC7-3H=<VKf=F_HAr#19v@A3Jx$#A-BoZ7QZ2GbqmzE#Y8oZ36xFm7AL%txu6(-q^&
zDrP=RZJpk@v**L6gQxe!s8!6IetK8Tv8S7Kr?bW_dunvx^ro0^<&x7*|IyoBG;`|d
zRWWW)H)&4y+_~nx(Z17*Vz!mfyq6lFce;Kz&-+cgPruQtE{eRHS~C4?tXg^Goz#Nq
zdt;xKMcz)?JNcvEHtWdwCri{H8{eFFvPk{1apb&{1?q>5Z_YiLr@ndanR6+nYOCk=
zoJ%QIn`|68=VXR@v+>Q@C-3-}S<ifvvT^c3ziVlmuAhuiPd1Kxow8=~TEAshH)ov;
zQg@#Frlj7;bn?=fJZDpuO`huKW_9yL%A(1wer#4ZXP&fE|2*f+nUuMcr_Sst-gNe)
ziTdd|JjF&vlVknTEF+($OquNKr)GI`#z`f0VdKadCl%DI=bS0rbnK*rdh{Hh!c9j`
z3aDGpIa9Fd(8&WnZWcEmq{OQU&pC5CC06aR(amWmnba>EN!C9|iB$VNo9A>&-Q?Jr
zXHKPrsx3CUIpyRMpKIol_frDZZqN3~H`18=)VIz2=G~OC$+k1s<QeTc`Alu{Y@Ix#
z9VhRp70+IiE3x<R1KDHm41V!AmrK;NZA_QgdH8~HkImr&vTXAb??@hdYmn2Pm@ZM;
z7HG|5b$Es`kLBSGW1d@y^#>%6%}(4QdF+irO1q#n&yB<_lE+>f#IzqwlURE=LH5`y
zgOK)(X%Z_BJIEe;X>f%{`K-YOp2H;)OAjl^9(!Tn(k^Jlb0Kk#<gw=lHtmUN5_1o0
z81tM<%;9q`mY8|?fDGHr#2CKAMG{jFFOWI*#6YFpFim3OVFBYFgToCn#~x=G$h2Ry
z;5nA4!{=Nm(RtWG=Ga36p7uZs9)rUQGRGbm?Bj7Rkcex$m?{y|wlP(r@$dyBo&$*~
zlE>~FY~VSZFA?6Bm?{z4=9nrG+@_c+QG57-wA+-#H@wdI5&>-!&3X1D-r+r*C*eQ&
z;2Y8UZxQd4_w>KDyY}4h?(rWs+n#T{bNq|VwC9F*j(@Nzd%p4Z@f`WhpCjfT&yrvK
z`No{%Pi(}V8{Rtpz~<XCL-YQ#c51&P-Xw46-&*<RtKs$IH*Bu`l6alGx__nJwr3l!
z91oDUuJt*aoGIu0dB)4+rTr7_%zn*yk-V_K(XQ;-#`DK*>gA0;M?6nXm3v&1^V#t1
zaU=P|H9cpNr}szNh5eLxnmoDR)6VSK#uLYn*oZwdJaJr3zPM)1N5f;s#pHu)bUqp$
zInF0<T(jnbp+Uc(o!O5Y(~q;rfBqCP{rC=>ZBI8IIKIV(ZAbWxFz)qwOBOFSjd6GP
z%sk>3c)ergOXV!Lb2H}FXFr)87aC^PH>tOh?_j~npc&V--Nd!b#AkmG&yeU?dbR)j
zWbvvOcjCT$YCC%N?##uv+|JEB<l*V%Z8UTCg!#3REHbrkk3{yE<-f_>Xwtv4cdIR%
zyLwxj|NNsxt`8r)G_C!7<95W|WPiWF&`3*Z@nVtSIWaaaEh51`R0Ae(Ppv<?DrRN1
zQ~K@I(b|%k?Zv^xVIp6G&q-MIuivn#d1G5FpWx0pO@((i9am@V_n)d=S9|D9(a)B<
z7hAVJw0!f{^?mo<o7StgZrZVWeg3POrmjh|mPO`#xH4J))XYN{?G9*f5RXhu)i}f?
zQ=5PEl+L%E5zACxB>Rg!j$QNJaQE>?R`ue-wP$h-PaS_EcRIRf+PS1svCXkO=U%=_
zT2>`i9P49Vw(+KIx2Im(jv0@W62-h_e&1fS^mJ03+~G|TQ;+|V+x=<A)T3)`!k!vx
z_4`)(oJy|k|7a`rbmQLRU*wvdva)t(KmW#;rzLHbEFo*}D1KBU$@7o)nmtF}d`j(?
zJ7K%NzPz-oaPQW<S9?6Rw%9zL{<Ld~%OSr#i<K1(lPmil+LnEvaW~mtZgq9fyNx@K
zKauOM=6Sbq`|&$++0kpt4euQ-6MwyV#=E2%vD=#?-X&Fuo!%_*E~!Fn_vRaKleTr=
zj9harX>0ez$eeRYMPl8XCEg|#h*fW%G5ctm_~clfGQ%77M{ntT+co2LQjS=5Y)|RN
zt4Bk`qc=yqN?Or9S8rL`Mw9M^k!MOaUOMU_E*<Mrvhl)E2XX7oH=ZZW>z*08rg)=K
zccI?4oim;#&FoIpb4%NJ`lych>X@FQjVF()h)Zvdc#@PP#=TkMNm75erQWq25|5L*
zyEXNu?T~ns)ZQ(r7goPRV#ZMpap{;hr<0nxD<jvOPKpt`y(!{BQj{2TjLzw#`tHQY
zGx-~}yCWmloJtB2o4skql%v1I9!Kk(N~-RDsmqqSanI2YV!NZy<ZV>%zN+iC{l=Z7
zqVA)*Y};?#PRi@vDtoP3;(g-o_OF&c-wo{A-&*Q?H?VDgYRU86z^46fz2%#42DcBt
zkqLXg;nv|7GG@;WEZdJ-_Ixw2Xy0pj=4|5T_LFjA)e>(KbNQ-m&wMp7Yu_q&tm?+A
z#8vHUEp@&cTsiE=A6y(U>+l7cw5o`giA&mh<<zPoUL-DPpKJN%v%&epXJoEbM$9~H
z!GGJv=kta$hYk3Zi*GzloYo#H=T=#N<4NMA_FhY!PX>nVt(H8WHXJ`J!=G)_^Krw`
z!y^3NHas6U96rp$uWiF~Cb6ZRSMJ+WgM)|n$Xt7Bpx2&jsq?`=x1CXL+S3jD4{wlp
zR(@mZ;WaX0PYtx%eJy=XCDyioloflrVejEDe9c7>_Yy1G@5-i?-<W*(72jg(oOcF0
z57$59Yqoy#)?oYLTXh$IbKi@9^Ka34{gV2F&&8|jCjREO-GAaU_nr6$Kab9hzw__V
zH}SH%z~|yy_b>R|ZMlEK=WdJr3;wdsiQn_Hb$0xje@@@TH|}rv>pDAr&%Z-o#dGQ|
z{^GtCzviFQSMlt+iNCn7#LxNXbXNb;AEvYVKJ|gWxG&Ym_xyAEBEE1x!{4Jb<7@sM
z`YfJSckySp(f$X2jy}^jsh{|h`%L_VpGTkS>(mGS<USSe^Uvv%cygWKPj18g9G|&Q
z#M}Hk^ijNbf5M-mkMu?AC;s3*5-;=b&<F9>{S2SE55@ERdvsc#rT*bl@z}b9PsO9_
z1b=Yr?q~SpIxYUsU#9xg`hV&QpNfao1wIuIsWW^k9#nVnJNLeLm!C)P>3^wXd@5eK
z|G;A@E2C4)hxcY*UTduG+Pzjh&BI+S?#|ys@5KG<0>5+bia+z$>797t{)XR2Z|mQw
zyJ!~iK4DMuTfS@Q26qqs;MtbG;m*M?Jk!z*?i~EUQ<lEr_Q4$X&BhUP57uX~FE+k0
z=in0_v2=r52OrE)Wae70tG8&eiMR23T_$&jdc7?6YU3HR52mqCX6=^cF)I__(7aXh
zO{w_W=AC@U(l%T>7*W1(7x%T;HBD=|?A|dIiMQ-#c-&eTcuH?sOy?%<UAyh}#5$b3
zee|B*qXQxA(Z&(45>_<N<y)4v!K8U%z2upa4VMmjuuIGOlx(<g(1G3B_{Q^udCfB=
z*A#CsYA)p4_H+8Y{YC#b{e68lVOIUde@lN&e;vQN{^UQ?-_vLBzxC(nv-Q{a2mR0b
z%l&Qrjr~5J|FvXwiVe;jJi$|DIpb-<)aJk@Up_U<8#4|nu?rhV%s8mPUj0Y=%leB4
zW&YoisbBL^|Hwg!|4PO;9wl@&tMaw|nEoPuPO~gunnlFJgoJ;S{;V!CICPNhzn1Zh
z=?7U3?%}zXYM|H9oGYnQV4z$7^69_Q?_1NiyH5{46}O|XIPlk#JyqF}%HjJzurbT%
zoKC23PLw>8zd^e>QgY3ygb=pbMl+@y{KfWITIW<kb@R)5UN%;pe1km)-?43$eseOR
zwD}^hnfZ)635CrEdB2&>xSf!@`^8<>_j+aJ7t_Ue?S8PCTYTs43!A%bb|1LQIxqIl
z&7*Jia>^6a#Y@?W19x*<?Vhoj+j4iuX6{?D2W}pn9lPV^(KmW2<$}ApZ^Ul7dGxhj
zO!>hy@wK}X?jC)m7gAooF-?5sZil-^U+P_XqjXm9!ka@S;!Afc+&%h2&!t>&7x#tO
zIX90!*Rv^4OcS5GTVpf#x!9a{PQ~IgcOSUJIx{xr-Jv4!sk;~4Ir>CTrQ9%0eBy3_
z&D{pO8}1x^tS3``aR>LYSe<uHh2ovN9qt@`sK-+txP#kZx5AyH5A^oEaVn@6k1M;F
zDjrj|F;%>A_k~T|2Vzrh9=)%(;mx6Z@$j<5RPoR<$5ip)GR0K!+T9OsyH1IH^VTU}
zJfLjicJ4i~citY#6ZbC*+|Io#_RL$SJn_Qa4Y!Zp*1J=7@mu)&_&xP+|6P5qe|P_n
zKU<%#zq9|#pQ+FF@9h8Zr}X*y+xv6=Z~9yxK6iiC|3#m#&)NUvkLYv#Tl*jU`T9)X
zy#DMz)!*T7;y2W9{rUQ<{`LJg{#^Yf4oY|{|80G?{>uJ<|5ks!&&Fr|bNW2}W&G0m
ziT_N0O@9%;u)gtM>9h6c_uKq8`W*f|KK0+DKiQx4&+a$+f9Oy5nfU4Tk^e$}ia(8?
zT<`hM^x69Q6Z?<+5q+kAV!zz~qCcxY>L1%L_CM&4_DB6A`}zJG{aO7%-=JRbpXrb5
z)AzId|MV$*`u-h%wmw~dVE>jstUto1?f>`h(5LD5<HP@L`V>BO|B64RPxUqHzy3Y?
zJ$%akZ~rd+<~|i)S^w~F>G$b(<Ng1w`rZ9*{m%VQ{&oH4ez$)6{yX*mvTm;~*T1*7
z?E9<D)8EC_e7m(d{9Rntw^N(N-^EpY+qL=n+qiAjH*c;!7q_+g;?3-HaYf&{HjBTF
zEBIEmdHU?VY2PQ^)h^S&vG>-Sue+wdj?4L$b+@~8{nfo8-=j8%zlvK?J@?(xwDl&{
z3vZq-S$}D-$9Ji_-X-fV>~;8VwfXw<`nY-3GjFagUT;)g_-^aY>CfV3Rwur5O<R9@
zug>>Xce;z#pWLhRU21dqlenaBT${z8#PwHOzPq|Z{Bc}&wdT92JH#KwwO32N3*8|;
zV=u>dsXMPv$2C<~-due;F6P^<P2msXqP{WR(LNnlU!8dKbpCqn>d2d`PsN3No3&~B
zl)b;c)jzteeJZZH`sG{J)b)Gze)zWQ_UXL!>eW}@x^BOIC$6aa=v&t9*Kfz=Rd2n!
z=)2gS?Kk$i&X0a^uj#z*w>wJZ>lQA4FJl<@-{D`%&r6GVANs3E-$>!M-F~8&`%d(O
zok!<J-??|_n^;+1;B&F9+ZPmfTW+6F+-<Ra!Cuxm(R+5b&aRI>bI<9U-uhUbhn%`e
z`HbF=gl6w>ogKI*kt=;|X;<i;uU(O6O1u5<Jo+lOZhJy;_qFH^_maMft=b+@+<hf_
z!M#Uc#Io`xJ`>BxORVa?6g}Zy(igEs+d1~KzR*1<Q`UVxy5im;o=evcu)7spa$wi}
za=}IXY^vG=ju%{Q4DRWr^>Z06OC>Y5CHcJcV7T;b#>o=~J>C7f0-^`4@&liV&Dnlq
zPwO*Xqx{B7Zlmox_Ow3L)yj9Q>^>RoaWCnNu2R0@GqJ=x#>(#F(H8d>eH8229<itO
zk*-jFV@3DjXo-7^K8PjcIaYKZjIP<)`apLN51Yh~cGs@>Df{;9w6ZqXXyCfBziG>h
zB5u9yH;TFsL}%<|eW1JP&ZGBY5qS^GyS2A(DC*YQzM!aEbNhs%?tRf8?kb(q{g$_|
zoLgi23D6SkcX^5B-RhI$KZw@P?tY=McJ+6?d%Mftzbc;oF1F^~t>W-^u~qL*6^p-%
zt$4Sq`1;$}ZRIz2u09vLwfy4F>~pb2@4AY`-^Lcat16y8dw1IVNqe=w>D}0U>(1Az
z>91pR-ev9W{<`k!?vVFU#o@1FSCr4axAfUMlk$Z-Pk&i=X}8CFslDD`)?L``@ZPGp
z{`&LSdF3;AuKv8vsJ!sr*2?M6VrP~o-gAAn?(}Y*_pA1Fe_D5Px5|5|;_xT2N$<Fd
z#h=9Xms{SuS|R>8w!2*O-qZ^5N3reYlJ`O@#Aod0crUf*_37BA^2(j7Pshf*yHyna
zAU5h9(;n^9vGwJNJ5Rq~r(GVobM>j%kax3+rcc>j|LfhO-P)%lx9!>15ENLED`;Wl
zAU2KZr3dF>R%1g0(L|;`wg%Nw+m+4Lv$L<C%h8jw*ixLpyz|dL58ul@(+nq9c5+JI
zw1~K|)m%I~d-}cDfSBE%o*7wNF*RLoEx&#@wyfNC=jwNQyLLZ&H)*%_JG~vd@4YM9
zy*hW@y`2@$Z_TY=eLiMa@yD6j=VNvjznrOkK4wSp!<nzo#cVIWc`h}5omKJGbFS&@
zEQ?Rh)IJxprTE~?*Joq$p3R!;UAFGV&V=V##@AoRtSjC)b9JfSwVh$lgXU(RjagZ|
z@LZ|Y^jSMEooP+eyS&ruxzgP161|H%ot`tzeSIcoesSfw)U<WycAh#@f7J5&vzS@M
zspncP#b@r+d%kJT>LR^UJJp^~nv;DdW@2&YOzkr<6N+umJ+%mb9Me;*dv2*k_@kJP
zV%c-47U2(L5}ql|$u3x@U(9*#Y3e$?;=-BPr(+t6GiP!atkWs}d$u)IZ~xA9GS^t2
zu(4jh`u#=6=~FSG&lVY7pR#kwnXBgY;`g<B`s8FB6ve(VG0u=|RA!C|e0FQLcfOuR
z@zb-d=GX7Wloi{~T%D)4Yv;3PlV)q@>FwBg?^)68)wyDOH$Rwr^qtPHGfw4VH4$$b
zQzlw-+ipH#%zY>F!OWv`Bk!C$R3=uI7ML!!b@Kw_Zp+ORjJqv1FPO_ZCvwls*4dF~
z&N<bWiEZ56FxPc<<eqbfO2u;0E?RM4i(GTgsZ=aGZK4(TmB=~goX+Z8I>U5U$0t3|
ziu+Pz&pD?Ov4xu%<{q6HS#$1Cu~=H#MayoZ%@5`reWqiQKGE_AyTpm5-H(@~t34Ih
z*D*+UOcR^FdBYsnr#c$xhL+qXBYS4LKG8XHM(K=>LVfy23-05QCg++8#kw~K%yE6B
zBamKb!F@PV<Q&r(9gcKEi*EhR0&`lYN0!WFogSGp)AfPQmNQHRVv%Vd&AD|pf0%uA
zYGlMr*Qt>KGmlP*^qA>-U*|`fV5(U4<_oh~r$jzE%akuxzIns!qmv`AoNdYzE8aX|
zw(A|82WcP8xVJ~vZ`r%(yXc<vH)>tyhrig@bUvXv>`kTXJMAxfl+J4x#5X<{Esrbw
z-F>*s@P@9&p2own-#)ZE$|MOct~jEd6QB58v@|a8H<#7=8K1c<*LQs8x)pw)^62dF
z9hFDlXs5&r{^q(7zNPZ$Ywei$gU>|Qu1}~v`bs+_e&aLImFpd9kG`zezOqN@toDUH
zhrWm|U9V7k^o6!dyx=dc3*mDrk3QG7iBEhcI(NOsXRdSMIs2SGi_XlqZR?z}WXql-
zSDw82@>wLN@h6wj`W-c`Pqnq;9e;M64ENZVbVge#Uh$b|VjSbouH)er`xbo^?O7jD
z)A~qTD8BJW*Wqx9eTzPbCd4`Z=&C;$UQ^lnKzq-gMW?lQ>`6MU%@n`zsc6Ic6Q8>F
zhbL6F-q&8Y=g=wbRePFFX)oL3bV~bI+`;c%8tZRVx8BoUut({=XvO*+)vb56=j~ba
zPPAnGjOx}q+K=KGzjtj9-?4Ym+qL($SKPl<yZU_euKbTPPy4J8<(X2?BPc2<do<>*
zNk#qjxCxQ}ehTf_`h4x3?O*mxeXe_F`-eTH&)44Go^yZG=diikv+ghYd~MG5CwoMn
z>)zV_V9(cQy5{+3_o@C4dlS7Oe{1FIue#T_-`I2Ym)Ps*)%h#;ZGE=(%JzW!R<+(|
zqciV0eV+C*dTIW|eWt&ry@+0z-?*>z+1m5lZSEU=4tpM*T7U0RP4;Kqv)hgCAFAm-
z6FogYa$o3Av8U0K^F8;OK3jWY`;k4O&vZ|0m%Cq7v-+d%vF&2_gKD%t>K@t7ci*UH
z^#@&pe8GLDKdw#R&T{|Lr?BbUckJ2vbnSudTlTR22%EP3-@QYhrrnPYzqjdA*wpPS
z_Lx4^)y)68_vrVqDcir@yHs7zeJZ*#|KZ-!@6+x^``=qt-TiLu&h1a`byah}Tf2Sx
zoqJi)uJ5%f!Z+^jvRi#2mUVvUn@HDpT3^;Eo!2S|Z%h|04=dcwbvyJ%r0d+!E9;uh
zX+2xxbWZEhofP%Wf0@;%f4lsx|F(U;a^(pZy-gGQd%iU&FATdCdcaZm)XFBGXUpoj
z&iVN>ySs&K3cJ20lw;)~!?O~iNmBBP%7WVycAo57^ysYC9htJKRX=vG+Qam8(bE}Q
zyH&eeyG^UFvTJis6BUgLIkV2GOmySwhFI6xp?lUHDizHMySR(%TIiZ}PNkyRVH0;P
z=U?BoEp*4ib&pJ3pJ^@mFxSandC3gJ$(mY=m((vvnL2mt;fkzVZ9R|EBv1PX1jZWg
z)Lgb?$L_6*Tiv_;7lh?<*;!lYwiZS0(&YJktIE@5l7z7JYA4@0f>j|)6cstWoq|_i
z?G0NKHrxHqDu>NnmqVwlYbtTk>pU0Qv(BkRbm3}-xvjxgmQ9y}w5Nn|_jVbt4tThe
z%XsyR7}uHgp#|%bK-&~g#IQcoI<e+ak?6G5D`Hqv8<iJpMrMZ@R!(~rxjKF8I;T%-
zOjb*5?mDsgQH^43>x`{j;eu(R6INHmv_9673O~4`>u9LPI#<hS(?eC(F%^ooPfGW+
zT>V~W-^OQiww6y5-M@LmnnMQKTysK2`lec5dmb?_d8TCc>H3JwFvc_;(-m{$L)W!#
z54#stq{VUmR_xISk$YGF*u-@(w4yNP^~W`bHuIdfigBGDTCh{=^VFwW+iJG%>^iXV
zMcBfneyk6|7sS6@r*vBD-?3AhxO9?PSAB>+IyE#R(sgQRK;+RWp&pU0_qBe638sox
zuf7n?IwkbUTBdx_^3@xn>yJ(jy|T6`PqcXTglN}0S`WfLZsXb>x@GR7?;?Aa->`L^
zAN*oo(|OHrbCkY|RQXMOF0y0!f#NRf<vVO!-)g>@v*?^=mcQe3kzzl^=OTrEj@4Wi
z%PWex%$LuwZGEGe<j+{$WwyM+w)M5<jX8_HimX{4VcYsj^V%GxuOcg!TiCYN&k9~*
z$vP`|#=J#eM3yX<ux)*z>EzE?)n&Z=hfV8q%`<ZreHKaeJ6PFuHaKIR(r1ww%N2^d
zP6tQKTl7g}%JLaDtxq(M%~AR!lH@1&Ok~3H3Y*r)no|A;E4q#bYs^#nDAKXq!lw11
zCYL|sGm)0%5;jMt2Xo9jbXs%QoTk&7+ve0OeGrNAW31@XS^mSCbz1O`xsOh1u9>sw
zl;(;#NvAZI%vtnaq-J?XQP<w!7jv0TX@2r+EbrPKd}HpTlbWym9Lu|Q2A`O#^iHH;
zd4+ZBZOvQPUd48ouf4nV*P5lf#pZAQwr1+?Y4f&zTGP5)Y~I#)Yf5)ddmB}DZPn(m
zw^1e6W^JBUKgaV-=Fyo)mWaKLD!5j)d7AXvn_E+^cg1pt6|Xg$EV20Ou4%8Ma;{}v
zO}ZL&H7GXwtcsGfzTn-ArR!REU3(dob<JzD*sQHS*R^81OV$SJ2CluZ)j>Ydb7iU9
z>tfyW(G{hom9nz6{Khk0>MTC`lj9zbgqi79BhNjViFQfihgs`&jiRPUO^ceUa!YT8
z$41s^4>B638LZXOGiGt;X4tB6eO8Qj(OSc7*LA9CYYnm;*L~eF?Qv9Zw&A+1JElE~
z>daPLSGr@`!>HEm#>no1wFkHETcf&T+Vriv)*MY;tDDWbZfUCSfvua?eBCZKZR?+F
zyEaXmx^>kW*VMI|*`ATB^L6X@ZC$ix>-K5)q5`g+issH=yL;=KYgL=V?naelpIxiE
zJ?u_YLH6FYPq&5Lj@+C6ao*PIu=$%y&Oa)?Hg9v$`AfxN^EMZpKU92e?&iGnn`}>?
zi!432%C`GlWbwI4#bI+cXPj>;zBYUFojIn})80gGOg}j9>a#W1H^-b$Dh_)cxh8#W
z{k)}B*Jf=FI`3rr`iqWf`cg~ovyscvr_OV&y7nS+QF`k<)~ahWH`|^6WOMpV<lOYB
zmffG%oZW14{*(>(XC0&T*m<dyVNWBcr2EcOt-Lm4v(kB?;;<Q;70y@Loc_4x*k+0I
zQ8wNm*Bsd_aNf%1^oKQvHXoSdT5;_`Wc)cHo71Nw>toM7D!MjpGt>D?MPd&kBhUS^
z<~|)+mmX_*`c!1-xkW|Srfgm^=W4mw{m8&`x2(P2>u97uo!eS|?QUdQx~=8vcRIT^
zKRY+cTKk>Oj?MSZ6<M#&U2|_^#qnFRtItR5O8zLBeLiAm@=HnW^AS6eA4<MH7qLD0
zW?yRh8mr{1eXi+i>MfH`N@|~r*phru^7Yw>ykoOuz020z*qCrU%lO*sh;_*uC0Cc~
zT-z9SJV-YCY{bgsg?*(~(`IeF)YF=#b9tlJaV6R85}k`1osKifzCIH%Ke@6mHEqqg
zji-8!T3&k=F)KN>uhmj)=0?5an`BlO>73fAc6^dd_L+!@$(@qgXCfxlC)@TtwFrA0
z(UYv(x6~r+QA9_wY+tHH*u#i~V@fjF1#9$^Is2ZbuF*>_l*~RI(U_bm$z8BUC;4x0
zYpTxvjq7?+Q`cxE2TEq2iU>OPO1e9LjYjg@-lgVZQ#O7&c1Zelp3d%#^Lj$f#qLHF
zC!g#UH5a=Rk)OP?_v*LM_u+fu>)+O0eXf0X{g0Zh&sX1B|D|T?bL~6pKh%^yUwwOh
z&i+lGL+7r~+P~=Y)j8{*)QCRUzP0{A&DUqz=J99iRDXxQ3EvRE_2;Xv+Sk|LsJZ$}
z^mX{^_?2~ApRK;KK48DqU$3*_nfshRPkkA_G=5^8>946T!WYIj)|Eb6eSW>oexuK!
z&%^6e_dWWP^;!GudZYb^{&bxQpB^7s7y485Y53%L&pOj*t52*yQX~3I`^0*={Y8IP
zebhd-UTlBRAFYqtN7nQ0H~O>cgSJ7uV4dlYtJBxB?EmyBbo%-oHCvyqKCpgE4eO84
zY3u*(JM?Ml{qXR8n?8k3UB9Bn^r^OH{MXu}-$SRY|F-W^{co;Q;g#_ZYfHaRy&LYo
zZ`JRvcdK`<f3mOZH`lw>+t=UOmnH4`UZcW&qji_v;tR5@^8?>Vy1vu+(xY@<qrkl}
zU8LNt(3<OZ;0;OFxq(;un$BrF>v1}#@u;V%Or*f=W12{wo1rz=&A=mlOl2auZXd0<
zt_N<AbbYN6;l9y|>uP=A8cEkz8UgNwR$QiwJ&d_b78}UAzSQt=H?-oq7}(OsR3eh@
z_R*5-eBca8*XJ6idYXzwW-q=V<N8d)z}+!TWcuO_GOkZGG~5j>xlRW5NV-1JIMSnZ
zMnl2<qXpOTK$E_vLXqyp0Wz+SGz8oWEw~N`iu5s^(co}5wCK`bEKo1gIz6yNl687u
zj-=}YjV(P)1tO7dAI-UR7JraFIyEpt(sgQJfaK9BfgX~s_ceaF38sovFTNnnIwkN)
zFH^oq`Qi=IM<)kf>21mrDPBB5+Vzgc1GkT6T-yV;)Gqoiyl4K6zb^CrU(_|7SN~R{
z^j)~hZsK#{9rF)-?zEo2<8RB`di6Ioi_WQM**iWLF1AyAE?j8m_?y#We#K`_^Z7IW
zw!BeKvS<9=X*R#&Z_8`-8#Rl*3a^<T@werb`n4LRufi+lTl{UA<-g=7%PjvHb&I|T
zFPSg#x8;SplRe|FPUHDM{<J(-KU1^lvv8{2!JnOH{WI#6J`2y7ukg9^w0}h1qEEv0
zQ|8b3)AB_9SdG#r;Uqi3XTlTaSNv&ttS)7L@JHuSe~mh&kHQ`EE&jATROhm1d?ws7
zU*gY^>HZvbhfb^Ss%bi{zO6>-gK(4`<Bv|A`9FTMO!NOy`{<PVnwmwY)K}Cbol;*?
zv*^8W&HRo}oqPRX)H0n?|76$ry>qw!joL>i)nC=yIezcl>3^bD>78)F{EFW#x7BZ%
zUEIxi&-YE<qVsAc<_FV-tIa0v=CqxCVl(F*-v>93%=NvKcc@Ib%q%cnc<by1n>#IM
zPuSdPF?+#XmN~wAZnn(!J(K5DCcJTW!(ErzzI*Zxl?vyWUEIZa&38?nQ>k#a*~DF(
zSA6H>Ih|FzlvB@iR?Wvea2Mw#-<~|D65)lj8SWmL>06U`s8~47?BdQ&quCGc9C@Z@
zVm@&v=NaD#H;+73(=iX+$$84xC(o%!IN3~aC#T_Tj?J7Wd~Na$6$<yxPPlXAk(!A4
z#2uVRd}Z<u6$rP^X4uSm$d@PY(P=dn^M|Rzv1SKTg`>>`cW~;~&t|yeGR^l-F4JkX
zKW2rg!eM5Cslp*<hN;3qW*4_}?(=oIdE}nj7c<6G;mX+uZXda;_QvdEig4-d1-Fmf
zQF~%0xSex{@1ER4xx%^AU+iUhuUe*m@ww2h=?{uKZKt2u+cMAl$xfDcsvlw=om0)z
zPpsy&p1z{E^OpC8ok!+)pV(RNGRONu9Md_~dohR3s;22HR(Ia;J`lI)tZJP8!zxa*
z=^1-jUaN-bFRbb`o$j%h<&~<R{=#QMnR<=SgqBU$*vs-#)lFZqs`G+(L)@b?s&@Jh
zD>;p)zu4n4)4L!p>9f$R=_mHEJX1Xp^XQY%wCOAMusl^&(^stQG@RbCr)7qBOZ`rk
zC#rJ#4=Xy4c^kwfeH7}N?y-mEkt&~lVnyd6?}nW$4^`RpKRy*|n*O1vQ*Zi%qE6lE
z9D7=(c{9W*omTy)*Z5SZZu*WQPVMO{ia52VbNIiTmh<OtUHzMkz+jKen@3Ats&80#
zwWA|9LSC?1V1v$ImO9btbxe()rbRJ4)a9(NHD9tJ+KAyx3g?T1;xF7!gr%&~`|y|P
z?V+cQo0pU|ycd7*t;Cb}gztk%f(qU-3$!!xIPJ^pS;D8+an07z`zx~Ut?tW+m(eOL
z=Yp;??Q^w|o4!l#gRa!$Sfiqg+cR>?Ju^If%3mHoHRD~-6^5GbV?T6i`-K!@w{co{
zyn3y^q5gcqN~VDO4<`jq6jo$VXGqYnKf7H2!qu?2gg+&ohgv>1>+#q28kkSa-fgnv
z$?>xOw?YB;edCoEm=$?vCj6Lo>C&ZtAEhRIVP#T!{LQyYQN(_kLzVRpslN}5^bG%o
zMClo>{NeS3iFM~)Fm#M8DrkED{rG#vc;%fU?))?B`EDw%@QO-U*uElW!JP*euV=ho
zC@k};VZw^vVG<u$n{H+4@)y5MzvOuDnUJ)Q414W<)w&0X3<`4_R?Jv4L+4WC!f2mg
zQY&jGJWBrk{CDWW2knP0iF0JV?R<3U{FBMYRN2cXdpnAK`1|U{ft2Tt4Y5q@o?QDJ
zEVnsrIFzx5*L6}oo1kr7!a}~6jX@3i7p{cm6h%&}+Y%C4^g(EDhy0Wkzm@*}ILL8n
z!Q_4SH*I66Z>-2k{d(d1Z)2`6-+$lz*nF^Yjn3a4YrmLs6<v;w`qZH5?R#&Zhi6{U
zG!X?w&DzGgxWMUk^Kz!Lu&AC6y6Amhe}dfoNf$!yub(0xW$kT0)3e@Lc%MVeRE9sy
zzqVZbuDxZ!iv!{(vb5D4vg4-LiLpP(W@%Zq>q)|b_u?F-r7zX_J<LvB>Yp}opPNIm
z-1L++U;Z*ZER3CGc<KA^;HQh$U+iVJs}nG7d@tU4hj9gKP2+`aOdl_Pmwv*Yo15F<
zEogS}TH1G4DFcT4`2sQ1mM+`>puVblzlTfwg8BLajrtQB^(P3en{o1*zTm}+<~=&6
z-&B{Vl(>j|v$ay<_D&RgkYV6+VBdjQ^*yb{S#R$=Iyu=}`@xA5{yyizu6(&DoA$lQ
z^n7=m`d+`1_=<Z?tQJPUxF`R~oGoPb^U>FHocVLbS8gx<(I6|!+4yfu<Im;2AC~9V
ze^~zZwEye}_PKHYGGBl4m!3X<o9_QDtp6@Adph6jK>e*ve=dLf?k_#9{??y=nY%yv
z&z@R;Yt^62ZcpcL)BL~1^XKxl@8_HCtG~7A&*g33{b%2^&kd-%_32;c;or;OzFU6w
z-3ImlTdw}S?DpON_8tG*{?T{ra|`}&+57kMvu~HLJzG*=uD-{wto+jP&Ub!4EN*U|
zd}r>9o1XLLK6uA+-tXO;CuQo}tT%3+Y-PRjF6Z32XKr@RnS10W=UcxA4ZO0FohMmO
zmwrl9&pXi3cWDw&A4{RiWb2uCJKy*vl`~lj?VP)%@Y62g>ytI+sT8W`lwH~-d~NQU
zcP^#s*=3V<3168zr>~{HP(7<`Qkr^(+b63@X4ZyxJzx5Hq@VsAb;r*wesj&*Po}4?
ztCcJLihAhh6t7&9Td>V|bM3ijma}KxR7&4n%X`Mpp}gpsN|AbJ_1dqd*XGvjJGOGC
z@cHW*@y(xa&Axu;&5<+NA#t-m-FoI{RUVY4KF9jzoz7=|M&(UAg^f1fd{_UZNPU|1
z$~&A-{nW~pc1||5?!41EV{Xe$&L@6y<&SnuJ~r3jT}q*Pm$l~|&PRTH<w-jxADY{6
zlk=e;TluF{^(O0&n<nd7Kio7~*P7F&bK1lQk|(9rqx_mGC!Lu*#nSV+=b1Mw1?rK0
zP8O3atbg9-oHqBz+b5^|*1TDA%5TM+l=@SCOWrKWSFf?|+%$Rb+!t?IPWgQ*Yx*Ab
z((hea()P*f)+=vw-t~J{rnG(Xj=2ZkKFL+jv(DV@`QEo8e^d2jJM)WsIp@!Qv(xjP
z@0U9&=Y0$Eo1Uwc=M_~8-=2MAr{~<+SMIf(^L=*5<(%)MJ1yVT3i3WZQ_IUUsusRE
z`^Y_(Z}n=qd7r9;ug~7F)AO}&ME<5K;j6RP?DTx)8<1aAC2VT$SuAW~Zn)R;rLRZ6
zQI+t;*)8{2zNn?=eX0~bKYPYb&*#3U?zDVXn{9q^kLR<T=rry*zNd2<%n$D2oH;w@
z-jPpgQ_UCdIr+p_CEw_o+C+1~;>iZ)jeAZi&%POzo;)|VzSQjM%^hc^nM>cxu1(wA
zTqJyaw#mJgk80iKfqOh3`3mG0RR|xREpm_Lj4wyNQN?6^bHP2G(`T3L<eWY`XQ$@_
z-z|4oKBz_JeJU5$G5@&x<kZ;_J3Xh)4%m5e%50CFp7(u!<Ow}ht2VzV-FwP+!5x+N
zY8B=?cX!_Pop)!+JGGK}^O?Il@Ay8-V=AA#efEyKOLDgyxVWw7Sn3wN#gUS_WhOT-
zrtvTM&QQUh@SWk8Ov5|I50(wK>=UXPs`wPnGd_@Gn8&=sIBF{M4qJxX%o~asO5LPc
zjH4cAv@Q1SJ7ytupYh5%wsg~5S0AlWOW$g_{HEpEZ(D9(emAG>xykv<kKF2ui=|#=
zu3Q}&dG=IRc-ZG?-qV@2ez$FVzi+vB^_HKt?Ax;$Qx;eDeY3nZ^P)re>zK1=vgWO>
zU01gA*2Aoot5w%6+i~kr*4EWmBhQ}8+P3=czHi^8?qqFPy>MOIk6X)MWUpAiRE}XT
z^NeDKB0hz4j2Gk><}g<jGvx6ld}B!ASNK-Xkifs-EaM)zhS!W6EE}#dC)hSzov0(%
z@QQJbWy2L_2iu0r%mKv=nS2aq8E42jykwjpci;tMhn&L;#s;|qGns$bFr1&bqjyQJ
zO55f{O9tc3e`Ot>GfuH=6V*(wvO8_daE|$g4Z~UH6E+NIB)4BKn9cUcgpXNav-E+d
zj1h7U^-mcC<PJPx^pHC+gSo?o!H`|x8G{1<gF@+E_6I+1&das=*>g61$^5BxX}=`b
zyh-0Yf9FlM$BZU&438Og<Qg6^s>m@sVw90<c*rOs$1sCg!KUFL^N%8iCiVwU8FtAq
zOlQ7P#L&pT;3)$Gzrtz8Ke7zdm_JxIXtO6gWmqM{Ftz?z_KVo${`IF#_ry3CNL80)
zzVT}=m)g7FI>+9&7p89OA6E5V&vuAQp5QkBtdaTQm^*iRK9?9@Im>6x9CByPI=7Tw
z!|M~4oca8t$JbqQ=lKQIZgZJu6fp$xEqKrHgHPcU;~UwAdyEd24SSdmSU2ou-eBFJ
z&K^+CP{M9d&QQc&FHp`<!2Y3(A&>on+2ngOKb%V`SF251WG!qrdt;v2^0LiVvv2<F
z`Ly}ec^&)Re|pZO2iq++nru5ilJRro!}PZKvUP1ccn%%XF+TZs%_*NRXH?Gn6r?w$
ztCgn}SqtBud1I#M+?iL-wVd;LcE;tL&!aOfWoiX!pVHLw(u}NyZ_cbga*m};EjR6x
zmGJeM8)kaG_K8T}WF>rc=9-zFuY3afFKQp=^*d#>?93CBvTwXkeXg8QIqP%b%#jkc
zr9K|%MpnWXXSSSUDN##L`(!D6e&&psp3i+wooOjnn>~B&IhSI!nZ^g_aL$|=bM8oy
z+En9(b51_-QAsySQ=4ckXgt}#xUqiD$;Uo2>6a{okImFM=TfNFY3w-X<U=2x^dJji
z17pQGCm;CiJL6KI7MFG@RV^lMQ>t2{@kJxy12a=*p1kj~;mnbIweYm0RJG7Fr&P7z
zG^JFvTH}YaJ*UikbJitaEg)@@x$vG`hCJufW=uP4u6>&+eJ8yz+bsAl+mxAiat{~Q
ztCbm78cp6c^MYgE<5ND*(v-|6@0fYu>=WL6=}P0m*`Bw(tKtv+o^*Hmm&(p}-aq!F
zocAt@U-aBz&PBmX)AasV?DYQlO8&V0ffLi8RC2!a{;=oCIq$sqq~Ai;`YS(Ax;6bm
z<;gkIPgHu&nSNm(%Q^3Rdybs-PK#IiJ?X~u1N)Yot@n<LfAmYpOh2=h^R;(a{Gwlz
zO!Yl$IbV7E#V>lMni<#hOm&&QW-aGSZ?|}*Uz09OZ`k+bjJI9<qn|>?`Y&rdXHGBJ
zm-1P4mj1~a&S%~y_B{EdI!%9N4d+vDwRokUlMMAcYdU94Z>i*b;w=~d=*OgE(+&2e
zd{phy_pIT3<jofrpY&tWq3I2koDaR(;y*oAZPNevX_B7)!%vfR^*L)gr%h+rr*hi+
zUtH5u)jIv1pM<paSAG)G(x3TBNK^l1HRpZrMSD`-t5)eZewwsr`h&es-l>-9SALqT
zcv`f6>X&sb<*K{2Uv3t<JM}~4$$3+staCZ<^=S=DxoSmNQM&4O?TxXWbEjU3^nB~}
zVol09uP19%&Us~oGo`EMhkcqfQ^w)iwX4$`l^0&;Z?-t1Bv*JnF8}Tq@P#~}8-2pJ
z&&U>AA8}OknpHM`zQj>=v%*f#GY|QKEpk-z!i?&73*DT0WF1SHYHrx4T|(EVZiw`J
z?G+KeX_wH|scRxVUwH+D7wr-<)%M&hWTI^t>-o~lBiv}0(8Z}O>sU%u)5AXP6goe3
zMx^I+uTyJUidAQ8UySj5=4BA>l%_gedt;2}Q!kBhqn$!0r}jj8KJhxTM&*o`Lind0
zLdU0?tZOM$t?$+jjPZQrB@kY;L+J2Sk##I*yg0&*c1+UO7L4hfKD8v0bNbYrNY4je
zTh_1?s78i;+AgG{{W1FF)Tt4Xo>Qj=M4p^7)g#jLzSoa1p;Xms?TgWzQ>H#y%aX5J
zuDvn(<m9PW*0$uS7Hdz8_PpcuAnemNq3u(*%w6(bWsmwzThICRlV8khIq&&xj>>nH
zD!)n3Rd%Q!ES_YozSFkzt>>FLOU`*_`8z#VDfUx(u2SgdR4rtoURf+;u0GSY^NnYc
zKU4K2GxbW_&extd<}CTDvPM19w)2(ewK*zZRaUS^9I#Jd5|?<%qJMVFrThJ6w@_EA
zfNwymhg^Xa(XG3A&Dz!a%<fLpJpKF^JyXf_YkH=#OkLBK^QEVozf#qt3zHk>JvrlP
z=l`fu$XNZQjpxkC1@lrqtISeAX~X%<^TeDdpH!x)ue9NO>Z#_hR5{5|z0;<1#^e@D
z&L^I7{*Njq9h+=0FXf|3m%677=Oa%(|D=jZhbGrISaLq}Wb^;@RHaG%W6>l%^@l~1
zbk#wvw8;$fR8D*T^J{vlQm4MNNJv|KWs#7U`phCBP4$=7ocBE!%}IH$Ql;KlG-=P|
z2XmjiQz=ugESj`y@`br6??8=BYtB2K_xv7}P1-i`OJ7U5%5LSC#zJ=|evmvlZ{m|a
zm-8N<dRXeqRVv(y(p9!AZ<OtvJMoI7=Ua~#Jt^lrp7f}k^T=>#N>|Budz7ZKS$U$Y
z=Npd%_e*Ii>y-;-IcHDY($`X|vRXM%_T;RIOZr$!RhBCU8c#A&b~F~cJaI~2ONq*2
zWkFfb7ak7oMQJMYl|RaK&YW1%x8#h6h5IH;p|cZH`dEtVRZ`rFEQL-_4C!krQkkqg
zQRd`~i7tIEXFLw|uoS8ED;LUmKK77s-((?lbfOBV@!qa%DC7Ci<3LYKfl9OTPa~m&
z6DuS=r%x=9JUMM5OP|YW4+i&1sVeo#2aSaGPmGc5oH{W?l5^@rAIZ)s6TkGf<f{a_
zZ88_yJJCVX^PWfj2e%?~q1_X0Bt7qXyl^uz7uq@TNH0sCO1^TTwCC-dy_-Lt+h!d-
z|7J=0W8>TNZWg6qHjbWmvmpJj@$I=c^U^oZJ$o*vG;Q_V-g7y{X_Jkk=iJOlZ#KR?
z`{tc9X4bRc<ZRr0@Z7buZP#zcq$e9kzs^~+dF{DnR<~!}3`%#N`?jRs%yje8nY?Fn
zmTjJT&duufi=0K9ThFms-JW^VF8%YIvuASVZk{@`w|Lvxn<nX}=kOMr8EuX|mu4CL
zG-t|Y-*ak~w`bf`N*6Yco^ewly?V~s!fnTHN~A~6@h#kT<fcHn^_;T>+Ya44aK_Ez
z_Jf@GG~qdCPv^v@JvO>M?Iu(DWh3eO2RV^xzi0EF&Z*lRJM-+RoY1tzMz^QjTyo}`
zx%B;<z_i=5ee=yUHa|VvW`6r_PT6MLnQQaRcHMlIHhH#gp4pC@_tJ`IuYJ4q-t~(3
z+qG-YXYX47u`>63_RjS$D|OFj?^yq^^6k0o?dxyuOMAZ6YW>xHZqK(`u0L6+doFv+
z`h%5k>(6HA#m%nu{kHYS^@RBB&$nJ@uUo&d64Xt)9u^;5n|n5U<@$yD%6`q7b^X$w
zwr8f7uY1KS*XDjPy?EUzp1Jnzne6%NEBB>6+j{Q$sXfPj-g=fjYklgzwx3cnuj|44
zX7Q72a?fN>T;Ex#dnS9rdfR=^endUa?pd$9uYTE&s7Kiy>t*+){fK&)oe-y7llx(-
z{(8=R&z^48TVGh2dpf&ueP$)^hpjs6|L$#jYP$dWx;<%6w`#2qtjs-?9TfMvy7&E7
zjrDK$F8eMu<@%?%!_{x!neM(mZ%^2Fsk_<5>rd_#`!01SJAeJoz1Oxyz0caS`t7=F
z>85wD{#dgueQW)lt6$bkOE<l9^~0L7^sTqA=7ewF95wf9R`}x0x8_`ZvPKNlE`PA*
zTbil)>a*+Ac1OL*+OT?S<l9oy>sN2AxwcE{b=K<DE7xsH+j`|{K)7|R@7b)(Fz3y)
zUS=&_J#n4cu30a#7OrkwSC+Q*{8gK9<IPdevr@wz$K)29p1o=mez-oS_e|FG)sgGM
zc1k_Xn!MU`omtw}6IYL{5lb^YaaAt7IA(33>9MO~;lVMwg{DWY@`W46tSvA#SS`5D
zY{#wXS6RY8Z;G0Jb;p`*sap?R-Li&lN7S^d|H2M$nsq-bJZ$r(sHs<1tT9V9)m;5`
z?Xm4qQ?7msyBy7XDywq!!?k7GXWgyO@()`b-J7>{=hY`+-O;>xTen}m6P9hg_Pfcw
z%Vqwri)X#dtns^D9Q7`<%I|cs)Vs_Izum>R-ezuFe$#U8xy-H0FIwiF%PjKiE|z+m
zS>RV)JZtvlH2=xAy5CH0T)s8uTh*-BnK^#hw!L4sT)iCPA6*>vDs#p1x$~Ai+hVeO
zp=JHqFIz5M_VAas_5HHt!es}4>*8C_Gv_UzX}R|E7Ng~b^R`vadX_nJdEz{`XIoBR
z*709$)B9=5$;&GK(#26vGL!tci>01q_Aj@bcdbI|ac1{&&3V%*q#k9qFPEGbRv|Ux
zGKask&D+zNP0K4S*PhOd@w;6V^&m6KkJ(1|bY}hX#CprK@3&|#kF;ESDl^1ycG0XU
zmw)*^w$?qBS-t$_T(+lM_FVqpx7+&cyDjR=ug-NVzjY_GX!+5(Y~{CZXXY*6+I!7f
z>V3xU#a|_T%T4SSzm?Q2H?dv(RFb#c#Afkb$+u-Dw=cfw2}|E{>*9+Zvvd>7#YZK3
z%S<d5@0C1zHe>VRll6UK)>3aWa^0$B&z72)E#BI9%<9&wj8%)*O6r!HT)F7y9&8*n
z>*9r;G^?nW8A}%T_NiG#y~tRwc&_BzVw3Y1&-7fgjGB4T!u__4Z}FBh7Y*E%jc+~8
zn6@~y&&~4IlZ;7=dnI*?Obi#dO7a$MIet;bJzJ)?aLdt)BJSQYyoFop4`1YQ*OuWu
zlhLx6x9?l3$-#?zdak9K=q=8b)GaX4UCh`wEp^NOiyL~LnctdvaZOKHs)^QOUrFCn
z8MTW)_KKx$*?aMeTeDHry^M;*cYD*!Z%w}V%5AZ9Zl1}`i;vuzrQhb7Y`=KR?$U3;
zd;M?fmYjDlu|M=&x!P{hZ$Vr6lb`Da@AN<Td17w=ow_66l*{abo-1#aU-)^VrToOt
z6D{Ny{^gj{zvpMi?EW)#F5i?l$~XS?nBBjp?#Nf=9J@=u1h4h4sdM?NoNYJhm*AED
zIdv{)-7nR!oOSoH5Beo|slTVr<%{w{dB(pdX7<<A9r>)BW_Ri5L?ii!e@;AeH?g1e
zQ?UL_|Ae0>p1SMU2mKU0)$dd1@<}<_PUxqgp*-hj!4v&9bw@rb_sS>!Iq}F{#D3Bb
z!6W@Lbw@rZx5_hq7Ch9?Q}^VwJB$6Jr^>N*hn^}&+X?*;)Rkxa<1wxOPc6%7_dj+;
zPnE;$f}Sde*cm-l4zj!SU2tE&%g+<{+`rf{Jyou(mp}OX#9j9{cAuUom&z~ved3P$
z6FZ^rf;;;6)E;@OoGbnEF2{SfGV@F6%Dbc=Zk}i>ee!O{yxu1_Io`Q_$a!+kEzdk@
zx1hE3%FPpR^<KDnVovXgn;vs|FXXYDbGw&w<g8noxzg^5H+m1`EjjBJXZ~oHpqX^$
zU5?joVdjf=O*ECR_q@yT%FWMwQJQk5SyP(wGHK1b953D6%$0UcywKZ__vDP5o%y4k
zg2vJ>?|97YEyznLR-Pq&@(#x{w-Y%}ij=2Gue`(Y)J@G?Y3D>k>CQVHGkRNYay)U9
zGk>&W;;~+Xyp%%aE@{s@9FN@i%#(IZJk;B8ljETqoB5|y<tFKmo9ZX(Nk7~)QCFJt
zPRF!fhCG$iZvV`hQkCnZcWx5YmR`9@P)mB|CP7W<m$y0YyDiE|$yctDZrn6+Pw#`=
zCwa<c(v_Pg?&`geo06woB;9$N<Br=svq#$|ZtMOM*YaIyxA@Cq!MoibcAl8m{Upxi
zyz8eJmhVaxdPUEbwu^7v+cCGh{>n~|x2`W@QqH+PiBUP{nxW71Tq$4g(KDsZ;uH6J
zym3v?zw}ILy?EhXj@jK?;#$5ctrid5dtz4ik~o&HO3TFqizk|hI~EIG?w%6Y@<nN}
zxZqxo7p@NaMbDJxi+|kHF|)fQZpj%}3;j)%f@ix^;#fW_rRWt^3ZCu`iEH_!G`U`U
z;+_*Tx?SR2&bS_mVfm!gFJ8FE<FTuR{-z4SqunZTEFYEH#SQm(Jajz})AB*7S^Q^_
z;KA;SogUM>3wEBE*3A;<a@v(af6`N>dhvrrg8RE;c6Lnd4%x{uwcBTB$CU0bu`TbF
z0`)eP3-0Z9*y(Z4^@Cnfx!~?@o1GqaU0>+c8<h+0>^>69@=hsVyl}V2ZI>$bL)H`T
zc7B=J@y_LkPs(|hBK1Y-N|kC&)`B*|JB=sa?!4i5<ebYZpCx5VC2B_LN=0fy=}KFK
z8;vKL3l|zsyxDoePo+$0gK(zt#Os|q{94YsM5!OLns~Kyg<r~9mmu{ftBIF8SIqR7
z)wy71NBv6|FLfuYi5ELN{8UPm76@A!PdwjQ;dkVWi<Np%n$jHMn{zszxfrQ8Sqd5n
z@0`=|)J03($#UY!P7lA7GcHQ%N@+@oYD|_Bk9S)5Eh$v$5ssYG@yJC;y~$$Y;Z6y^
zB?U?eYEBjt4|dkf?0Dd^$7jiDmmNMSr(KxT7o{pS2-lx9nz+9+VP?mDmvufzPPwe|
zX*uPx%*W-F%P+M<<`Xr9Z_e(x=d!>@C10sRc<1bnyDsy5mgFgw2+y3|amVG68k70N
z?VUS(m%LTn%m1+U#5?C-HZI>4YveXPSKP^e@$&>5{)4p~^V;uJo_On=BcJqKu~aVT
zw}2J@%+CUr{GFc#>Tk6ls5~*deMjYqH_j>YLcaxWv~Q_A@!B~?{?IeUwfu>-Ctf*+
z$ZvY4xRT$o_QXr)D>f=;oiErN`J%X#U$OSY3uhO3p<e<Q+UHcBc<yW?pY%*|F2Cky
zfphISb}pY4XYwDc;h5PTV|V0};#B^HH7A}ptH>KYQ=G^z_<4c>e`8JkiO0?|@|S)H
z9BbFHbNQ&)$?sTm;-NE-e9#X81AfJt6AzsC*|>aAjFY?cR53<w(^JJp{)?Xk4z#CK
zp1AM4!RE+&#c;W#r;4F+PEQqs<&>T(*785B_L$QC#@6M%Vu0MF?*e<;@7NxBr|2&i
z^j%<A`x#r8cZ!AljnyY^JKvGJRKIP)z19zUDdmc_VvBYQ*m2+7JmF63i%5@otq=5A
z&O5!+c~YjhjeFzf30B-IV>#xwo{8+3(|RP5<E_&JohN6V?&zeHDQ@EKjOBRabW7(+
zsp2~B#LW|~wQkT$DOFs>9l3eJmDUA%Pf8TC#3rRFW{4&2nsBLgf?i6A;v#O&SdJI<
zPUm!<6f4f-HrzbnT<erbj^|Eh;)`}pIMbS-*K)>5PdsVogj1~ndMQPUlei@}PdL%q
z5$Q3b)j{t`p<*9*W(>z;Co%CwJ0=`yRnU7<pxDN(8N)H7RX{JLKrvoSX@`J5_sdNJ
zdfYcRO*qh+5y|nuX_L;Ae8mW{N82Z8b8p-<p<au7;id_i+!HrV*w^|&SLKw`H?c+A
z1vI!%MswVAdMB2&eS$jo%4m+ePS3=Ywolm6dO-I{u3{c{rnSd=#|r*U)f4R4FWPd<
zZ+>Ix@y_v!jLLb(0{*7w3gvu7)dIJhZ&-TFZN4Jca?bIYjLSL4M=~wn6bkr0JyXcz
zGpZK2*?gp4j^&#|F5jmrf$Pm1EInR3M(}T{61dvD#?s@JV*r0qm4GR`XR&|@yP>Ve
zOGgiWqbh-m%`I{)Ulh{$K2-{wZ=PZ4@!av0Ov`75+3Xi>Jf1lk@H;(In9jb@#^b4@
z2ES3Iz{%zwOOGdxM`TpaI4baest`EdY$Dh4QK6eX(8i<wk)r^AQH8+aW)V4-Gmaem
zMimqE*#&JnrZ<;Za!hZ|vGjQ0xJ8ELgF+<Vr*Z)u_K(&lrZz`ddQ5E&uskuP*~8M~
zzT*!*p{EMf>=&&$rZhj1WqGeq&c4z5#N_5HvMuivirFVxd)#q+!1t+4V0-fx-X-M<
zdzf#^ddzQp!Pj!W-r*aMO1VN6+oW`b9n1%fCs;G@l<j!y@P=o}IfpEEr*ws4Hl=ih
zLN+IB0So3zV*zvKnX(;k9Fo|XtS6W;SITz0cDTW_q*P%IbEIs?D~D@5Dy0f5m@Q>H
zW;HI6<e1esgKtTR!V+dl*^U<uPV7up6O5UE%5*$;IK#7~SRs|I{*dK_vyB;iD#Z#j
zm=%pDoNkQZTT-Mjg?Xk-#}kKRJSs&BNo+!C3KN(sWjY=^NU<NXm~gaFgHNSUp@Z2{
zrsJUl7dum$LJPB`%!%oZ9DGMkJM7|VIqk5GN2Nd^ijB!)f)4XfX^v@)KX{*<a#+K&
z<dnk-o|ID#OL&&#E7UM|)*DUO+xUW)<&?uGwkGolyBlxtJ~`>|ip|M<!p_DMyefGL
z1<aMw9k(5B{k!;^|6cu@e~ZuCm;68YT)z6>#NYh3|4)48zf=F<=kdAqcm5szCSUe1
z@VWff{|i3%TmGN$x!>adg1_u@>i7I?pIv|EpYu2QjsF||y3elP^Y8Fi`J8_jf7SC}
zt6%fa`Kx^Pzlp#2uhh@^=X}=w(jVrt_CEgufAL?c@A>EaMSkIbhQG&W*4O+y{8>Kj
z-^HK(M*koDIsVMv<p0E<{AcPX{5<~DUgv+{PySQ&KL4CQ$tV94{K;?lpW`$CiF%uV
zhd;{q{!jRG{E@xL|A{~NkJQWjJN!Yu^*_UB{zLWkJpUe_wrBbO@Tq+4zk^TZqyGv1
z;Me`n@W*{x{hz<gr|tjzD|{*+_Al_Me8@k;r}9DnE`I0VSMT!k_&xhC{}`XjSN=cn
z`}ke^H~&69kuUwf;P>%6_D}u^e&^p&zvu7axAM8aU)*JXZ&&vHV!HgU-w!tT+x|Xr
zw|!pilbh`C>^{7ye|*j^?|b5Ie(T>WHuvAEy>RpRoZ1sN-RIO^c*lIs?%tcjXYJCy
zEAH;UQG4Ls;<I*f-yiPcH~XD&m;JR}*!P9I`b~d(++}}d=l6YKntbNB#x(h5zcuc%
zzqE7vuDGlJLT$sl$7k&9zCYZ_Z~Xhk9ru~F1@DrJ<!Ajqafkhx-HA7k>x<;4{a$g0
z{i&VWcg3CkhQB-Rw9lw*xyk;-PVW1|9sS2@4c;Xe%6I+txWoR)j_-Toj{ZZn4L8{z
z+Od8Am@41&`@^Pwz26Tu_3QrTxYItZmf@Z9X}f>l8dK%#e(%`Cul;+)CVs8oGdA&S
z{(f<r{l49zH_7?(RlgfH_3x>D@b+<Dy?oj4icS5yYA?J^&XX_t-Eo`!j@`X)54ZJi
ztNwDY`Mcci?=On^?^b`<d3;{=lY7qRZ9m;%{w`PXuJF0s_U{|^w$H7;veW&o?Tb6f
z=WL(cQ9fsz@t*OyT>iU<&*V0LpRm{cjcvmFi_hfNe=pd}KD&C$z2>iStG@^AJwB^?
z$vx(;a`nr<2Nd_4e0M13zg#`#Uh@~Z#oq<?y1%e>cwhKTZvOWVd)jAKm)u)?#@6Ef
z#!CLP)hYLwKg*@OE3D){T^(|-`IFq_?-TYMpHb~{&-sk)p*zf<<odrC>~Vi=EAf6~
z1^>}%m3zz|<=Vd+>~Vi+d*Dv<2f60&KZ^JdR#)tFpI%+Cv;O$BYL<J>r)?SDPkbs@
z|NTG_|NiQjo$XVrLw2%Ht@hd3KBfB0-RAdlf$uh!^Y5*8*y(=H_QSiva{k@bHap$#
z+P-*aSkAw*`p8}8cXIjP3wFETwyAo4(7OL_<(HZ5?`(dYNj`5=^n78uT;;PyYkr&0
zJB<5pSKc^x_?*qFGmFdQ>Pwy(rppyQ6HJ%e^0~pd-~4ldasSQA6X%r6<TiZHFz&xz
zx#L{(S(~Wm2d(<AR<1ageAXuDd81YT<;oQ^-Dg!UnA!f)#_PGGRsY4xj&sT-atl6N
z826vAtT=c0jE&Xvz%;ozpKr`*e`aI!ywQ^1=<|*_?N4pAo;zChpRDvamwcw)M(Md?
znq1;DM$7)=l@{j~7s~Z~j+oQ_$VTXSqecJWN{Mre3*-`>Ia>4|tgM;Y{=jC>nZ>7V
zcAQB*ZNv0@VX9oi=MzT#`zsS>w%@l|cjoXZn^k9;PuVOx<9y2I*RzA>{TiQd%x=GD
zv*3(!zFfuU9kbi-+RQt%I8UzR^NiW;cWmk(J!3TQ-(I=n?Bchwd*45(J^s%6*B<BZ
zvNiWMK9}A3{=(-zoA(E5+2@ttsXYGHI_G}kbJ@~+fxr2z-p}~VXZgP4GvBT91C_^T
zm+z=N{>D1xzTj`Z8|7OnkH5B#xqtAP?ArGUwZ~sshuq)zOm^jahuY&Wt*`7+K5Knp
z&*3k!OW!Nh*B*ai?Q&o67vF{QIhDtsTie`Ed?q{hy~bz0bLBbvoIlIXe1D*ZeP(&g
zzQdnnr@miMbNq?5%6-FUvJ>A6eC{)N-%xY>v9-+oi$C~|mFw(t{wUk|-l69BLu;P<
zfj{^R-Ye7`e_*|DkMjrFxO*3$%EsK=_*Ay>{e@3_2g*|_kKebh->~QKd)e@NiBDxi
z?>Rn|4Zf%NRJQj0gKGCF<!|;nzn2ZTHxYEz?w!4d-^u#l3;fQvtNhGf=XbJ&?;EO*
z-?qMU@8Y(;d!--NC6~+AUR$`E&+he&&3$)DUqrgkD}Atz`MlM;HIK_=x4qu5xzFnL
zidgo!rDr1B=ae3aWPfY*V9n$DvsQQ3B$vr<dfgGr{>JLon#ZNG>s}{p?z>jHVO?^m
z?5fuhoBOVmE?D=tL^kW%#5CEAYl*x1E|pGLms}#d=ru<y`wOdcYaSQN&U<aJx$j)*
zlt}jHR%X{1?(92Lny{|<jFsN?#GQSoN(0s<7s*a~EwQ=pL}^E)`;1bDb&m^W`(D>)
z#IQfM61%=|N8gcBg>{b$WZPbA#IVmO6<C*CARB*8aR;CN>ld5&^j_cC)OVmXBa;1r
z)uuI%^JOEhJ>1@>{d&WuKCRaaHuY(~p0KHJU+IUn%BQTpU0b-FPviB8X!d(n@2(|o
z?^A!hBAWfK)w64g+xvEu9$5Q0S2pi;hPC^9%ZmE*8>{>5o?ozKpI`jO(*2#~mpRJk
zEep;!K9?y!S6Iz=yZDBs``qFy^P10DKAYow&hpWm=5I0u=RQ7@$vbCQ&3Ci-$UNq6
zGP&nIR`Fdg-eBqe+A`w&#wxz6#cM3xUs(p6FRbD-eeO}rXY$;@*8QcW$9cmlzKg{z
z^O(QLq@Vj(S<iRAc!s6>bIVh6nm^0TetyBm{h6h~dB<lm)1Pm!aer#5ao(_!?__b0
zrTY`hBXg9`SSp<VSiyI^*koSwN15*D0XFWBECtRNR`4Az7MaI<#**W_VMU+*a{-(7
z>BS|M?9+>LEZrYiZkfaUK_>Ft$8tWM=O3((Pc4qHbe~!rV0pZLO0kEf`+dtF=LDb1
zR6oC9%|500$z105GUd-VSRbEUd}VI)JDK9=6Rh3uSUx!Sv5aqf@s{4j<uZF7-;i~m
zU-+W0`Mkxq9_4bGs$&z=Wp+G1VBBZ@c!zBJTZ=b6i_ckP9d}HZDL$r{E>n2S(VEZV
zafLCT`QsU~?QblSjx$>Knbkk8kZpf$aieE(smz+k5wh*CEUxt^m&&YoY$4k|t8j@V
z`>etleTz$EmOPe_ZGU0mbez$u&-n2VnfB)vXL=SF%cLGVXxVqRFr!boSZ2m!1>?Tc
zg%N#=i)5xeo*~oz#Nt?wa*<5ZF~KyM36Cpe+8<j;9Y1K%ceGHWPq|R0<FQ4UO#4F%
zuH%epGA)lKWR6cS<mfwm+G1Bv^J$B1J<0_#QO6i9`g9)ukY=A&_@npnDT_5di%(gs
z=t(|hv7~2lzD&*I4x_%kg)e%UPg#6A)@a_hyYNQu<CBvfi`MVE_?!1${+qhR=gmv@
zAABxdy>H@gUfcU8KJ(tmfAI6z-26Lrhrdae?F)P^z4iWr&%KuSCw%U;xWC{p+noG8
zKig*KpQ&^HCcW`~!(X@A`FrXPf0fSJckvhRwfr@8&R?ao_f7o8dnJEPo%31qOEt`A
z&3*O<{^Grq-&5!OMS9_VhQIa4X6Dz_9sVqxw(sK4UZeXD{v3N|ZnA&kPu?^66Mi0h
zYOb?C@F(x7e4je!PtwWz1b^}x-skwtdm`Va?(j$H-unrEjy*CL*+20I?~#0&y2Br&
zTkkV`<~@|pQ}_6^Im`ZsPo-n`9egSsy-)B5ukL+@KW@|V|I{*{HvhA)@TqjzzQFpY
z(jof{pGpVqyZD`VU%t!FWB1Iz>|=Z?U3ve&?_+n(-|YMNM7s3;g5SsPm_OMk_?>r0
z{+`;yZ>4i@zqrfx-mGl<#dPUiw;ycowY`1fZri-vCpX#NnSIE4e9kOyd*W_h>)R_f
z_uk6AaP!!l+!Ht5=Hy<;V?JkgFX!-Cv$XAsyL)fc=N`ygeAX;(`@>zlX16o$vb{D7
z+rDsDujy@%yKJw_{I)Mllg`}Mm?pjKw#Hqymu7C;6+r`84SA2xnAvTAxRclT_KQ1i
zGjj{_l8dEh-9B-L?U~t$oX17d({8W0!}ionZM)*mUc=iRciLv;w%lZUVkWo!;f~&8
zxdwU3h0<NOJ?ihUJu>6lp17m;P;SFbwufeH+drmCH{JfQsaNmzgH64<w>j>#P0MA-
zQ$B6>Z(C!kblvS8n|QTvuh_(^b$iApUd`JtZnNDtTa=TWFI{!JVN>s(+y}Xj^Q6me
zS8VFtm3tvKIZwLicE@eDJ7)K`J>1s2?R=H}<G(rIH`~pBS$FLD=6c)tPwSRF-)uAg
zVO`tv&DQhp)}{TPIrsdlny}xJbI(7kQG34GV*bTCw&$D8=kNTP^Ue6?`6T=1ziZB>
zub;oO?%1=<*Um@SNB{Nty4iI8(z>=^H(#b_*?E7KoORyEUi)v)m(3T?yV!I8<@vJN
zcz$i&v!63(p0~2s{w(=CefIp!x@q-4C7-3I*zNvv2DC0l!+!Q3pHG_&=eyRaJ=<(B
z-?8r7kC~6td*>V0ZTm6vQF`Zm#k#T|Gash6&TstL^I`MB^ZRPle$1SHepk)0r<-->
zv(_zpYJA}QrkZcxC8wSLW4HU$%&F&B)wn&~tU2HF=bHD%`_3<_+4g<rz4QRP)4zG%
zZ{A&h{*7Jrr^vhMCG*eLs(p{VlU^`?Z|$>hk+;+K&i<IUZFl7SvnA$_H{YChw#fYQ
z=E!+x3(OC1zB%`7p84jxXU?USnytRub1tpeZ1U#FIcGD>n~S?kR<N{13qDQ~Jk8k0
zbb=vOz^J3GtA){6h`~XEhp)wX$;;sEYs?}HmPb7%ovC-OoW$(NwV215O){B*fq~%w
z0|N&`K$6E5_O~W+Gv}H<nJ|a5GGeMp-HU1WQ&{iFT%Wh`-bTK@GZ~=WpJx;<$4v-)
zy6;WS4`wG5?vi9HrR}#jSY4hvPg!=xVlTNDdrmHUB2nq<tM<mLpvy`7U+{x}f7$Qn
z^>2K-_`|BO<qHniSKiq$D_egG-*2ZK*Mia{eO%^h>R(a$H)+ult)2WjOYUCYy;&_k
zUE9#r?6d8x`!UmIWI3c--(KPSzyFE@+myX07wO-7*0|FAx$FW}m)D`3J+<YJ+BHAT
zk-h%@QgTITsd`nt{}kKaB{P0ySN{o}btmsfPo+vy*s%vI7T&O^N^7bQkvMFjcCakM
zK=12{9tJys;-ktdF0I;@bokk?Ye|y+3w)=}`SY)E&*J&J=R|zlvp+;_(%$cf?_Ym=
zA^wov<xa*xjX71b{CyA3yU2UOuz2Uxv>WWtHhpsW_oAUjA(wx@=j1CVJS8>WNp`GE
zdA)i<F5~10Q>!*eu$1jN>u~<SdhKuZxlbQ#T(!f~Y0LE}`J)f@9(&!En=gNi$;3GM
zLdc>G;t{G**Ypz4ewaN)zivwBr5$e^#e6)P1m4X)Y`$UBwhzKK+fJ&yUG}zi$*Ycy
zH=nPH+iYphV)kNF%MFvcpYP0G;4@{a_x&led{~ms=?cx%e!Qn+PtC6KeH}`-c`kHw
zOH19ZpAsv?&T;5xOYTB-*~;zPqB?|cr6y>yXLkoZU}8Vo!u0OR`|bbvG@tsj=BTf7
zm>@OLY%|NL3m4g1=1+B#Hr=~Ne1#BW%W7}$x{K$RS@y4SbM=ZyGH(3f@#Fl>2c1fv
znbSIp%+lr<NM0!aS}cAv``lz37WZwp7cfrW{FP~;0bhO6=^9_p*&OMQ4b!)E6mK@%
zpC*-SX2W`}?sQJij->vZpVZ@4pD<4B{=3t7LWEh~X{E1Ib%k>)A2c6Ge#_To<@)Z+
zT7$Acp-r6krfm*Az36u9^k{Y+D>Ds2neP%!krqlqDLsYT*W8G3O?f-j_+77bj`rQY
zzMuR5L`=}qnf7*O{qe(L2R4?Ud|0b*q|5IAGULa-?;BFZX1tOpzLE9(o!#!muZ$k+
z=CB=ofBycK((spQ&wjg_8{HM=Kd9CzwfB_9(}uMd8HyXeRd`%|IGb6UPv-DGHz|$@
zzU$bwDsEKf+N8l%WK&w}yCtcPD_Vy!esf6wgb0bkRT+2d*X&8VzxZ+e+Rh&j{68uj
zJoqa4K9{PQa;?@gyC~xy&S$EZEWP&iWpVHKJ^OM_KH+E6@XbE<#^G(twI_nA?iV8_
zd`vJ;-)QcVXKy&K>e#78op+~9)n2s0Cv=^mn)r6x=!zX)*DUn<RjsrHH<(8>UuWXE
z8K%!_mlShqZ~l4S`*U{H9e7kT=S_X(mzGJVJ<1M6*eHc=cD>^!m!tJl{lOn*xu7>|
zzny3<d$f2~-J=zXTcRVDG(~QT^LiZP;m%(j_AcDv&_9L5nGYpqrkRE$YPP+<bbj5V
zFEL4<azC_nx>*FySuVOrcF~F|!L?k>b1(a!KN$1QJ3}LLl2p`-&f<$JB`+>jTD~u9
zetl}@jIKF*w3cRfZ(FFS&}vqbZ~4vH?CsUF-`oOR3lcYGzKnXq$0)x0ck$-F9Y^;$
z=RHc>IXzQxmd#e(Zxu)O{CS|!>icuz8PS${iLUce&NtoNN*q{Qw;8`Kse5~-!imxJ
zx$w!%)(QF-w|x0@zv;o$R?~YmkLFEDmV0dcbj6(Y^@U2lrvKb(*?24TR_ae<zAoGo
zUG}U`=V0lCHB5&(Cd>*ezkIJLwb^^srFlIn6B{fzxQ_kI=9_Y4RoBd@-A!DJ>O&W^
zum(=rRp@psu~z$~VAkqi262yPpGZB}dg#Og)}ya_*G=1WWx|CETO`Vq-B};~<ei_n
zvT@yu<(GNo+4t+zA5s;+{4&5s!(ibL@n_e&K1Ukm9_lcYHaSwum8)8P?RD6W_Er5y
zTsA9lnokMjR6gi1ZNsbF<eNtf)*1NU{658c<?{>$qozX~w~nOeO8&XZn-LzGRq!k7
z%X76^u1ZN;&+nW3_(t}k!1)WOiSv8cr<>Vrju)}cyRmK3o=0JAd+h2D8-<<KSbw>`
zy>!c(KB?%+a(?|#nf~*8Svuzb`n2lyTCFMDK5nSG>$0h0#hz9BZtC*pK6Pqik2s{I
zWKqfUh0Rpywx3{)&f(vi`F@zc(Q>al5>azI|A^HlmtWT=#%8!m*`GQ!F`<pI|EcXM
z@rI%gNf{l-^`)Kj8H+f2(?UKt)W^v>d@N-yJ!gKTbix*c1v<xHR0y<PU_PmMdrCJ$
zMMuFDCHK;W!N=#x*sxXb+)H>Wpu46tBg5pxSGGx3X5VY`8$$TjOv#m3zOng=;T99=
z_AO>o+GZ1ajO>amYGiXNZUjtp?0dJiFgyRlbmmF9VYz;{noLDEhpjt1V?pAj6YTY`
zKHSbcFE!1-BIemn`|>yEHm!2oQo1y(DL-uCwNny7$JTPRR#&WC7%u9UQ!VqKb%}Ye
z{IXM={qA{{orgUcZZGM2&hypAx=ANN@WFnrRdRlO+HBT&^81umTg9)5^X$KHbk_#A
zZe8KBT}kTUj@yj7=DL+FOW*h<`*UP$u71GY`sw0g@~=6wPxF4~)c$Z)B&^|gu<N0K
zJp!^SJ3bW8vphPf+#oxsy)H{qD{vVHYgVg*w94G5-0ZjvHM2Fpf31q{4zudqWA?2$
zbnVqU{y&qFbyahvf0^97ETk2%%_-Mq6-V9!tEl|Nn^(I`Z*{G|yvB9z*`)e)CpEk_
zYVIz5JF9;7{;0FJraXPNYTJt)UV@Q1p~?69b5b|Yy}L3nY?Z=^s$Du)Cq<p~wb>!H
zU8`la_N3HVky~y*zaX~q#?sW-2aydo*JW?dEu6IF?U!wpKR-Sy&@5KoWwxheNBo_I
z?==1i{d%QygDo?8S6FcOM%fLmceZWny_S-*B`Y-R=N9j6&9~|~Z+@6@@<2z0%@gw+
zvl^*&QpfmP?l=^7%x&J^UhTuwA(O-@Jl!c~zS;`cdmf8Ag*A9Pmb)z#m@>tu*r3zn
z(mwN?&B204+7GS0{JUd&)R!f8j|60{i!S_<bFuZt5v|s9&vq78Tr3n3_%Gi6!;~Xi
z{#Ex(wW4-c_kBkTzs}Hbt`9M>vUi&@GdO+Y4~`Xk7k?6#Qv8-A*%T_{SeD#9{a2FB
z`xRD4YgEiP_D^|VQOLq)=c-k>Y)4r@UhthjVae+oT3#}gSM0naA?@IFH<Dw?5z|_w
z%Z-wSTf&ri9OfMQa(9K)=k4n6A1z<M^Vzjihppm1{hoVN%FD~9QzPfaYdP2Y_~SJ{
z)1L2aH+6m?%<^)%$Jdw3->kmqUR}7)Z<}SjIP2W?>X9=u=RA4-#;WyBRD6MMg=cK`
zqkD6XYi(%?d3AWcoKM-R)8BH`Y_EQkoSG1}TK#ADOUa|xy040*o?sEaJ@I4ZJ3-U+
z^UlwA394a~N&3BdNpWiRz6lF5O}Ae$SU079(W9!O%HaPOg*tZ}p8YlLX5*VD7Z|42
zoIGaonR@}_%}m2r$4)QHDEY=8ej+#No^DCRY>pL@Z)!?sPqoQgsj0R@UUSV3c4gx=
z!fD|KvxV(8_dK*HQ!;&h@7Q$pZJX_s*Be+Q&Q`TPH@7o&_S4YES+j+Vp5>fBeN)||
z*w}E!ji~y(cRB78RvB8qJZQrCclk@T=C6}v9leF`bp2dE<KN7>0J)zYC)X7S|7um!
zIyo&u@Yl*CF)RFaFVC2gDzxmu*GsQTrUqz6-MMtUa(m4>p>1n4{)=fF&b`0o$vO|O
zN0Y4gWVx5>e-$gOpEcdelviI?bMC}xee)*Rq^8X3cNFDbR9c^?nUvEdvqdUDy!&(6
z#rI{Qdv2%hydApj_R+-cuYX;8>-Ff_+e<R#yR)|34$a-}eP+k?yy?Zc;Vd`GJOeho
zT@_Vq-F8vpOW4EZx1%hNUtD@z;i}rQ<q?M`>F^wt&QsLsoKiKdY6<TnCbi>f&seWN
zStne1)Z9zW@Ns{T@Y1MDQ|hyo7a2|D`oA&4rlI9)+dr0x9HvI<p}RP4&fTcFb6sSu
zpoMQ*;*uthb^L$CGfRx*Qy+Kud%7I&YflqU-m0>6Qw(>F(@UN-?+aOeTLTWNofr7M
zK<RZrg1F!zJ)?#5mFxMQg>gM@o58+CG4YL-9_MxEV;@vw*jDkTc$rAXepy(*-{@%K
zaY6Zq-c0A6KYUD@=)KgN&!pw!_57#L#eDAk4DT{^iJ2qm#G+(i5c*g$#8lXZQS_^7
z_N12zHv^Siw>_NuII~qGY}LC`u3Co<9gk;>8dA5ocpLX0Ro;{P&hO*o1a8Tsxhri;
znFDQ<ZG_w0E9QS}QepeOgEwoRvYE!}`ZY3J7T#X)o!R2W?8fruTlLLh@fLi)E@iX*
zou}4Z#uM87tF!r%&SuAnnvN5ik9~B@_)w%AvhN^A><O2QetW@R6Wv~1Gje*(*&FcA
zb;S&q6;?_jClpPh6O^y;h%G$1QhMPUBPYo>;tPWgalB<UbBbyHG-=EGnK2hGO;FZ%
z*WOz{t9<v&FI_=P#kci7`OMzg@$-J2=dD*)CAMEaab4g2x7^aC`#xXy+_-Z1Ut3{w
z%vwwF$y+WcPk86gCVy1sZB=Lg)U+k(oqz4BHuiQLcbjo{=hfRQEL%4$*=o1Mk=;7#
zM1t!(qx);pR$G@Xo$j&m`?3aA9fcKFvmd@%7_q+a*RfUg!n%6{R?0it&boQpX4QJN
zDZAQSWUmD2U%3~(>zw4dm*MGEELTs@dDnX_d1l<K%f2erJLgaT6<PY(Yj5tGi|V@t
zUsoQEE4w%6!t2Xb)5_MrteR4#SYmu?b8Os}I|a*LcRE^a{bCd#{Iw&ff7#2Kk5<q6
z(lU+jqEEj3&zzT2%%}aTKl_#Q`R3q!?JA>QxpjF3PjxH&jq`3)SFLnsDZ8DuKIxm1
z;@!$iuZ6$zH2)5dn>J5+_y5}CtDY~Ptt#E)Zh5>+aYf$NE7leBkKPWpm0J~+U;JZ(
zbZlAR-gK=jjaQ;v&8fxSKB8f-j<NZ!j?sI__2yiN&5w^yww_`NJbs<8`BlB@6szS4
zUbPh=e(TMx(o>fHQoXlmLDj98S5@&}%lxb)SHvmBM}{B#;PCaGn>_c@pzZ$h=KJmc
z_poyrI5yiQEeK;<@rzO7KEs|I#(P##oH8%=F<rU9`1R||Vulq`97{wTKFZ90R;Zg_
zYNyNm=Jt-m^S(#h_qlxd-`KjH!D2~vy)F~)^55-#SufXa&8c~Gc0$G7esQlxUsd&A
zcX#bCFqCEAAKF=exbL_6Lsd?J?aUVb4c8bQGMPd+4Egu&Td|&fP5`sMelx$k-&coS
z(V?mp-*+C&FPA^{tcANIi|uezRQQ>8<wWaQ)&;gKUt5dk96Ep5ETZ-ovqF=C(1yZV
zxB4J0pDX_FyT8}k^VSD-o?Bdgx+X+OE=#%Hu5@8gug!~jbCXx^oLx3!)vG0e+h^Ow
zWy&m7WZJsT)k=2b){}wJdG;H(_b@a6?27!;Z&vh7OT(G7)Vu2RLCFhM0$)!}vFfV&
z$H1`VUH8{tCB>H(e^E4Exa*a|)R-%KyIz%;hMap`-<0ieMcUf@MC+?Io%q&1IfkpJ
zJDXcqgx_Cbm%HrP!d=bvlP}a&Z3w%v`+k2*%=0;U4{DQUs-*8qJ6~O=yz|oYFAnzG
zZ@su%#c`q};z!=g{@EolqU_I0CNKKFcGuje-)#Hm=Y6vgecgKb?7VW<_Y>Ytkrt|c
zdpYKQ_XCrq_q2c29}wI7`cBh@)wdppy53ti&;99z>H6IFi};q_{e9Wy(WHi@!H*Sc
z=T;}rTcdJRer1wU?S{K&ZvW=`KBY0*uzK+)sp96;&m#Ti+Q<J^zCL?<^6k8sP0{Z^
zzg!Trch|+^rsr?0{l5C&uaYmTw!8Pea*X(@v)$sDzy9@IWpn1ueEFoVs=k-qzQBq<
zME~8j<=a2UzuFck*SfTQOZA22;?t|#OPhn<hw@K;#}cUj%6h3>>t{RF%d6FOzdrf(
z(ro6s6+ZR#J}cEd+}H1FeN|!?67INZ{-P^yAD--57h(VW%QdgRo3lf_bHeWa=361R
zpy%<8oC$>q^9yE{$<459%UBt{@49wI{np9fg7kNN-IQ>#v`WA7%QDNm->&TzE46X;
zk9yTQb;aR-Z|*MHYg_wf$NKf}t7WH|RaJccm33TUmH7G_tE#)ddQF>?U-2pIm2AbE
z(jpnZUH603m%Z+tzt>~`OpUM0ns>X*b$D~%oW<-i!wW72Mkb5Z$F;w{=&1S@`P^%6
z>kcp5`lS~&HA~A~_om6`2z)&G(z>H$^~$0b!ooYJ?^0dVcj26h_g?SE43Can)@xkT
ze!X+AHUF=WihISG{POoM2%dT-Ep*%Ap7E#U!Irkyd+q+_TI_X<-}CO;{b$pA<E|{9
ze(+_W@hi5N7rLdMswUGnh1<P;?sq}#5JR0?tU<T#yP&>$`9;r|@=v-?d=VYVnrFnO
z6l~)Wkz4R<=jDyRw{JRnNwGTRVgHVb{?39MpL&;duDI*r+EE{MwLWL}wp^)QKBD5f
zVQY&lE*$mcDD;g9RBeA)_MB_tzs6&ala1d;_SVTve&-sv;H~E5su>S$R9@X|>R*vC
z*HY(2az@IfZ+Tln?d$){$^Jg$OxZUfr$-{Jb9w~Vtg*OoknMV#no3TtC!hY5v&=8&
z6x?r%UR>Pn^)6n<zIfVtVUgn(4;&4W7TmTpE86dt&7CVhDjeTjy!s<$qZ;25O)>T8
zYaYqFjviQA5VI$#b3y*Suw#y^YIAc<8FF(SyK0sGNqn!V`Ylqk%YZGVzR6oIdT!VD
z#C<bWs%I+{O?v9G^Im6^aOi)Yt+$`t%e?Jyxhm?(id9lt4w@JKoGJ~p3jN{naP`+k
zvHT~c;$Ii7*Ii&7D%tJ(Cv{y@=({O5KDIeu;e2@ENo;gZ$(5%+j`r@?Eta<Ys&cM@
z>#@sB%~f|5cPX6O{!r+nU2oWwT;DtOXZ;#i)&yzZwP5vE|NLROo#=g$dXb9@rg>(~
zy8Y&ZWFWtA<93N%nhTS(kDl%NmRaTEJ=1O46}6*HDJ&tMwZm+WSkIYiX2Pq-tMRf^
zL?TyaLRSz6*VaSR6i%#oGv|%LOht7gl|<!3HU$|%<`H2RHYBmHKi08j`jW8KF78Vl
z4%ho^xmtWZDM)m4r-V&b$_hn$@9Hf_)-2kd_a^76<zc5bd(Oi;ci&9DnK~;;s3*83
zW>fo1CxKYaV*yJ7C$vp1Tf1bhrqH@Tm8*R^K~?htW*Ep!`mk>1l+$W+jimLN(m0<k
z`m{7#U}}v0@+(^xR9j{kcf2e-qBS?&Fx@gPgpcWEJ;Tg<PCJv@W=yM`wn$WHiPO}u
zrc+0oR!=by4w<Pwv)ALMU46)^H_ulGvqW-<Rn7UCtM3t1$5VA>roaUuBZ0cK$A|lB
zi(iza9uwj(dTn%AH*trCUU=#x)fc575<`k3SDU=!;E~$4aFcoS6O)M2CI39ON7)^(
zabDp0vPw|)1aEy{q{^v=M>h?*TE5vfi`j{5_ZRZXN^+f+JW>A7dc}A3iL$Euza2QY
z^2&{pFqQ>6)20X<xV8D7Nbk;~w2!AsqTH;TFS2bA`5E-9?#r!CK{w6BGaff&XYvIt
zxV0p2dEu2=teJP?mOMLM?VWUbjw!3Hbff!)KQ;WBdlWBdZc?lITfgkll%w1!eyclU
zc`kDuJDXN`EN#on8Cq;FZoI2Vxhwu`#nSnICoi&AWLfmM=MdA)JyT94=COABzBrK`
z7kTSc=)^UFcdM^fE^kkLux*uL%)u$C2X)?9MLtZvI-xTqnmN(cNYwiB4Xx%-hJc;X
zKC4e{2{rTmINc?(K1}k)T+NAV>lvQC(T`YosGOIlXV1#Zmsc|E<y)8N-}<h3?UU&V
zg{QaV&Dh7hclY#7t~+|x9Meh*KRfe!-Ig6X9sBpJ;?Q0bzA9KfJZR?RTP?<6OMWiR
z@%|Gy=T9f+VVUMx3+lHErV57_+)h}_=Jhwrc;5bR^*dGl_s-Kk`FVwV#v0`XXFj!G
zspr}!vu?T$msnQkm34C70n+lCX`48=XkVQam%HT9`YCbh6SH0#ebc-x%lmWF?EsTg
ze?@J5H#sGCUJ9{TmivQSn@xPJ&2%p9?N>jmSVu)xwynNs-}N(N*Wxv23%=O)S7~er
zJyR5^8O2rnP*AJPwzkZhZ^lJ4pLg3nUAn4ue2GPU>Dt{qeyo>Nw}_rMyIkE@8m}bD
z<SfbXIId9c#nNf3*rzX>ES1VpzUS`xyH_f|yp!1zb3esf?|4DX%2u9>X{&{fsiaN3
zo3u~Bmd}4@Q$?)V=FII&-$n?Wsri02Y}3WnJ^LI?ze_2II<=>#8#k@|yQL!DE7ePP
zR!eZ4{><7r;q`er#|$@56ML#CX}_#Dsr$3Z?9#A<lWuaG7i8>Q<+^sM<He3u)t7n_
zH{APwgLQFmhhzMMABT2VPEUN=v`;nr+49!{p%tmW+NLEf3SYpp%J5m(PQ@oZes&M_
z*5#?BXzpQo!t@}Xe`{y#nrZ3sPZBb<v$mu)AN~GlUTt9Mrz32Q^)jo!`S<GmUf}i3
z-{Qc%nQh50zwJ(w5|6s#a>%v)$b3bcD~^&*0UOMEUn{yU*Q(fUI_1U$wuj!Ad6Uc7
zHy%h{S*tqPkn!eTkF{(ImnLZ5`8=ua5A$^A>7Op{S~6#%g2hV-w@F3G;USS;9y6Y{
zPjJ-qSsJl0^4u<q8=|s%wWmC<Ka)^#XlBT@?K<)niXZJ)8eMe?TY7G3!7iuZqLB0P
zc`pRLO)qcvX^T2ozVh(%i)9wEAI)@%mpOS$2giuyxpJNTAP{+V`l0q{z9YYwq|Pk%
ziCvb;+mL9sXvK^>shlq*8Uv0nu>KOB=Gek!5_og5bu(WdYiCv!%iWnATO?h+de@8J
zd#tXnsAia(K6}1$>yp%0e~+0=|9D~Nt+WR$8>a|svYNUEB%8f*Dtr<y$@6HB3;T>y
z8dB39T>bea+j~hY%Lm1^pFK@Y8|QrW@DIB5hH;H`l}yi*EMG^(IX>~y{p(ioJo&Li
z`WmO_%`FC%ns*bwdhDOWwpK_}ZnDi=tLqLo>NS6!_;Z&<w7T;Pd-qijo|!Kg6!n%)
zbA0;W#~?K5_A=$m_KXEfUqsA{;R=|g?izEdIhRvYR`a;W%fFA*nl{KEoX?zhWkFT)
z97b73!GGU9Wiu{5akw<Su`5!;LDM<6We)eNg{-UmI;JmB?VYJ|<hJsyV<ioWe^xRp
zUOTYZZLLOq?W8LUWglv+XjaYJxIp=TG}m?&<>)s{UA#_ud^{7lDVS@igv-k0Rh{9F
z8J2nbm`SYGcYPP6cQn}R|KvHs?`O7jJehAXFM5|EXK7cmoUipIOP+@ww>g$1hPdUs
z@eBAf3BF`<ZP==<BolO>*=5SJ$!(W^bIsWp>VEOyYp07z%=HWAa&#?-*ZnoqnoDDj
z*CWd%8~?0#eDv9{xKxl~iD06{sp$<%wrzN`XZ7ia*QY-@`=MB(=Rf-uHUaewiQ@d+
z25cQVRxB44-8eW{1e`NDIRpe|Gs<tMF%TBz;*gjZKR<qcJS&UD!uT1C5{u$FIM`;!
z9%*5Gzk1@uJwKk$XPR`pe#@IwiO;{>JoOLEZDwZUcz2hHg@aLmk%@zuiG%$nqr`$Q
zjLSGU*ag@b1d<E{c8c!3%gDpgyNsKo-AX~A&!R`FSF~Tad#UzhomROCx&{IhnK;z9
z+}?6qc7e=1={bT^^cE`3H<)WUmHi6iByR1QtqL>R<QC~p*P5-<r8rC8pk81a3kMVH
z4MvG$_Zhi4rdoA!aEJ;B8whkTaWL<_t7Rb2c0*4=z}DJD&OpGMiGzWOgMp3X|Nre@
zc^fvaD2SS<lF9pFk>JdmJ0t`w(sSI(7!=OLvqot!ys5bUKR|rG$Ck}k7RS6;5-hA%
zv0zK;>rDH_%@6)`JfD}Lo3`<e%;kEUI|p@HC+)laa6v%B`+zm+j~+Z;+G{X7_uu?}
z@#y+z_gyX&tZ+8=mJD0h#_lTqvFYH3>sP-UO*>fopz>~6%Ez;EYbJEGOT4P#a%o!M
z%sC;mHD<*m%|eBj{#M66x~Vf-EbTkNn_;|gdg<nKvuw6`t+X#mGFYgy;p!&OZRO9~
zccqE!U{*7h=3K>p%-hL2e)s#Wo2P|DeiLhBnS8Z+ioTbKO;L2Dqa3$e=C#ik&vNqC
z==rZzHL;M<YZ89;fPETEuBd!jkuKlu8;t%xUz&Rbcr&wzfTmFygj#AMpLHA%vSMLi
zuwt2fuvuI>FE1yrI5dQnfmyWIBVA*w{@(;{_Etp(waSCjb$&4F)~~KCi<w;4Jg?)+
zuk87`x1*00Y0rIAe!Q%%hjBZ@R)(bvu4nh|l<##hoo>Fx@}&Ru)3aY+taZ0pH{p7o
z%-*Gaw~YF(ne<%>aZg{pSpD@1PN8K-?-VYoi<`1tvfbBh)l9W0-t*@!dG6K`E`I(m
zr7kbc^!DwA1`cuFb_YEe?{PHM$5%QFnk(wv{^}J}b94ITr9ZrE#9InOwl%oN&9;0t
zNyA?1>em@Us(S3r#<JYY1iMxm+BWpEf7tb`fXCy_Lr#~{P|<|XQ|A7-;jltXbH|o9
zR!%Z}@;W&ic5LHtoLaN&^{b$J7v7mADX*M*!6$9+<c3P8PnVZIN!azTtHM2h(c*fu
z>ldZoXy}%ee6y<B);DW$!mg}$S0`L=%X?N*S~<bb!~A;k`g_rj>|z?{{Qekw;?t%@
zeBlYt?>#Q7$&5^tT<37fwRO(ZRMpJ|`*z9+^m^|y@7LG;R%Vx%7P)SL*NHSWuFzzu
zGirfn4~xzyG(6R=ZSctJkNL->f1Q7H>KOlhuK%DFcgBWs&of>N{sZrIt@-cn$X+n3
z?Dox#^Y?tTyjE4PJa}E;)}Iq1=YOC1>#=s<wZAEEKQ7O{zNhM|VC4RLmtWlV-k7)d
z$kkQv3%>U)dU4xvj-4e#&okNR=p_HidWNr<_#>?kE&pbreML>*`c|oMwspi6js08Y
z=e)XEn0DCjKz$U?F_UK!+0o}?UQBRo-YTfB%UQ_bohFy)dB%8}%I|rb4>Gg!eDjK#
z7QFD(Dkh)i$Qfd%rMcv5vhLeP<?po9iaw-%kH>G~F7K;L*=J<jnf-K~-}kye@sAoZ
zkDrRpGuy|UHML*Q%9dwwbHDA5lfS%6CEWSz*X;>?_0{x2{Cu(cxGhy@p2)NC9m<@L
z|DkjV|ALI^@eUR4r_+~|70g=cU#A?GX4SRAIq#sB>50(P>3QLEcX6x^6u<E5a<TB-
z-^&*{&vVS0xZG&g6P1X~enl5mpWk>fakj(T-NzR(*C;paThVC3G*d&UgJq(M=fVq&
z0?LbWr3DLAE0r=+pNC%ZKV2VCp>Dv%xc^+vw1`*lo%?=YkeoEj^Vv!By8$!L>&drd
zyIeBcaVg^IjPxCLNsJXLK@v^-KTo(U)D<0*V9y(sH`jHpVqstIW%*ULJ_TXlHa6rk
zmFLTGzYyNDr!eWn=GBH5<_MqIEs;2(UF48b&*YRfpT0~qVwrljU-_GALWQ(seZ>(!
zIf0ag6Lx6LpSFMEF8zboHtbNmH+S6@?YnggQqD`|`m5M}b{6>WbeJW<+2D+Vq$tB}
znP-a`551Gf6IwRS(D07R#K*inyg!<HBwYm)4|y#4rhbvz>cv}Lfu1`itC=KsOm5`4
zbJBtBU5Wy8xzU71YsnJ~_dYbIX9h6m8y!&0l)LpP>(8osSSi8*E=86KzFNQ-$jH#r
z#x}j+52HdosPyRB%RWQKy=<v=)h5pZK8Fj%X%?U2MAbI0QdzsC?7)^A4$QsB1Y)l5
zzEl+cxh$p3#6SP*8NEH<?^VC&eJJ~I?{<|v-|zhvpLjKN>cmpzP5blPrWXF2Jkeaq
zSSde6eA6i@lN^iJ6^lb=&;S4E`Tr00_WHkn#n=7Y9$z2#tA76fZ}0d0JAB^z|Ht|N
zzD~FQpI`rd_4&%CljpnZKX#vQeq>+&@AUfrKVQfHJAM8C)BgG&pVt4qwSI5x7q_4K
z`~Ll2|Nmk5iki(Yt|qPb6yCGaW$(o`rP6=iMZ2ySt)99zn_=(M*K6f7l3#{%ZZPkP
z(+sn&2?_a9Rd{UvwclY|*Ilf?t-06zb=cF?AG%xJJJ<c<ZT;GIZ2#f{&w#JHtF%|_
zYBYPbN_f>Pp{<A8SG}6lW54qI+SRwN@4MzZ>yiGKbq5XWf<2f1l31@j|K5dt_rrIX
zSRAvi(zZBud)28+k6yi6uOjtt!Hc(N(sDO$`)?4E7aZE#|M{uV*?JMJ?0=s7VpjdE
z?{`i;Ykzk7X_NmpA=myG%WlqlH{V2~YW0?w?asIVDTM!u%+!nvj163M>uY15^<^FZ
z>%l)=T|b9Coho{^zO8=oM7{q!^-H4TuX}%*yU6@&*#-Oh71jzje(}cs4HrGDoi)o$
z|FHMYNp?3@Yb`Rm+r55e)t9z)&%a+;m+Gi5_Hu3g0`dEo++=^rul{iA3g7$H5~qyn
z8W)wH(wb$ma>d#VIhl<s*R9dhSht$vN_=>=i6@)veTy4Bzkb*roxS8s*y8V9bpZ>{
z6-BzPel+RSrzqLnNS9#QhW%k@*JP|aa_iHxAE&=}ONE)N*Ib_`c5m$-hpX0g3;99?
zTQ0fehO$PBY6;bgu3W`izclLFq8&V{@5Mw{p3iX)Tg8_h7#VpkyZdxqoBh?LuRi(k
zKT=;69<^oN8kd#R_OH?Vy=kYNX8k&?wRxe7&Hwu}hF#sIBzyhz&PjP6^38R!79_7(
z`zx}twA3}yvMV%Z=Hh=}*j-&qmA4)}|DW-8T-DkaOIhxP{!h*LQB{BO^iiJmulf7T
zzqTKU{^h*LqrRddnm=^CYMr-u?ViQ<A^ficmtDCZaCDN{kC6DxRX>Dl@22QoX0Z!c
zyIz~cStb5T_=o;0^OioX@O&S6QRc!?{STY;!s;6NzaEYF={i}cwJth*)%H;PNBh(C
zBiint%q#kncT6be+7H{S4{{c6t7plMKXqm4H@^q_pKg=<r?>s=$Io}R9r!=-_Ou`G
zcjof%zwmg$C+^Uv?p8O<ez?@vw4M(MpT^p})~EDf|JSQAF=1hI54=w|m;9%&`{c*=
zo4$?qr}Di16qa2+JU_*}R;6j<qF>JbGg4nEJ^i&=iBYh|$Rl?}0_UIEXV?zMr<hs%
zt2f%L_#u2IZ|9>kZS9j1^7-_Ch<s@F?@amdQc<+#mk0ZN&p(+TW*(Z)IzRJIW%DBQ
z&4)##zi8^L_ImKX*}tv+Li@@f!@uz}dE5Tay!qhA^)qb;{?ENR;YYoZwA{b@3Hg2X
zJa(-AG=EI_A%Adrdpz5GyMLBHd>(}#Oy~Na{$b{^`u#7uR-N8==>PIo{nLS~&iO@3
z*Q~LdrSkFhFXg4re}#YfaP!lp*RJ}Z39GyQre2-<I?n0h?S(fPrA6x_P2cTxbLH)M
z*zc>qk~!35y{_BiGj5&+jiF&v>lU)z{vUKTEOGC>=%aTW>VH%?yDnKDTl$-OS?gK-
zm9j?3GO4Syjc2c_Uu0U9v+YRssr78Or@Fi1g6DJ9tk%v6?G3;BG$MH2^JbP=Pqs<U
zTJ?H=o^_+xPbTNnHI0j{;sr9M>U&&y!Yvo|oZGH$n!d`%)O{12X4fe8t}nV+@W+I`
zg6Y3me8P|NpG?R1pBHEO=e$qhqx@%9{;fZo`u|VSSNS;qbF0WdwfK6E$N9w<IYOQ^
zcs$tugqtV+d5lo~+}qzixaQq<PtCLLOxyWZWY+U-mshM>Z89VAUwLy(<HEPz@5S~`
z)m6Qq8<X-vPOs<-hd66hTer~OL$3UL54+0O9y+S>tF2q}3%B^?7ypCo-1^ECSDd@v
zP%tquVaEwWjTjLL89gr^PH|hFdS3Bb9&Yi;5^{RW43uJgl2bI?8ow#7IQM8p!NkOp
z9VZOcVnigQ^}Kqx#cg}|#cO>y#3#>?&|7Ap8RL^&q~X@dr>G%3LrBlahgaNkS!*}b
z<-@L>k`EWP&wRM3Kk{Lc(B{KOMV_^EXP)6b`eI=+M-}H8ZgI(H?cFlmhg=);>kqkh
zwmw|c?)q?1|Ivp@Lf(gticD+k&eY*P`eJb~$1hGDesRgLmTnpC!>)~?3ujGwA)~eQ
zf@+A@;@95qS1_*mBoulm%XInvpy1erlax)rzIyYd*mOzs-#_8;*Z;h>ua#Z0?cl73
zqI186PF>OcDpYxDb>!LSYqhk!LO(6cSGg%$KfC^~_Lurd-<L-}l-@e>Vb;F~Gi$sb
z@cvaa&Ya%9JtQk`d&R1&j;5hgUlrw^PH^MD7PR%%vQO=|-mP|t%(Pm#F0@=?9k<qU
zzOpp|ondK#q9Uh5zc{X%bH#d_i}&A)Z27Bqcufdu6%tD+Rkhg>Kb2$8YI~Ks1@<-$
zM?<%N;(As8du5mR^w5}JbwWBvN@D8QytR|c(v=Q(65mw#cWamSW+m>f)Lr4FF`0Xl
zy|-MF*V-IXIQ5Z#=)x%vZT}v;7*Wr$XT80O-356$yDQ!^17hlzUOwm(^D9qG=Uz!n
z{gStKc9){e^cIRSFMJxk;s5?{%aRPv@aWe66UtvYFkjz)x_<W;C%>KllJ6~@Uex&d
z{<Gq{K~p7b#q>56sKu-@nkV1d&18R0-cg_Rs$kY<_X{`c3R>2G(%Y*LvhM$q>B*9=
zvY*PGRom`!PqnDC4-xvoeAn=1@t4+jYl>>tWS?KB#rz@Ns_o6GP2ZLuy_(9i{?&oc
z>I=T~`e^;{{1;;Rdil&d^_C|deqC!d{qTQZyY~OLYxvgZpWP|*@Aa`)1wTu(0`~8l
zn<Dhv=jZw2os~@gO}C$Qvb5uW`a@~T<I@3s?;q}8&21Rb@>(Wpebq<tUE)!Tw*Cvq
z&cFNR%_{SZDklA>TWZSp2(4l6y6U&;gYe&j&09A6u$*3E)Or7kyj-1k?9Ni{`X>t%
zeM=6_-&s_;O;l&b*QvkNZ@puGJwM^)n)NZ$;^Y1(?cej`_n%LX<UgJ6u0Nx%|4(!O
zo*(i*pB~jOJ-5dHs{L!{l=Y2Xa~)Q1J+?liJpJ0!#yOEDDn^{!qpDaqx5rhnWbVUB
zL{+iWNA8NM`m_E)@T2<uEA4Hk8nR07^YU)$U9#&tyR>F$Gq2gDS9)S`^;_zH{#9y`
zmtwhCc6!y;gS#T0eyHlb|1edy-R}C?lGv;{C0tse&PzUf=U>&0-gPC{uypc?oWiL)
zQ@6Ev-7<;}dYU~`*MDi2qiT$5=E1grmysJ3LzBdED(baVxy@>(_OMEOPHkhA)|^_z
zDxEns=cVyIq4-x_U!&3j9Ihm#6*$~_P=3Yoq|Hx`sF$oq?>tetdVp_U1IyB92ZfgZ
zK0Q<Q+~*fU%O9VfFS1v@(krOEbbIyB#f!cx@q0IERqwg8;l<oH!jm0dekwZ1wes@m
z`J%NEA5@mEd$sLgVEtnLkfv2tFIVl;(9aKyn`;|CH@?n#`xdE1({n;A7QX*+P<VR6
z@_G8JuhxZrxS^_1eziJf-p&QzPoKKANGCcnUbLq9j_~vYf2ZHNV3qws{LSph>Ae-6
ztdpzxglDwBoV@UQs&ahL@iR74IS+i;bv07jRP)Ka3s<&=%Nu{6qgD{UvOev~iaid`
z<)7{nntT2km(}dGr-Pf0-kA~5xiZEq{*l(yv(sBnrF>RhDRi^uWN>B9z9*|q%;LSC
zZN8BZXs#OKwR(-I_>6Or#U5*gm$iHOq^|iX6waBwPbFmEEPc<Z>z;YfI~Dd>)qegO
zw$r;S7Y2E$F8>)6^>o?J10`v*oU;ly)Ek5(Y3ZMpTzbl@nAQL3lATTKjV{F~uKaW{
zMsew<^D&BRH=W<LYxNi3^wbqcLf*~vcfHyfEvsj=wTWMJXVlB~E~f0muAQY17qx$V
zxTv4^VUm#a;iDpEt=*Y9d`C}gRAsqpc}GgmXzJg#E}7ehT^nT|E;@3q;NszR1s9LM
zD=_S=w|$tzRekuV$+y-dksI<&uS(=N#3lLKx@FoAxi%htxadgv!$s}y54*~{A3Cbi
z*Ve5m!!3T<Lar<DkqgJFt@lnAOnex!<3yoVjEIG>p4S{+aoaiE;<a=5#V79&&|6ku
z7~}IeNW+bPx^uwVuj^X6dBP97_GLd@bl_`2;3KykFX|tr?RfDxY{!fvZwm}ttsf>y
zl{*{$+9BSQwYJ<oCZ$3^ugHc+oK>zh%j;3$iYbRC2Wa*#f4KGD<^|L4{9W9?tA0=H
z&(Hfc|Nau+&mmTwpC(?tes!-}>eZ(ep7zf#_kX<~AU(Be#cQ2KLGi1rQX^JMf9krm
z;?g|x-qwfNUh97Ttk>UnY`v)H-d|78*Z(wKwypN%;YDt$SC5{}D&<@DPVm=aqlLd_
zT$=eRwp3s5itwtVDhaDTsyukLXQIOjJH^HjPi9e(@aHowwOZYrqIWfNd5Qnrdav(S
zTOD3{mbwO1dBsXinHP4QW8rqc6)H`aW}f2j?8{hdwOg2X#;HXf2SOJ)*E22r6e{E~
zwKULi<&sHPTD@vDVxKNu@@}QigiEzkwSudHmIh6k7o;h_bb06|v1{J@)?AZ+b*e6_
zq%17`m7c)6jydxJKAUjPn6=UKz|}jxObgQrg*;5>2Rjy)>Mts(4PR8U@>!MF_ba*G
zmugG@FZ!}%*Tldoue%pDY}e-HwyaxM|3-MpxhuL|FF0yb;}<aof9Dq}C{<T%4EQc0
z<WX9_u<4PO?t+qq?`BNVySjGrCEHT|r6uuqeKc)XzFReAUeNY%FaOoQ*F;&fR-Fvj
zb<sUOrQE4$eGBXRKTBS0cF@qx3tbiF5o+ov`%^RBv!^-niS_=tFV54I8{a?D;rabY
zN2@-wYcofUe0rc|fKRi<FOvf6D@K;b6fbHYTPSwlkWW52Ny#^<rboH8)3DD(EmG#^
z#+_!z4sJMk>FvUgR@&zd8Cah?WT<{l$-w-alA--F$1GmK#{x6NA5Vyoc>KY@^w`7X
zs2=6cmxg^JX-6zB&5$g-60+4mZxYMC&O(Epu9E8dM&-thg<@@mg<^f2g<_2-3&lF`
z8uC7h5P2*RA@g`bgw*2?8+496OpfSL?i4ia6KOkcaY-V#@JV{3ts&o3iO5~HCfswn
zHXF?8+gy;-v6*8{$7YW;U6}&M|4FnTXq0F_a!{hZ{jedQ{O%+fPnpibB?UUiCLTLk
zUKjJl^V~wQ`kBX6Cmoo4J!a0shuQPRYqOqneyO>enNaa$UE|}8w-r8I$(>N~ET<)E
z%bs_SUY&dYM5uPo9p`xU?-$RXoGkI;i6&3MCzD^3ZR}6YpZs^S{Nvn{#S&5v@7`hC
z`l&?P;?_=HCjAqWWu$Jto!k4Q;z7<52lnUxgyzn<<D9Pk{o>J+SL?a1oeX~Q@JVJ#
zz3uF0)5Gc}uJBDr*L%;;(k8o1VZ&Jwm2GXT%U<0`;^LX@>zJ@u(?h3zdE!ND$%Srf
zSA?p*R^~dqt3zpn+9HpdYz?a!R|Q)WQ(Xit<N{Uto-ySF-I=rU>G=<AiU)(8zO&iu
z{L)YkF<F_k^1}<ygjdmJrwabppOqJ_%~{OpQ+K*ia@h`p;~C;&63;q(V&CWAT6rLu
zeQL#{1#<JOR`jRy&2p)BKa=(F(SodtPG#=Cu0Q>?XZ<s2e5iNEpy`n6&b`Y6)Q!5Y
z8ntGH-hJSmu&&wYjPmMri?y7~65BrNo!NN2mGzsI(&HKL7ceQyh9vbhS4q5CU{~K}
zks;(PYtkCXw<_qCxxMzU3k#;6T@`h8ig|Y6XVI#CKQk|~w{GbCr&Zl=Zm(Hof96Wb
zvUN6pTbr9%g4nNQ9G`u&-Jo>Enne-y?;jYfG?u9}{(a@-1>qN;#5g5(U21r26Fc<)
ztCUB~lE!xLlr1v1Jz^8ISFWDQzp^^Q^OfDa`pH#7viBE#5wV(BB{i4(t5B_&p7^!+
zMduHlJ=AxhipiMUI-0r7(&WJmZ|25IlL-<Y%=~vxh85gVidHO`eMR-%gk9~sm%K}6
zs=TAJY+@DHWl!5)$^6=wD>tr0uef|!sd1m!p$q*Ast4`<>b4|09Q?St>+AO9U;7<j
zzLLmyv9_tdAROVt+~#WXAi{&WF+W3LV*{Jqv&k2WzNnTq+AYz$IQxq27o}2pXHVPC
z)Ftx{1qJyhdoGMybpDV{Q2LCB8-h{(4{p9zNvQB+?h`i=$nchTek8ZIy<IP5OV*ja
zelMBKJ!5^>E_t_5IdXqL-;#MpoHxxAc{jOAia)NuZ<5yE`dTH^x?TGmh1>F2G&#S$
z%v0pCz4v3mio_Wyc`KGL{u^~K=C1MS*~$NIvpU>06#en+?e}g0wu5KC`)rYXbu9Yo
zu1a5~blsMRH(pQNT{KOl;43e8(hi~AB-uwhax5nu5aph@BTG?kU1UeU_%x15H!>A9
zztm1v%Z%xsCvo-f)vG(||G25}uF}hoii(r@BW~NJBp$3VeL;Gl%G4`W6IfYy`mJAd
z!t(3`p)Yzj)`#|LiKg3KSe~%oLEkYxYyYQZ2kV~tUhKcMeorRnFLBp(3-wQTUp?x*
zf`^%NYO=sWE}p(`r>8$vji07&&~inxZIab-gCKDUzgI$UJ?<V}{GwOyLT$Z`+E<l%
zF8MRH+}BFGUesRQXE3LeZ>o;xgO$97HXE-TFTCZ%;uqO*#FP7D!6By_ne7u)&P980
zo!3?AO}`kp<e8Rfm)w5AeGaYiI;O9tE&jEe=~$OhljOX;Q)?5PAF^19@3e3hSAYKE
z)f1+>b7GPjCRfi$<vGvsyy9Kf-UHm?_0uw5uex*C`T9M(Tf4vcEo$aoe&J&4<rhy5
ztQDT|_E6T+2QNbZt|;l8Y^+mS)R*d~x8cr7)vz6h!e2{@hpm<ppEl$DAvv)f8~XEO
zEIMcE%{inb?w4%X`dUtU#YUTpt&(m*duJWy^eHN8JLz}M@aRd_WmY?nn5cg@xO>&6
zFHldX-r!cN>aiUg+BfRGNuI;4Zg{UXc*cW6nLPywrqj}Q7##M^Vmtqk<(jqSVL$cf
zH=aIW+C4WWiQm*a&GI;#xY&&shlFOw+&G*j{%zyY*2y<s9n#DxerU37zv#I<Q$E`#
zw?Di6P>kned);$4aM}MUK`~)*7xze1-`})R=uNlWhQ84Ht?M+8t;?7u(6p83di=(B
z(M_`7YQ3-QGmq;$Hl<c0vhy$N$F#_79rXy$4@Wi$aj#ipy3cT)uVz?SP~c+Yg>}b-
zjd{OJHaE@J)48F{z|-B6V!|&Jk*mmaKZ@hH6t`eRwxZB$og;_&tTOtJng~QVF?TLC
z`LLmf&F<M{g-%hEdXGd^-b)d#Os4B&moOey-Q@ozrtG$p#JdQN<FVX=JJJ<}zUv-2
z%xsmh)o6KR)QrmxYfon`nD*4<L*ZOD&pQhoxx_Oj99!!YAk;JUu}OkO#0_;uj|VNS
z26MIu^aYD472I-CITz8<zPaRrOnZhx!3;K``xg%!{%-Q2u!YT2W@)`6*YS)A$DAH#
zI*F~=Sk!mNxp(U4l=&|<UV5B6%YbK-K;J<zC4(bQDs536?TN=eZ<TrY!k4*mp2>t4
zuFU+k84nig^G<r<-YoL#vO+Ioe*1hw7KI<D8>Dm(=XNBvu&&&E^I)6AJQ2}Flg@0M
zcWXk_(dY^PZuRgQ?$OwB>q~HPY5kIStmc;(3`&gUK0bA1%GGUo*!a;w+26uUvS0qQ
zOKPo{(#C^MHfzk~K0o7d58q|V6q})NaVDFb)x`tu?oum4?RbMS{F_Z~O>~%hvNVPH
zYGsV~x6XOY)1o*I3vma&Y;EPx*(A`}D)#81v{lAbor?|+qNP06ENg5JH3^91;jIr5
zb8Z%yc3Gh}wRM4tgf@4^feo8B_%ls7z{s6=BVSQwwysOU`qmXwr?*zz@MS++IE9VL
zJww6p+>hcgz7@Y!_qO-H&zNu|QEEv+2V16$N~4ta?u-RtyFo!{bjwMiEt=!F0k@z;
zmZDIz&XL0`RvCS=CIUD7m^*V#K5UrER-dKo)2#CCqC)FO6OZJckM8RkSn96^FHT*>
zYv{9Cq10^oZGpo)OjA?(OfR=dq(x7#x+Wu?G~;(wN?=r6)U8E3b}f3gn&nxf<Y5u*
z$1`$LnI^CCNm?|uQX(nU&MhKRNI9PWf1~5(2a!itykL#~{{6DomfmG`rAth2iB1yw
zyZmas*Ou)Qu2tmx+3P*=LFS1Y*OmF@wPyV<t<3Z-JA40QuF&>XhvzPGKmGS?S<IHu
zo%?T|>xyIix&LOL$-i47PFi<2EA~CS$fNQ7`JJ6&N(Kj=RE|Y=v>%+N_ERGJrh2EL
z*rY=l+@8r(KDMuDc(G6Jo7)fT{HSyPEw>BJy}#}9wHHG5XZIS{zg_DRF15vN`*!7i
z+1~xk;YkZ7Z$EaTNn81I`y;P28zx+v@w4pP{Ja#;ANePy{_<7Z%*7|YZOgGYO{a|O
z)7Kp-;m+*c88UaLy<>RNyvf^-F|B&`Y1yk8#X3t&m%0A7wO&-^Hs9~smQ@#B@BUbM
zajuB=)#h9-UI{gK<`vBKoIRTa+TMvBDpc9E;G{sG=a-MHYL^_6n0Re^mN)hnns_AX
z@CHdZH=DS*UvK9!S*ep3by4QNbbZ@-g};YpYcDzXL3U-aj9O`<^Af$n2^Ut+GC9b&
z==AC@t7F<+J|E&qknmydb2Jf<@L=v_JSOg8<Zw3VZ1ah4;-YbCS5rgR)(hQ8SLCT)
z-2GI?Ghs5XVa^uCKHh20g>N<rG~N|c+IZT@=FJuXd2L;l&bMNd4vBGlCKm@L_SZ+o
zUVr?$^X|Si*KGHlbGmYC>WkeCDg~F@B=T+u94|e+@JiQZrz?{#J6)M|+3Cun%T6_K
zHcU`?7wy5dU00<y+I8aWx6_{<)!MnY-t8n$xMrm8YmLYUMvALNeh8@=$1F+d<DQ~Z
zpl4;sa{qte#Q94Z4@*iF^mN(@o@8iD{vs!IJoCXr7T%gUOB{ukdn~AWX~HXU?sCIp
zK3<l0mmD6+@!HrdXzXt{@pu&Yh3j<f`z$|`iy*4ZWck_p_gRy84YzDo?Av{j$Mk#s
z)HRXQ6j;)tI*#yge>Ax3RO7RGg37We53XPxmEMyVeJnmtU1PmI{eNw^>*i~@U-s)&
z&-*PNFWH(H%pCmihD0EXnTts0SKdwAANE|@wXC$XIQ0M7aE-YS#a0&IQ7dh<UZPj{
zeX5%)Z;{ny-(7$FT#_QLD<~D-sj^mD87N)qS%2qTs#9suw%G4+ij0SAr3!2oG#+r4
z@`y3Mz3ji>eb>#ozv4gI8Z5r{-|c<hO|!rHzu&pXu6liD+s2sNa<dsz84n9f71%6o
zJYX#4VPk&#*wsY+8M%*NHGDs_VyD%WyDOHg>s_(rnfm5jo9o-e(;OlXT=*6$RIp`n
zqeHcnM@hxi`VRLMhv%8zR=dKOKRfVs`Pnzd=Vu47?-VWdykmY_ttj_(2HO-?p5<rU
z(ix94N^!_6X*{C%dCyDv|2wj_`L1HVXR>(OuTSQ;)vh|`xx@<bpWSvc<~HAK#?;0r
z_X`b=tED((7B(IU+?+elDo5Se#lK!zRjbg(==QUf%{F~rLjLt<wpH%jCTq-mimC0f
z$pZ^V=Elh;6K>>hJ9~Y4_f3KL`R2x1e|IfT5A=KxRpM?s=j^thJGad>V?M<+HRNK$
zV;$a*M{dlWpVMwn`ZdeM*=H4VT&I_e{+Vs6R~_}-V})|>8}`jUv-NG0WPsR;mMLs9
z(=!(Ec{6uvr`-;Ewc+hqf%@QA4bvA*nzijr;LITQodTtvTg-1yJGJ%gD#-vb1Di#R
z$1{q*on&e>G?^fgeQt7Q>5Xk^9hs#EJ_mL#Zoau~%RY-mdYye~w=eD7)(iH;N0SFL
z9GDwBO(x9vl4aq1Y5Tisw`EJ;-<hk#<^H@w>4NGak2$7BYyZSne_B1|oI!o-70YFl
zb{)_Nnm!|Mj_x|+wduzyA6Q+sN}j{M&Uo$RK+gvuAwg%2(gfz6-;yQ~JBOLaICDWz
znH0yFWsOH<Hs}5-u{FEB?EH04<E+|4`;5~B)}6o6xx)KV_2%54#b(!eH0m!syV#Iy
z$IBvi!Qqkg=3J}GRT<lS)1!``T~WHMwEjrW>~mYn++$xY%iN|rKl7_G^QrWxxtRwp
zwz4tBW-44f3zB~~JNNR;Yd*$Vb2DX)Z!a@m`#8|^QOnJ3HOsEv<<Xd*`E>!KyT262
zmqm>(`CuDTKsKm@ZP3!<JY!JpkRIjVb93Tz<F$5a4nKWX>+@PzU36GrU(c(;HUngM
zCdhDK!^PKTUYohXJ0a+b>c>Ml(RP=mGT5e^-@<mWA=!YJrR{=4(u$jAb2DYlKnhtv
zdggZTVmam>EA&=M;`rJ0sKaL(KFz#VAXU(_pz(l*l!p)4y`R&rSY3`va$9S>mOF6z
zfh#M#b?2Pf_SAT7y`0;niOf9uMfo>O8IBiAtq|4ZRhjqdzRC&axtXyhAX~CPwyf>(
zVmiHel1uvL+@C(LJ0%0`E?4<5Z@sgi@xZ$49t)<0rrj=CR(cW?SZT8ZU;Df+x}v(l
z;?*RJ^uFyi%f24yF`1uvcX?yfy~_>@CRcJkF<yIaeT%V5ZGE+M{u7^H@5-L$20z>(
z6?kQp+M*TK%O_?&eV-HClOFg}IyyY)`3tvKOtzk}i*`@^%sn?V*8E)ag_+lC9@}ut
z&Ai*Oi{+VXtkCqH&8nYfhD9&#E^b(;%p0QQ$K3eMB;fLNP;l{@zRPk-k19R`igMLg
zLJ<<Bo;pTuGf$+~N8LUP3a}`tf;9^p4{SG?5RtphH@++V%!;pDEex~@c{;q9R-f7S
z^7O}9mG?eff2?J^;1BE0No+FO84J|(q&&`m!*?Axe6v8|yDxD1fi)|<Rg0cgo=%VQ
z2AeJ<RiLx1@qm()hmHv-`OE_;pOxpf*0_GHT;TKrTUK~4S`SK2Uwc6z8|A<}_3vee
z1W8_%Z!>c*&kQpMMM1CeV!pW7Vx=p&?O#oLkq%0&Z%sj|wL0U##@TGI*10iv&ILt-
z>5-nO^eAnRXSeETt$Z3BGD#u_tlR*k{B_2GjlFD4(U}SxkLJ|RKDVU`oVsH`cJTJ?
zV!7rLE94AL9A`mEHCve1!t9bm0yD45HITxvdXPe2Py!5Fzrs5q>B>?e%i?)w(xZaG
ze$9|7=vmZwYC@)h;UQ3RV4E5E+9!LB<koXr<XmEz#24$8M$UMyJ3o`xocUCGebnKM
z1BO%BvQnLyJLBAzEzM`V-s|IipYfNANMD_x>w}dOGhe=}Up+DNY5IRhx3($s|FBQm
zwQJ&}T~QsAcFo$?vstxt+19f!N>&=Lb#;pZaa6Q8*YtP^nfGW1J~Ljs&rSS!!z(+f
zf-lP&4<tx=oG}GO!0cnDYNg9c5A@VWrAPf<Jn6u_6)J_NLHTV~GAO^LU2aGg<7G*^
z<dD<`N<eqbK)P%}(RNFA>Xo;uTAp_dZ%;eFW!Y@Tr^ahDO&&-%GdF6QOqh|g&6j`k
z?zG!qETU80*0SHawnAlts+MPoVVb~9`RvJO8a_4WD)CyVU2sUS;Z-?S4~pMw2E}_`
z`eZkQ!f2a&EYs`7dZs^T<(^KD`rZ?o%D19*;l`wMjD0&z0=TuLJkHdg?Ql<zS_~>(
zww?n;b@t*(yOP~iI}Ourf0}uX$#_A{vah_n7GjqiQcsvnh{ypIM#^Wmz4Xc63bJBf
zuNRZ`B5SW6qubN!&u^JF1LTFJCIKsDd0C!aa7bze`Iy(#`O3^|=T;oqsIA4hrq@fT
z8k{7if|G<OuSM8p2i0dL6CyrG{qS4eep})3Z0o8mxhC(9Rc*-a(qNjc+;zm^ao=3|
z%<TBZC8c*KTOF?o3O}j5(mTf_Y6<&IhQ+Q`J-OzK>aRNIxVs46kPKA$C9Qj^e?6z0
z%Fl<UEmuP0TwgIA_J~c|aZ$;3%Qq?AOUkbo2(FcjXjkewu&ra!wK})%Pm8l!1U>5l
zdR0oNvQFN0AR{O|c<MzZ+XdgGbuT^6oGG|g&W~M5uCZCr_0fcji~dANWxGt0_j>Fx
zH`!+8>Xfc4s%rI8x|g`8$+_iJ?cH=IV`AJoon8&5cIE1oH=n=#Aow|Zs;8UE&!wkQ
zf}YQCdc_p%8JjfgqS9WUmsv-ag=@Mia~)4w>{`{k$@0;}h>R^7`<-UyxlD>*a7LlD
zZ{`v`gYGM;Y5S-BW!rJBx%}Rhz57=gH9jpnQSfQ&oBa%0Eu1k$0rhRC`A!uca%5d+
zwc>~=``3cI_Z0eq%QOmZ@u{A>v9Nt}*^9?>WYr4q@dfWlXwQt<a_Ac8oej=yv#<TT
z{C`DRce7DWX+U2tpVo%Ej;duhoS*GTZD-p4HehYUn+u0yt-lnW=bOAEzg_dq=EEjt
z;)iyb2duof<1(M*v3)@o>Mds+sDF6q-r2ym_cBu+SF?*+JX#>+E_>y$VRiGBS(o`(
zzuj1PB+mNFhLe1?Z?+#+;k%K@#s1cy*Ewv3+v7s(loxq#?QNy__hv2UX_uYy*qS}G
za$d8SOyyOnO{d<!4M^B7yK2sTKHE22o#lVOG3fkTHscVZwa?=Rt8dnKNFI2_kggtC
zU;4MNV)}!dm)FHl`<VAlUMeKabgjl!`{j35ZF8~Lv;J%3E8!Q(aom$z&>~S$=y?3O
zf46%LIQPA;KhqY%mh3F!9=}z=RQSfXNk8Vf{d#!IaF^5H32%4su3UXRY~d@O+i^nb
znM>w3zg?hx<@{m3n*zrjrG+d~t*0GftkVB`B5Qv=ui=@kihY;AZ2Yo#P3^Y5S4=#W
z_ujdi?98(}*Q2*aI_PsJ@5(!!ZdJWicR`K&30~|u9uN9>J?|`=7#DGGi(*^0*^$CF
zJI$)Il~=b-KO!pi^6G<B>7*Sg&NAY;9uJy$J?AW+7<Xvy(%Va~7d_AEcsysVQ{&cc
zdsWU|s(x8|y*^PoX-1~AO!tfAm#Q~QZpR6|KJhd3e4Mv#OJZkh!I|v>jW@-V4BL71
zewcmKkWQMB?wsZ6Rp#<R_c80Xy(;?_PJGwdYIbD9iM9|4GnEZ3eF5K(1xdZEtdWde
zIPduM+XBbAq=R0kNl%J!RkrP4zfG|%Ker>fmv^OOlC#k1xTW>$r0z<-KKa`7`%yOT
zhc_}5nP%&_BpG{_*yn5%*c!G)V5{F2fvs*^1UjdxT-?6NPVA7uB_|8Fh>imV+!H0-
zglfg5vlMxpby|`)v~uul66kDHxoEzDQS8u#`%V_WB0C)7xhKBx6{>wNm8-~;tkaUb
zrj?^-vq0xZm5bZga*7?QH@N6zp%&S3AccG43@4%5<Fm39c`oa;BwJc;`}#JkEmgkr
zwSKB+cbYx7-Re_Xb<5oUPP|k6z##BtW%HZavx;_EeJkf(^mU8YdL8|>i4haKPpQag
z>$)UNZS`1lZmsFn|6gnEx192N;PCFcB)8__M|b!Ig-jYJ?hLOIp0Ti>ySV;KSz=>c
z--(cA6Mr8);H0(Tg5%ZPYmT-$1p)GtWlcIg`DPu;WA{xKxhcEz{-!rC5AoP)%=xc+
z=A+&jgT7a<cTFv0N|p^dYmjoaG{pD3-kA$$TP^(p#S_H1*DSBv!dJYfAfU0VFU)gG
zX#oFs8Pnf4-YxKyDZL=VW|bi&T)e-fe&*7J)1Q1l_g82cWApVxUr+tLlPY_9Z-ksS
zM~KiKYq{e^`}HE)o31CS7qnfGJooILP11{3e4fm0i6##u{FoaBO(wkXXXY0^k#Tia
z=as9UCSJLE#Q&9C+mpIonrnW~@cK1*hTAJmAN8*$X(F#wf9c35m9~|($}arMa_xe{
z(=#UZ4<dY-OLs49Ji;keVd3X?dSlNO)@hS>9T5xqzG3E-+8m{?DrS?axTHO7d$;a1
zH+%kNSNx%vBt@Rx96>^FHeYtS5)xBT^VjPxi`=uiSc5gjG3WQbJ$*s=h8J_2uE~QN
zKFp21CKGNrF!P^2nPK^E@~#81LDP3Qzmm(FysJNY$-Md_zCr#E8CEXexHdrlVtS(N
zO5-)UZ#dSfe~{&s;Jeh2Y|YE^?Xp9XJg?0g)x}SLOuNDwHhEW6=j2`OQ<uC;wq3b8
zT7Kp7f|e_nf7pFjTR11~yZ4M*u4De+rW^D>zc+J=y6Qo@<vK3C3-8R1dF}D6txEa(
zv3qM`zI)HOU6kK@s<D33gXsp_pWQnd#ojdg{o5Cf#rdIo6BK!#7w3oePGFI{EpS-b
zyY^LDx+0UhuFKh{Vvin9YW?v-#5Q$j`0{PrCy308%JP2f`Q=DT+}vB1-<1xpk@=;1
z=KW&fjAx=Ne(25elFGL@+W4Y(^*hsLGeS4Sul}H)cuwbr{snIXi&;0N*4IlOU2w-g
zL}CZa=KEbS&Ik1q&nbnpN#~2LlDW<iI`?_TewOuC-VaPx8pkM>_WfO=x1s-vD%-?e
z?R*!vXkMtbQTgj>)5Z7mj`U4F*Y#^a)bo35#S}SXwkWo7Py1yN7SVA)ihJUX6h*nb
zh>rehu|-t}oq{aV6*FT_?Jd)Fum7T9HSPD@Rj>ZUr@x-Icq*q(&GkmfWzYUuct2!O
zv$Q;%qW*lwqbE$tb7PWbY1e>;jIoaxXLY_f@aygey)y=fFRuQjEqHkDoP~=g7nc+j
zHJ<a+yLeR8?zoS**o>!#gf_?CI4mRn&G35b<QdQF4{4q$e`q2Wu;bmv2C3Dtu`?D*
zUtMiE$H87b{l)tyGR$*g5*AEe9o?c`)AvqK=fdGu)v8?^+T--zEZ)woe(_*y@QcTX
zGQX52n1-?MHaINczW&w5Uuzn>jcv+{`o#V8E?ldu=`36K!Ln`Fh64uT(=y&4l9Mwl
zNRaQ3vFJRmH>duPu(;pj%;q=Bd(KR6*g9wKeBmEv-!1k{Fj`Y-8g1%$b=JLB$!$9~
zL`Ck}aDY#I+K+p!wtEV;bSE!t=2}x6(D{|`Rbq4VuNyBGc;*yc5HYjPkcxg2!h3hy
zPJJ=4ptiF0k-LNV?>zrD@7m*S?b-Q#^YnBsoNiUEvSxao@qPi5Y`sj#qQ%WsFP<#0
zv$D<*ij^^GUB@@e&Acg1{RPV}3k~P^McTzCjowEx&m^{;);m*pw3T(;t_?>_#lIEI
z-BGc5#^U*luQL6W4M}Qfu9A4Mz>du_Luk9K;qromj+b|2EdRy*o9|S?<$F`BHrN#<
zwjI|y^YKV4>%3hXju^W?kl8L%AM#*Nv)7%%0RD2>5ZyRglg{6Kvkoz{`#yfSdcJsV
zRM6j}{@JsyZCRNc7aIHd@~cm3OQ*)GWNEM7r?dXps`9mM*L0&a4lB)Wyn1!Rt-KRg
zHvG8zV0}(0+vg9bJ*M5vw>-FsJ=Ss4nL6#>hN#f3ecLKK?@n0xFnYFP^^c`x&db{B
zP2aQePnoiCQKfJ0XRolJdnS#C-?RA#_-x%ab(Qe!PFab4%4G>NWw!}f7u5K_Ibdv^
zV_LrAT+5dGcN7<=mECZCbD*H-#zMU%bC2-JxOd9Fa=PXBMxmhPhGR<EjkGrxR!y2}
zuJ4_&c%$>&SvMaFY-B#nmejt>Oh%>bL4^C8fa~>b%t!c`UU$mAy0zi_orPAym(+^e
zduH8C<lo4AJSwSu*Mx6E)+<t`f~>gveqZR9tdrroLJ^U3Zzj!%+J4k<eV%th<=tsV
zF749gU;S!Z&6;($y^?%On-`g14a+S|^<|p8`jcwg!-Yb}I|4itQdX!KYK43<unPHP
zs21Xtlp|W-b?@5JNvp1%^*${earcmbMA!_b<3Yg!Gcvh^Ui%z8%oqG&<3W*05%(7r
zUgZDelw17w?LlW<ZkNWtt&947Ta$#eqxZ#r*(DZQv~`DT+3duiyxGZ4dC>_;dC^Hl
zdAAQwxwEZ*<<7S%%eMYFyy(7W?xii;w(P0&t=wu==DSueETsOb*z{@Bwr7R@-n`7$
zd-bfX0h49&_Wq7`o$r2TZFFe*+|;9{M_;l1Um&N~*8a7i&}LP;_k%dw7@_d{f6p$G
z-yJJs{@9nv{E@HF^~b()dB<nh-03t|Ei}J3<<QoOV5#q4CpT?a8JbyG92OmQ$0=3f
zsm0BJyVcx<k)<!iU)+1KxqcBpcVubh>Q7Q%GF+}j-;J6Yb#+0yvZ<r!qp+t_U$}<f
zezjcGH|xUed+Xg=FCT9@rFG$Y+p2=IO|!N-?RV3<t>v;ddc{0#_xe?hHrEU9N(ssy
zH<mS@kfpf(^$y39y*K-$y^fx4?yJ5uVU5}4LfMypOOBUrQn*?eo4bOm_TJ)&^{;m5
zg&hBwbG&q!ltz*4^~P5g6JPH57IM6ES6{aK_1SNCYzql?xls6hMW1k~?Dsv2JLkXK
zkuJ8x>fK7-&_tH2g-S1W9Ov!q6TaD3ePu$%f-|LeoU>M(@;Pq1AWGx4)jPY`{B4ip
z0(>Vr9`9Xpu6@nx9fBe4rx!eKi=OamN1|Csee1%I<0lt9ZVL#XbEfRhgA=Nu*OPw<
zm*=ec#BG!N<+ZCl&t|oU(Wxu9<z#=k?P|X<dX~x6%{ffbualD_?!GARs<XW|?ZEc0
zpA2QzPVaxbyXq&)w`-@>3wOlX@wdNv`nhmJ+&&e)E2pO)`d9Vy(v6_`myd1SX?OJ_
zOWL*5#|;+8)!X&G{Q8O0XZ3Xdq^!L)T6@<_KYx5h)z3*YLiFRggkL}PPIjvGn|)x}
zuMl-1iFkea!<&A|ge4`{=E#{{IsPoUwl>Fe&FX&L)``5Y6TOptm5n!><bu|{dueSj
zxfjy9?)^@mvt7|wU!+^~)-8VFUKSiWGm7<G-&X^juCE0;CG}NNTlBPb51RY=m)@Ly
zRW;(m3w77|k+#3eu07p*U-uX9YUM2gv+uuXUeuPjxbDl81K*Cdym@%Ey5-Gc-KTzw
zWL1}6m^bP2iwi%FyUuTUvguNnLhFjPYqb6@+QY-Foaxs0`hM}epK&Z^`s;E7Lt};S
zWQCh=JFuzi*^g}-E6dM2->tXx&fT(W&kmk!so5ue`_d*&+1h(HIP%_-($$|5ri$dO
z>}3f%RwWT;Vzxf{(BuP8Dkew0K0e#x*pb;R$BxZbaXUWSrl;Th=L~^-ucVkgnyr!_
zmzks;n<v`$bff6X-JjM}2p@h^A$)vJ#pDBbDkdM<Q!%;y=SMYp?nl0!HNyE`iS>zl
zG<#h)rn@FY=D5CEw%g*~kqs)}GK5wq*~+OMtE%zo|EglBdu{TeD-r%u!BVri`_--<
zJ$owqw6}M*_iFFct5>gHWw?9c*+u{6Z#<KgaW8>Ocdgbe^R+t1&zxW~$j=E{^K8GQ
z-kA*x7FGru6f(V@J124O<l;4@MUAF@dLP$LuCI=G`@}BHvQkKUu1)Jlzj?<3!oL^g
zl)qiKY`vo2IfEM~E!ix09$-+P{^I=;xnDbHsc$&js=960hIU=OH_4m1)i<7O4ZiW>
zQ0AJ9jI@f%JFiwhQx!GkEa@yX_|jQe@TISi<4aee$CsQ8Kg+hoYj&-gd1`+3kt&H@
z<|fZm4oyB#f22bAa7%^o@eMa7SnN7l#j@*ImCCPURW?4|Uw_PydhMCywMM=5<i>d>
zYNjUFm$uHEFB%>;C2`Kzu!S}8VWHDi4b09RGEh5r$k6JXl7ZGarH{UIjvoGC(cLe|
zYp<6jvngbX*me&V@7~CX$2&@wgtkWA*!w;3Vm0durA9xKgctQc<Vq#}p7)eDUBr3V
znfqZyf+Ev*U6)7mS}S&BE85MA^bm5^dFz?Dp>>I2$2*xmzAt*OmYH5~l3<JAaIfbU
ze37o06%pOheopMs;_a;}7f(9{y~tF|{IbB>eSz)XZm-(i(Hzd^yNo)^Og>zg#%331
zqU0!aK6An$VW}k_XRw6UbKYU)l*nt$TKJEB;qsG-tN**ckmP=7k*%mzuDHJVZ@<&|
z$ZsDD4m#DuY?+{<7wN$@U00<ynD^wlhdcbs`=m_6U!J<MVrQD7%ynIt2W_n$ceV)d
zx9c1^uE*_}w7qr7hT~3`Zg`jX9kAV1d}YN=_wZ$IXBl@Hb)GZ%P|(U|_pJWH^eUm^
z%n65Vq?T+n{?xvl=Y);T9N#kxIy)-QD(1!M6n~!O%~QH8KkjqJ0fYHAJ^LzoHw&~q
z6gy;i$%(~J@Nj@|XW+D2o1Sd~^2s_Xog2j_9kSr|OlG=kDZ~9D_KLxr3`L&FIxWeY
zS~+|+3Usa%TVxc-{n7BQ)1)09^I1&mdGsSZq@H(nPk%Z4I?peIEQxuGwSy0T(21RS
z#OaqvMT85pe0S!ABSKP3HcVm5>``yrRXJDT8N;&txZ|CjZp|#;qB#!xaX;LVt;kfa
z<ML=*YsHRKMZ0s+9zxwGjhE%eRqLqq-hHw&#C(17m&7Y8Zn}a(fRFp(jXXuBY+aZ7
zM>AS0Eb<iX`XW4p?nirY{nk<GjVyW2A+yxly?0h&Nov-Wo~7E8Eu7-#%k5j<2%Bx*
z(9D)8(^GR@h1tk_{p5=sv$tNeSALgRTCyVZf#wHW)*9A*iyeX*4`2N0-W;-4%AZ}w
zCBZ&)W?kkzMX3r4kE>I!x!+7yWZGT7^3<2^kKZmHIGnaf?{D%!8EYMv1na=a57q~F
zXFNz$4;8=celtmtse0w9FWLdyFCI7?wn}eZf6+}R7QMi!FU70lmNt5wU$Lvj?vy3B
zpoLTDvi)<bC$b5NXFPalQ!22MzaA7#0aIU=SIt}4cqCJ*!opSd$mRC<R7EE3m8ZVc
zXIuAQJa9NrYDvK~tB&>j`wuy>ObeX)vb-#BNn^XOiASPk>4YVAf26nte@t8DvwuUe
zEw9a*#g1IrE1OrmY+dM|JgeZ#R)MW{TLn7*^>#9RN!i-i<>Ma~`@%Lz!bMhPt#h51
zsoISD^CCJ9By&%!aB*FhzOOMPrRv#h_hylKg7xed_rE$>aNa3IDOFKxq7oB#-K)=v
zpb1PzuInqB4P<ZdatCS`a0~A6mvuY8etYJEPu5aP3MN}|TyOlU;J05*=B}>G0_nn}
zCi$Che3|+8W=wGMf2H8We(k1{g;ivSgZnFks!i{_nE8KaPB`@WyPf_o6P82zn|SLM
zC0Wj4Ypc&s`1epXYeIzJV~@v^{vA&(V?XXxF2dA(hpqEyUUR!@UUUD_JZGWPF>HTh
zm)hnrAJz=_XJvll)Z_f9s7JZCc-_5g`7QP@`-1NtzsuJ7IIp?=a$a-4ah|hKdMw-D
zXJ6OmF&{Py_h;RFtWkpf_(6&G0}CbEk35uUkCHlKamk|oz18wxb3fg_yH|0;;oJ!~
zUYb?hcxv|J##=L$jn`8zyx%1M#LPhFU*qECO`PRnJ<Z8!J<bVkJ<ds0J%<l(k#Lt<
z9`iipzx8fc`vNHzv0c1E_jmBhiS6LEd$ya`^Gvbim-WnN3Z*5s?XL2Y6pD~~oDd=X
zIH0q*$657)Az$ZJ!+Jjc)k!j$b4ury{yoic`_5n04Oep~+<0WR;^>as50WR}o^Zr%
zy5oPP!v)(L7j9p@+@|)L^>GUcx&5!p3QBrbIxleUab8s0bJ%&egu9e@OnU5pDOdgS
zdd@E;(kfLuc;$BO=C#|klh?DPRPxLE1e;RR;QtcGgs)3}{u(L&@|Aad{rs<jhJ1Z?
zhJ1~QhJ2k14f*(;LGCFjZ;xW$VI7jtbX&pjUhW5jbGaW3ujP6q_1vCtsN<NQv(({O
zw!gPk|Higouur^n{_1tl+PftsPXj<yM`6L1{=yYUb9`ika+6<u_@K0`&t%<>b<%%#
z>(<`=tGeM_?t~ZbPHp*ecwv2BUUUDtJZGWUJ8dFXyLmHtiet@xiKol$p0%>R;@QO2
z>w|yol6+<rf6#dSLPNejMMJ*EgNA&jwZ|>4%+gw>n>nW-*8JD?GPzy9y&p8*R=9Ys
z^xPNWT^IA3`vdcwg_Q5Incm;Qo5@ocYyPXeNpHujmGycr_N-oi`PZ(UVviGc)XP17
zutV^%zz)gB6LyF`{!n0jY~r!P>!pk9m0!-;y`SaWE?%MZJ8b;ociH5p-)U3P+s&&P
zQz(5&;?<74CV8ekk5?%EuspE4Z{M{x7QUkv5@km$EcSI5a@5E_o?s#L_(Orov5CiA
zkCzJnVR>X*Ue760CaqGoi&w5}C$C-EZeGv&H-*wcH(r}9*?2ycqptCKZi2<TSJ}(f
z&)(PfySZ$IjOMX}7wwNJ8Ymo7G&DH&FtMUXx%c$C*KYO;Z63bUZ=9Uhd_;5m!NZ~3
z54!swk8OLcK4tHnHj%2`yqRALCBGP-Q(vd>)%e`<b+6lAzfKG3X-+KZX?{F2`RX!R
zrt`@%Li+VdGIF<$9hrD6^Lpvx`Av4k-=}w7&Yke$iJhvP+s=1_zcgPkd{>+#@xpA0
z!HHat<PE16%wJqqC@nE<=dY+2uhYDGniI2nnv=_VoD-6IoRji;4j-N*;VzXPbG^6j
z(ylvq<C(PYuysz&Yi>UXa&~6y`*n()ym`)Clkc>dsQs@$@FzScu{q99wxFo*ub-X)
zqn@7O#gnx@WuH{StakXl?zgZ!UZO58@%V{Q@SHn`Rn)&1Ubt9q)g0Szukq`xKz>+Y
z*y?Up{pA6R^F#OUmEnH%Rx~0ufBli|U%yq&2+LpJzwzrgHN$oH?uk6Ra(ni%JHM)S
zdcA-3HuXkOzW(uxRrS@Z`&MrcPpaR$SMJ-@+pm*@_wMz~S+_l0_s*-gzK^qN_bN4d
zfBj|@)ABRyq*MNjRJG^Iku7G@`AnAVpG)O#P54>0a@q0w#_|7Fto*nAb16I5x(h63
zO81U#SSY90SNgSpbIsaz?<C$c_20a9SUfvEo8{Tj*(zzrXWN|VGXFV4vOeD{$!(8j
z>&lPIOpdw#o9f@4X1{uc)=FK!2QE*#FGU_IXjOZ9w$Sub>l3S>)~6G%Ur%lPcQ9+s
z2Ir}lUGACQShZ}&u4Sd%wKrd{F7Z+RoF{hZtckqr&+Yb>>uyMLYp>60Jt=l=OQ@;d
z?Fe7(nEpLI8mISZ{9m<Y)1Do>Sj?5y)}OVC5}97t=xr7edrxS!)J$e8?yH_x^G~ck
zlzaN%YaXpV(ciRAt(rAG{Nb{_T)6?EbMjKog`0*t=8K;T&$^SJ9TTsA{908t>$WSm
zwKwj(7so&Q)!VNdH{OezYI^<l>_hLWsxSZ8w=Zt0d`)=1{^5sJ)s}o$Z)+E9xEI&S
z{Po+a`acWq#mQH{e(Rg$zjv?Zn)Tbwdt<+TUG*m+eeYf#Yo*uLZ%y-lzE;ZraH;K&
zZhcB}@rU+zVoPVfEc0{z%rNn{CsXlVwzkTN<ryx#oI2fw20HzP1vwps9Ctcx6nd;w
z@{U{B#Pk>b*dh4X<560Va%;9>pNX7{(cTVcRjYUJ0_ry(cK+^oWzGkUV+TK498>(D
za!m1~$+3qIBYO@X|19Ayq@N_Cxuy5zMw44R*OxTDe5ZBgLZ1BNgclF&I$YGZA3W@|
z{orw>?TVd8^PIW7@3fgrbGzp#Y?yLy?xl?n`MbKjw7s>ov%SCMtG%hRk4f8+GR0C)
zDz%Z@E%MQxz;6ZT>NS2_WKWsAV~+mnnR<=dZZjXA`K?j4dtJ=p`pGY6X&S_we}8ew
zj-66}+#-3u{^9$z`y=NaBi6Rt@6C0p)Kydp3>F#4bZN-6D|bb;yNh(*6+C))V#lM$
z87`iWB3wKlR=9XRZn??PXT8kTS5IA;>+s5sLxon0IAVG=glz2%MEUD&mAd-h395GH
z397cP6IAWhlb7ff*pV$4!+Y)AGrz2YvMvo7S>-N=_70_sc8e-@h+9tUFB9|^%2oE_
z(pRcp`tgp9u+aV4VlxkH?l|<pWKn@lrv_8Ma@Uc?9giL+x_tbout=rwKF@{2a=`&7
zXKJc!JTDS-BcCf%=0v~I*ZoQLTh2<C%uL*%S-@k&+Ss^E;o@--8y-VedFT82Ay*Xi
zS9n}F_+^WWdvHc`qT3497g-Ws5^b}Yj&lSHNMv#eE%rHhm?8Ls;W3V_F73DOe%a!}
zADq#=(QU=j7pW3nlE-E;9j^!$n32FGB<y?euuAX;!<!<f3{~rSGZ!whTsEsKuKtnq
zTkWfFtMA;H@a6J`_Fif6zXv8{&3>Gh{J28*;#r;ryuT#>2DFJElS}2P?X^8+@oRR|
z0jA&y5t&?aVKbZhuewb>RWkY3%z4u?^0{(9tX15y>e#IBUstypJH1n^XA*BR=W|bK
z``l}<lNF+{`sC~@l75qS?b4sT>wsX;^c^m*>gCo=*ww#!$-E<`LH-Y|RxU4We#O>T
zJSFz-;k-#!hjoLV@9=$<b=Ofg?17G|_nd_({L3|N9yj#zd!(VdI@(fo_3z5Z<=yvI
zO}<+4Ye8Wh!;70Gxfu>GPL|9K6pP58qG~3Q$}io!so!_u$2l|I<t5#7I;-7w@|x|J
z=i0#X{cuG6*@e-E*aL!Jge}(Da8|=R$NZFBnMA6*^<hS~&FT4vFADkhaNJ68wKx#;
zh3EFwGnRKXiq9CFVlwXCbVO(Io5XE_-wcmyOi#|skmFc(rm}58+L@mZ)mVko#GM1h
znXLBzkGr@#(baeQ^uxTNo1X?RzQcC;5U;-T@`8k{Rez3Y6xZvRoMP(k*>ogn@tefX
zz;7E(WJ(M??fBW3JUfx@wv%^SYj%L~lTSH2Bh$`a{CT)wX5y5<XA+rHSluL2J1@H3
zJS?&JP4b#RzoeRdGHWcCNv4*rtcfUpHtXtI%V#r~4!`*$m(@G*fW_i7h6gpcdCX4<
zP43y$e$wscagoJyj$El>sJHs-mC&YXaOTg3cWbV2a(?O5c(qJ2&|;U1NaH_2*CYp*
zj|K*den^M}PLhxb43ZEEyd;rj*0gwjX5i=l8#)dd7%nQv>C<4Et<-hoSjVG8XP1u~
z?G~wQ)LXP<gV~}b8;|9*ID}tbbj{$tn@HPL!PewZ7r_Y0K$S2T5&q3eUH$b>1yws!
z1yx&@3aa+1rYWoJnklxmEm9^>Ld;dfbgPR<W4=<?k*1DEiFGa?4fPkP7+&YO;9MU3
z;o@PDNiQ<FG`|?NnpD+ClxydS?GjZtc**P(CVr2-bY-iey1~pVS64M%v22^T>p(!z
zbP1<da%_`#Np&xocf=vcKapYO@{9B9U$L2P4J<Pa`aRLgSw86b3*T2vwJQ&=5|vQ(
z?pdC~|5zjPE{BF?r{R=2hcdkUlCQ9rZjV-7?UVbJedkK6$txvO`)b`H6V?Y#yOBJ_
z_Kn#oyRuYyN0!vmyGdOSGdd;RcJh|BG3y*$V-Pm;7T@AC8}DkY_5r0c(^GQWBvR$;
zXCG!{n|aIW@I|5f5>L%;NbqeC`FtoMH7mQv=(yTqoec*yyw{kYlDj6ED&OB*WSN&T
z--<PL>dLz&EK^tB<ucbiaYanBYnOn**XCV5x~o_C<hB$pnKmPL%IybbJ07OakUpjr
zd^>-N<vWSg#@%j_kLCq_E4Z)myF!4^>ckZ>iN5-2GxDe0evr6hjnP*3pAEBDX4m@3
znP0yCt90?1k4H4PZA?!Io$uMy{@m^6aqh)2joW_ycG!GotNq!6Ek}G7uetVGzKu!m
z;P%8d>;8WGxo6ePec!AlQXBi+A{R{#{8n&l#rfloi(?v{f6C=gljL5_<L<r2<Wz2f
z#ht@TXUHAP(y6IWpJKVs{8UzD{uI?dsZ{>sJ)8O;yX}-w?<r#Sd)D5hXMSAMIUxD5
z!;a2_HzE=>s&aJB_xJWJI_9ST*h6>Y8IA1+XBN0mNz9a3y|M6`M)4fuQ%ui$HyvSJ
z{N~}Jz;A^oHNqbh?GQW|5t(EWm9#N1uUY%yCMoUk!%y{37rt%YUf&hRdre&UOTH(&
zcK64tzxWSMjlVK&OWq}uAA4`Tx^+oE>*~W(r-gQW+c?*#<flu$>TxIG7q1WT{EeBh
zct1BcPeEemIlVWD6S#j%ygB5_Q}|G%ZC8QRVY`jrHQmFHpVL|{`R)*pa!iD7bZkVz
z6z<ioYq?jOuH#<qbG&u3#PdU%^)`QQG+y5EW``f^F3VS8-3zt*c3nO7V%6fj&UbyA
zUoLEK{`KPJ0?#i+7euP8Go)(mF1}xLF7WI82OAsfOuw$}*Xek8$o<#vn${Iu&i0q?
zmvjq>g;X3rCGl<&d-ASRieK`4!Yj|8l6ZGH)F||6LY4Wt)invJJp3=UcCIQC*gN||
zeW=|gqqgHEQ=LLBC*1RWr8Pf7@^J7jua&E09Ns^Bsns7Pd01|jmw)KZ`EI+8?KL~H
zp?^=)mz^)&--*nb_1El3LBksV`7`34_#LdY%y8yuKk@5J<V){&B6Fr3Hd``Dag$xG
z*RKiItm#jxrIRe2t}Q?Bo?oOMe|`JyHi^E8Uw^Ku-}ml`pJ(j-Lg^$6$7|C=zJ66H
z_j>uu@0P$}%}x8JtXeO<GdSvc`K>mIa}&NU4Xw4c<C=D^)aC4yU)edFF(+&a3{%^>
z<=Og~l!};sd&I7t>@oK-!%FTN|5rlno_7x`27NF580_W$h-u~Wf_YaiTYT5N$SX5_
z#o<*tPjo_iz3l7HO|Uwi86^Ir?~1D5#9i%^m%Mw-vQoWp&Xr&bw^x}mOLsXYNMGgE
zDztD4U2N3$er1-*w&3ft+GVG_Nmf@?-#B|x@D1manK?f{{8f6A^0y~&zr}-J$6K`y
z^Ey4<;kkloyB(jr-|B<Et@DG84$FEy+u?nZ={r~I-}Tqt2OAw`_NosH*Hh)T(VFsg
ze@>mxNgjWVnU6J9xoxzkeBEDH>vxhzUt{Ltx9TlA7uE$_%io;sf09R5W9DLIRqhh4
zDPOHGvjrI)&hmQpW7;IvYa*w9osG%XoS7)ATD)d?N+WOUrn>6OZeD3OTu#c&){IQ>
zUbyDJ=c_QUv>R?GWmaoMCb%!GU-N(G3qP;48?Gm1c56l^oL9eiaaH@dIH%B^QVWaT
zsE0H%v$j93+9SUtWol}m(eXqtu^Vw3uNOQIGCJ(y^=yXANv6r%skZl)2N)d=@p?AH
z?IhD>5I;S@=&+C1vl*@@nKpy@;yzL_{ohlkoY^>K66-XPQ&oHPm!-71)=xRJal$0l
zeVS9gR>V7;<oT>QGg(fR`;6|CuNCv1PiE~2-n5HNGx9;e!ZpW#J9>q!7V-*nZlA>Z
zP4raNp7%>rwyG>nF}<f5nGk;PZe;vDch0Gaeg{un6aQY}BswF!;4i1`y>c;^h4s?R
z)*3UDtyNd&tO~gHxK>-$IA>vsX?}41rURdvroC3Q+`pi=%0*8hq%WT%G$FBZRr_Nl
zr_>0a6*Ar&p$VCdtJ)tKIi*JUt&q{?2u(<BT-E-N#VOSy@<Mu*fShtj<73uUa!vOI
zSDk9&ocfq!A=ey@fUh5{U03id=bZYOXCc=d-GHwbtld}eJm;MHm}wzbjLAICXaB{b
z>!TO7pS;KacFMzb%|$Wg0gZg}H&@6x`LF%`YT}i18};3**+pOEEUnsiGu3w8ymCHk
zjpN1aq7{itt75iqbz6G;yYTUST9RdNRu?5{Uwyo<yV<CuG@wuW+KMkV1;@R<?kTwH
zD7ns3<2Wz7=#I>#RrhwhUBEM4cFN;<&0Kf32idN#w<!o{Yv()l@rL77s}Ih9vyVka
z#jdk6I)DD_Cd-BL%ae~U_w)7*<(B#B@;_<I(L+X8Wqw_Gd+}?{`!(&XUu}9nzNoUY
z=s((ARd%$Z#P;~y<3f(M=1DfY=FRr&=XrJWRgXK{*EuEE9<zSE)BW*9)jMn3x`6X>
z={9u%=QY!9PcEpR*KQ~md!Bi@y6BbS1Cst)E1qxJJg+3+{9TFub<fYK_j%hDOWrGs
zF43JH<Nj!7VBw9cTJt1D?_0h;*;1@~Z1L-zkG`b19d%5%nHgAkEYd$MWJ~F_$&#Y6
zbLX8|aYO#`#jkTpk4=^om7V)F#m%*Pxw^5|H;_x8&-s|*cJ#AEegC@WGcQk;6s-me
zRHoa`4E$(uZ1L;LC%c{)Pd{&VeXmmS*O(Gn&lI<#V&SV@(n4YiKo(3-w%Ik$Z`}lA
z@z28HhmEg)DxI!cteIaft5K{fUwU=Y&I$25bazcFTgRNL_xNsJ_qwMuUOUX}-m!W0
zv@@o6j;5ZBIb?lTEVlkMXSmKx?jO@`FUsDTRTI3x>c@q8QSU3$rl}WPJQ3!*D(sv5
z%la997rU07UA^k+zuU<&Jh$yGt>3gzX6=<#_xY~g`oH*txbde6sZRIb9O9X6XS7SL
zXY;}Qp3Me18@}*!ABum!fQLJWag)No{FoVu3w14~b=L>ZX-(Xy>$L1~{G<8>Jl?sC
zpA`P3>YdqeM@;7Y(fvy24sEz3rg;71`l5igtJ@e{1^#c>J7aKPY|e?J`_0ZB+Hg*6
z;f0UoCi9eMOj+3c@#qqPsg8f%#zw6DZoiF1z4+ty-pvMkHXPM2{c~P+%EH;Y4*DJS
z6S$3ewz58d`bb_VJy9a(b)x_A`g>ygmp`tzPhaf#BVBgN!%3-eXZY`(Hsov5o_5ku
zVpsUv2@hwfZaZ^e@4E#&<~oH>+uxp*V0T{L|MEeTqxC7FN`o}(=yTy|C&~%~+SbWm
zE_}-V#@PGG&yTn63RN1!RNqi^_V>=2dGOY0N6D&arStq(?F{+a_Dy@x)OhPWpX9IT
zq|-c?8SD8@8C(zwubXgh+n36^p1ut+0tW?TbK7~6b;38vyVzZGlsp#s=u`2;{{BJ(
zpA9U}U!PFFrFdAyJLjarr;~iA3@!<U8;SqCBEf#x#Jj*#_GgK8%8bNLT~Qai%Z`$1
zk&nEdD$SRDoG>GqQ*WvA{Oop~&pI2IHlJ8-p>eptJLYA5%#*`OGCbKj2bY#U+5Kih
zV!Ddi)CcC8E7xk~hc5nqZ-b$c)8UZR4HIv#aSY{qc8F(k?2P1T+}vkM5<4aJ-X!i?
z94qr$HY8zgv)7u^0RC*5E62C<%{r3B?wdHZdD+HGUSH$Omsx5Y4#^H@v%g_@tM#hQ
zvqLh6V<Qq;xV?Ky66^UF%e;Lw<Iw`9$uc2Hi<+w>o-MFDW}PAQSjMDvBj2oJGy1>o
zF8sX3(=+(*bk3=BYCM?QqUGE6U!BFyIcI}F+hegqg=d^t`l33H2y%biFqLoCV{0jn
zIm;W5SV~n`cr(kVXG}PfDYc}ai!F1G$-lUe;H!(wEb`BO*}vT5!L{XO^`{CxU;e-<
zw@@qd@0rHXW3La%%#Ddyu$bGsq#%+1ZR`zqYjM9tYq^(yICNDv)2953=5gNR=VE$A
z#lu$Xi$AM)b%@D7CgM>acXh>^Lw0gI3xxP%En3<2<{YbapU)Lj{zgY=$MZux<+7#T
zF~tG=;j&kbi?aJZ+SI(P;I`xC7r*Kw?@s<OKP<d<rTmPvj0d{9d7)7kZ5p52_kA`v
z^Lt}m;nK^K5B#bSKD?_!`1q}g$p>~+Og?g;Vsg9VM>YB8$G)CC-R4UTZ0=1t)^TI=
zp^aMGluUzr`pj3BrtIlV&e`Lc;Izk6Rqdl1|Kvx$c526GPnsc=@0FOfN3&NFH0c^#
zpW~XfZl+{@koMjii#>DtzgFB3d;M{v>9xg0za#v)q?2tkbAA-wP4@0yuGN?~|7e87
zucK#jL$kc3L|!LK$h>|iA@o{MLhAKI39;87FQ{L8ytsUgdS~3mc_LNEsxG|{EZ4I5
zbu4u8vxV<A%C-I5DA%`gqg<orM!C+D8|6${k5<*!`1E{LF;u)Z`OuFDf2qfh{8|sp
z*pYSRiLuSK!v<#W0)!Sn*2&71PXB0VbZ*j-4hwHC=0`f2Jb%`_o9~;Zvv&5MEnD`4
z{atU=C~m(+p>4VSn%~b4vwFl{vfpIbmcC8Pa^8&z2a2U9z4)Qb{prHVwwjV{3srXA
z2;hp%)#%L=3#p&$;4hu@BK76UtgGGkqa+VYMNgHn&GAUs%j>DLS&{#A?up}E(q2hR
zd6#ZD)pjZ3@8Vt0IT?eZTt7?IUR~w)N6|M#Uh2AAhf2Zi9b23`9-d1tsg>EQ>n`*n
zSy8A~_lUDS_s5S1gdBy;byQksi%mNA|NM>U(%TcCM9%YfezopZ{oPPoW7}+1m$VcY
zw&RAz$KR}CkL}1Q_1fj-IWh3#lJ!byaBywL+{bnO8|RDb{;jW;FE%cAUjF;YvJbib
zoabe^4su6-<yhL%uh;otva)U0biUU22C`la*ZEYBNVf|;T<hHV@!Ud{iuVCQH9vaq
zoY!i8{9nXSo}vD?=HfYP1i$>vEla$2#p}f*G0tBW!AoDfxgt?zy_I3_oT!d@e!5Ql
z%f%M&4)(rqcIDC+uP*&a*l|Aec8!V67issUoew|sMXT(1>ofJyg5YF}2UmXZ9a`DC
z<INQ%n}RIEch*~3zR!v3+AX$rZ%3tc?V2;fo7@VHuMD&)%G$Gkmq~pco4kc-({I1t
zNArS{cl_S{Mksh)=kY5Q;=1uN`VYhE951Q~-}%$~Lpon?XNz&%+y`62ms>o&D(P4C
zl|g+^RmbBv+e3!y<sNSc_c!>s-EQvtd-bnZ#&t>Wd-9VnZJ&PSzUs&aS2e||O50rH
zY!3*nmrGh1-oN3@>f;gbt_rXDQ2$Ev+K*M!AMRRT^>bayQvJ$(%8^gK?GBj6-{&m6
zs_wqy(d1;Ak0&|L{d^*lzE(B=*Uscf{lu+XpX~J8@NN5}_gt1=h1!1evMB#y>zY`{
zd{|?@;(?6)ibo{&D;|p2uXya@{R&>rV?TpE4DUr>7J0pg^MheR(|renEBOm%JTW)$
zssAR;<nvM5$>*!IlFw)9B%klnLT5fm2c7wH&E#-ZK2wdwjHl)TXTHfP9$;H9m$W#%
zf5XYu$8Ws3DtzYaE6ui-tB-He+aeV9;`M^G-h`d|9M)vj2$XiSRNqxPD!2W_hTFPq
zH=c?)zbWY!EZcEZ;`ZI7rrbQkqe<y+19gi3P5Az<er?{wgzo4iH{OUzn(aKwAbmHf
zgE!CcP}O#c<hjv48?Nbo%DfX<&Eq}S{)uhb*61gjw;PA<+fbOL_{?%E$LG0GJ*|Ga
z2bGpjOKL3D5|2_Z++4D{lyUw^mfLmduRrgMIaIgaZ^Mbz!Dl|a;xXP^)esqHdn9MQ
zTw-5%zv1=O>NDz}UkyI<Jyidw)cy07|6?Cq<rJ$b?RptEH*sV5bKaY)Ka1`Pf397!
z{#^KrxVh^u?5*mWy6;u$jfcN2-#=Kr$Le5K{3ph;Urz*f*A%tfwX;0tFn>-$zrWwc
z>#>{EK4#p~d>u3IHH-S%?x|^eTbS#Z4~Fe;OlrS>V8h*fjtyt?IX2cG&F|Q7I=^G%
z?feJN59U8eKNNjgL>(MW^Y1%sxSzk^#!GX9Ip3t2Vm?Yc-T5r7bmzNtlFbKcA)7DK
zK{lVRnH(+z#Ztu^a{-%=a*78G*ULRx5#C>LWc6{2H&=yaKE2Z9dnLa8^mNUgDthjA
zrQIy&>t@?dO%?REcpb>Ou6~#BvWj<Nl5snZGU(q;>Ily>Je0j%;&E@Z&xdQe%XYjL
zxjnt$oUYKE@@w;VG}N74EHtN3-KX%Nu2D=$H{-h9M+MC9B(==WGd!ldea3@r(LROG
zx8H2uw^QRCbLVtby}!q_1Y)*uK05fDyR313`~4Jo#noJk(qn$jW&Ql6etNBLeb_<O
zIU9<a9*6lAo;fAicZ=`z`IWrJbGAQZc%EZ%BvQJs;8<JooNW(T*mEt8p1hy=HZ<X5
zzeL9d|M(qKLJKFI{*=0R<MgMW1g@>pefDDZ%vGm?4?Ma*OY%|e`wrFld#=lFyR!R5
z{`R$V9!#4WEVH4gEqL4EAel{xZL`f}9&F-muiue$Sa98~f}U)%IS+R6F5i)8S^xH^
z{Zrv9XN&&b3O|2e_4Lo|`!)ZbMV*UZ*7e!keSg!HXV3p@sGqfd81YvxWV4&W+wxln
z0<U^WJQ3qOW)Zw}#;Yq5%Ph7sOr9In;pwM)$Yr@$a#OIk!M&ABC7xfZ*e>z*3WwWH
zE#Hy}dU+EU)NeKp?V9M<o7fV}ZE$C0tHiS_N<5`mhHVyGS(@iYb*<a4{eS1n7~%PR
z$q}Ecnb{)NI)7}s93{*a@#puO*)6FW`#d#-?&dCJ{PrjA-Ik_l_M7$|Y-3(yzVXCS
zEor_Dmn;?;@Nd4r;LNMF@4fj!C*~gW6+hJOGja27xUk@nbyak|j>&<v=C1tvy_+sD
zEauhP7jAyUiP^_=#gAimWVm?^t}l3GJ=I&+<N#N5SH8dA<_ip`>-F`6PVxWmylV5l
zwyZJX!~3V|sjHkg_sVcN`nNk>JiO4Lq+Ek>m*o_JSXr+Y^;46RLsi|<kLL^36#Y><
zdij2&c6-tUTf=?(>(cyt>hsskw-)IV=WBhq)S2_csf8^SZv&L}6lxgWvzo&4UdF5I
z`?phx5*h1d_No3$@b7uwYJW?Z+u*=GJLfOkxdngSY?Y{e>(pddrs$ggH1qGX?d`8V
z9Zx%|`rf@&uIc!x*F0hVocUe8Ec{)*BKh6EJny@F8SjbDcCyhlbNvyK(^Y@*?`Egq
zCb^@_L~QM~t+Yk>xi=sAUA+F#;*aa@?!Mu2aruoS7q;IJSrEUm^Lu|%a7*6NWfJmT
zuQeU7KbjI$qG_Ye<ImlHjD6C%R`<urr<Na@C;1?9q56@}PW~<8t@9-GxotV)M5}`K
zgx%vevh`Js(byy~N8e&nh5d_&h3yYA>KC>@@>tmZuwbG3frU=~9nG!tIMlgq4fVvT
zgkrS5EZGrLqNQ<8RQ2%jh1#uhO{-hwx_-CHwN<yyV<_jgReUE>rF2K{%aI+SFFXr2
zUzq&J?c&M=i|8pl@-^$kt2ow)%4!{$spQ>p*>xJn@-7`iK9NYFIU1XmSp56_|H`9!
zak2WsuS;V8)i)Ks{kQa}edqt}FC)GSzdiE5{p5Vj6$?Y7eSWR^b3Lp0$_+8$(98F|
zOC?q+2DJxDEh?2*s2JA%QHtx8fuD1t?6EA3Rfi>bkCsaOjoh|$-GXnuvQpMbhti+g
zYyH{o_x9GG=CZ8FLu#S|IU$Tk*;qd?t^dE~&+{_l3-v9f^QZoQRv>4-<rn+WsAkrP
z$<HTl4)ZO2^kR33%Z6a*KMmHOtj-4rZ>yj7mbW;_?u8jQ?}pG*>nxrnsjAIbenjN+
z)EV!OPo44p$W)6XN|w)d#!kAvyW{A#(3sx)x~Xe<PS@Yc+?uERzfnN=)7cIGet(+3
zBQh~y<Hh*QfR7V@zO1j>^hjUojiKWGC9n6VKiYIetGUbntN+zZg?|YLO>84SUT0bM
zA|U6}^!jOgrz<CXef@ROsckjWVsnF*ev(g+esRIYE#1mwV!I=sZ_VHO70$x-p2;(8
zXFn>*E%W5r{ASXM9}mksLtYB(R@r26L$6bYufUVX*iQR@?fb4PEjxqQ>rY#qlaXbe
z@ptBqguBcBn1%gp6ShxG^3l2Pdg#ddyIKd=tv{M-vhLrNSId|At!-7X)A;(sUrgrl
zvd*LJoq4wldj8f6Mc>iVD;Ee{Z2gAmV5fcO-d&ng3T`YE__AHyZR1@%gEyPF8Ov_B
z3RJ&wYHBW1JgPYRKt(L?W^Ti_f1Y>hn=i8bYtD<mQPHz5eg@~%|6VtvPYa%^U!HS8
zJWZBsVarFp)`SgzHAIBpG96agEs(G&mc#I<p300Y@gScKoQ&(&+$&Rb)1NKyDZXSo
zx1rT-mW!K@-(YRJxGk#3<!{}VEpZD!{9W`mrPDsh=v19Z+r%=(101^rl6J&C$i1ld
zVOxEMxDd}qZp~x2SU$2({&j=3Y2UV6UM{(NH(m`>%39rRYuHs+*0^wgEQi4Xy%vcK
zaiK3;xHYRHSk!J^Q#gGxby4owt(=Q;&u->s`K(*2)FSlDO5|So+s;6p4ct83Z=D)k
z%M_24>=sDe5!+#SNKZu~Q#|O)7S2Vvyc@Y$m~%_(gYu&NWw;IoS0{-uzhOGexLY7$
zeJsbu%X%smDdItQHgP5iemtWmV6%OyfoQ7z;{c9xw}cm0B#KMK-D+hJf9upSxlHk(
z=I#lPwxqg=1i!G<?k~JIDL`S`0`WIY2W7l_ITp4A^0g++vNi1TE^B<aDVC$)s9wvC
zEODin&D@4*^*38tjNdwSNt!vXIk)T<Ym??SE6w%i)GS4sPJTP0k#?K4?P8hY0g2rL
zNo!&|49@8tk;oSp^4Y+xsdlSnhJ5nm*p7`CFL@mmN}WIT_>HuON&SUKPI2}{@yT>e
z-grq*V9lmwF5rqay0T$wm|RllRQHIir-suaE1RB%%}Z*nZ|(Lh-a1uy&BhRy%2Ru?
z#W~(Z1}}=pdCK#8jm?27ZT^i1PbueY`y>&)#^zv{wtwOALq}O#e~0;fI1nVbam7Nr
zvc?7TO;x+v!_Iv;b&7e<wod}r*V-K7)$YG|_>}OMO`k;e{-5%;&3Ikhaf_Mnm*{F8
z+;{%S;&{HTEzNc_A2g`f=XO1ooBD8uJ8!|2#cdXk0)=J1t*8-yts^A3`C{FCna3^B
zV!yAr+s%CNF#VBd!St9zyzBi8KJw3dqAB;I?_9xsb@m<4Cp+KydQ$M+k0%n_Ym1t?
z?JSS_ZjaeF>*+c%!{hz`n>UA@HvSv4-p}Ctbep3V^UoMuQ~xa5;Lm4xOWnO*;_2j{
zT&L94XS|&pe5Ua9Bdymp^L;iPh+VzLW@&Y)-R9qumPfppESdJ}2}5_S(fqHqM)UvF
z8pSjJNZBXy;be{LgK0<QUQXGq-7)u4=68;ud-`7su2)rFzjC>}q|12UV&geqPcokS
z`9$D(ZBYxio#ipz`EwS`UZ0@3>;FO1^p3>(z0=)QU%d5;3i+up-^bv_WHVR!{!<sO
zFJ^o3D$x1M_Z6IM6<0)<=ejORI%#-BU6`kIo1^0N52tRnwfcRyRk>_sL>bR#*|`sU
z-(P2(_v49xe{E4qx}D{*;`wtPwEFvfyrkQ$`E6sg*yJbC^L;*?i+%ajbJP6aOQx!y
zw0##PKKV&~_5F*Ic0Zml$k!Hi_}f`JrN>`OD*1SlQ}4Hsf8oiUH$>X+y?x{M=)~?h
zt7fE@Rb7#=p0jGl#T|DS3*{6~vk+KbwbuVxbb$XYk!V}3!&&`O37g${H=bOq7V$nX
zcun!DM@2`(`n?K{Man+)7G7R!bWqd(mPop-)?v1Osf5MuPwQv<rSBG*uD`DG3QM?c
zR+qM4Xik>DRk7-}ZR&GY?f6!EXR*+m(y)@BT0iXO`)oKd+3cuc|EYp2i`gt51v<-o
zTOr6-c}0TVHmiwQ&h%*Aa;>n5#x`09OVUH9U7w&_V|0+k|CY#Q8?D13{Za{C?!1OK
z7pu*95*Xa`r@5kh)v9gvzvt^(n{0c3W#7VmU+;f-=>O`<bse*9xslg*3BLcI^7o_u
zqwJoF^#33A-)@%g&)%1MW8cU3b6>r(+M0d;N3i-whd&SG-*6q&X?HTbz0lyyPeuLx
z0iTpi{(%~a58ggJ@jY+qgB9*iYoGsK^JDF;Fs_@MN@p+Qla;=`<@FEksy~7CHdm86
z?El``Wvchj(PpA@Cht<AxIY&gSkC);d_2>_<Ij6S`i-!#&>dsNqqV|DHYN(X4<~YR
z%FURNbo)75N=2T-G4XwBPgchY2kkLbJRHr;rn<*i@nE%ZP>q4&VRz;>)fyv3$LIEv
z6Bc!IO75Cv;9xG?_9E{P$Mds`k6WZ4sP8zhuBK9vGiPzf_QcC43y!of^366l5-aSs
zBk_>LbzX_-1!r4Wru%vn9&O<X=biE4Tc*=K2}8xBio!-Vh6z!Jf`x@_j1`ai3LD+|
zWmCOGt9`2CqTljIjcbJT`1$UBx%{Qv&Z5Lr(NSDDsKiY1uzKx*pVgWNxP{w(Bpl*+
z?^`eNcrN45hR@epSn_>5KHh5KS??q9U@50_jY(oloZC&$o_lOhnj_?eg}#_7y4njH
zeKAjLU{vEVywD=ZHq+o(0`sTF!VXT(wpkPM|M{LUxYNSuHp9ThU)aqeJ;bQH@OVSb
zhT1%*dyYOHAFs6RxjfV0K(cUKMam%#eqV{ljg0j_8S3u0)Hs<a9*q??iZMuRxUI%h
zc)CR}Z<fI^Ugl4Xe|B+luA4dG(JD^Kbu$bOqzbp~NO7{eIK$wW*5cL=jDK1<Is0Z$
z@HbI=@|#=uQ*JBg&%8q|HNuHb`^pUz=8N#nn2^xTsrhZ@gv9ln%x?@6JMOCWY&hLw
zSSB^W-^ALd-ebevmYTv;r?$0fJO!6pY95&-wpgq66#nkN^(6GBbj+`q*?W$xV<`VJ
zbKR$JhxeY}Y<<D<UBLZKxyiS!?ri5w;{R|!Przmiw}|^&ro)}*H?M8fG3i`??(VO}
zv5Grh25972h_t<~7x8cM?)uT^F?(6tF{?R$Y;L=s2>N|&8ZU4CTB|D$A3VRgEhwx$
z^Zu&j<Vd@<*E3V=OWo`llhRu^&rjdI?%La*e(%Ni#jX_gFZds#ZghW@?z%e7eVWT&
z<=(ooedUZD4}Z)*8b7m4vO+83SNg|Q{l^2_HS7N^I-2h1-QMT>_Liwx!l(IX_dL@p
zT;*R;+BJFJnr&IP7j88DR`sd=plqa_T-B>3cl_jPU)oJDxbkqLJ!g~pKNSzzdA}w<
zxNTQ2w1toP{~O<?#Gd8HS{Cvx{_Dvi@zlIU;-$HZ#6zpKynF1o=s#Q$u5R(@swQ7`
zX`6GL?E%O2a*rCq`#1bQADM1m632Yw`|~%88W(=LSA9lvo7TggXcdd+HW}Lcbn5M&
z2L4QV9{982dEn0l&jXobKCe(*w~PCTc}?ELhnu2#3Qp>_?RX)k98=J3cy9Mmmh`(x
zU6=hfcD{deN6FDV{cq>=)w`=hn&jlBE?VxM`r>II^OtWc6o2jDcDZ<6*XT=eH{-9}
zM+KPgB(><}86H#EJ|kg6w2$GDNba}Nbw>l9*ZbFJ9udCIacS4efB7{|Ro_#$DO~tk
zCVNES)48vo%Vecy-7-tsp`HHL>Vx64z1e&j*B6G~{?&G@s-|GsfA8OI@wXl=UY+yS
zy5xxZ^$+@Drb(&Se`>F9TyQ$Pk0*`oUOJb|p2s2<8oR_TB&tL#n7-%~w7dv?&~ah+
z0l~%ojmnPo_nF+g>i&5xfAQYGY1)TtSM!Y6558q$KRTC*{qS8T_l9cLK7sGtGJ<j<
z7D+Zb1u7LG4?I5JxGyS^_j7WBs*P@efX!!ll>^256_0T5S3KmsU-6jse#L{?`xTE$
z$6KDx{;+%9)%<4)J3cQ>j-O=hwIen~aYuN{!X5D`57W1uI8=Y$dt&SSnM&OD=B{FO
zWnDUd{)j~WkkndH-?g2!^Mhf^jO^DlqB-P5mO6dhcjC~8g(rj_Bu`X5vRui#<(#W(
zm*MIYlM>t~sviB;BzI`TS_MJ7IXSwU1adSt1?1>$GRV=|#Bw7dg>&PY6GDl#6IGAx
zQu1!v>N-s%o9mVRfmcl~^-V%yqLCb7Vv!nQB9Ri)#3PyBXl`n`5u4JvVf6{2<n)QE
z2iugqy9!yq$|u!0DkX9Xcj>SQck75u?$Y52?$%*kCK~DFqrb^zMqo;(;pP*Q5^^T0
z9(~jFtKVR`!o(FVJ6*+^DqY38e!7aav9j*$-MHbv#Du^`<)cZ@H!VARzW)C~*@#Pf
zCTCsy$);EDwzOq-{I8NG>A1O%c7><!NW1=X+JZkH1@8ROx}PCcwR)Ru;nA<fQ9pn3
zUO%7t^ZE2x`}C>v<IDffnOa}>@pR4Xl_wT8dGB~2#(A!OW`2Y3#+G8asSkSGms-3I
zl+3Gtct+%??)DP}7h>lynBU#cQ+Qa{t$xQlvE-QIZq9YPj*5i8N!oqvoBdRSv${e)
z<=rC2ca#pF(Vrzf`Nyss=ilCX%J%WCbk|P%A0=&-Ka&%l@<mlnS)IE4SD);wx_1GG
zj;_C>b&z%Stq<Q%<)5z(X?k2{YW<G0->bmry}~4|yzg7yTv-)&hw;?+H(@&$UbQc{
zHqjwgwZ8FSAB)x|kAw^1k!w%!*=_omY|<%mu2$7<@yjbG>r1@6cYFyoi@WXn$YOfz
z@s+D((idoVcIi!$m=OQygwFnJ<vI6v1-HlFzSe!5QTo=SRo6chTig6rvX)eO_(|9F
zXXC!?`ZH0>mrawuwR4vJsmnTD?!WY&Y=5ERRdCx$Q!h%R{%|rk?}tlHKl3g+Df4W&
zAi?ab6?bD}K+{1nFT*2Fl5J5L4T)k>NjqBIBoY@Iy3LxBul_q)<6s8&Qi<e+JjuEy
z2Q0XszV_zsH8|*$>|>&Nzs`44K+{PvFT<lwlDi@@8p6|FKi<9kx|r0%-qvFk*+CyU
zmbMD**&fivFLvs~#d;^^noSo3=APa3k@uK)x4B#R<<rx@-_@D2V0UZKmkj}J^TebU
zOmA&_k-JdvS42k7KDDQ(AJ&O^8Ju#`ycVHxIEtHh!#OACHQO(6Nb8s!bDMljHD^;m
z(^)aEjYpj%w?%2(w~Wr{cq=BA*xvfdcA4j7p|VJggL&LbZzM0|sn#{AKVZkryYar0
zvdo4H65Kwg)K6uKox1KLc1qk^>{Pg?m{h{F*0veB3v0yF7aBgRn^)VoaAug=QSG0H
z9nbEuk&QF6T3gZabyBNP%$9&IZn0AZ_m(6%<}YMP*PZgHr`2eVndbaBzetUPb=*s5
zq%Y(t_C2+{(NfII;I@-yTBL^k*?P67hrjZ0KRtWgNpo4G#^I33pAt)#w0_Dw?WCy|
zsd3nao7do=(@&G^g@VT-GkP|vJw5zNg8S)dChnyYsSA06LBm(vyc<tCDd%jtAR+B@
zs{QI$F|Q5hoHVaRX&jcC{OMu-)>ff2+XK3$ik;eUXi3eCsJw+Nr*)<zt!y>Y`MJ2>
zZcd1t)|~0~uhc#s+cqP=$8PFzuI11CznOmiv-41EnCqRoxF-P|V%8#Es(h`9FQ4Bu
zuJ5{}k{6+SPM-aWYLly8XVOCNq=*Uo1Fz_GatBUj=b8SrUqCDBvvAw#&&!!acmC>l
z$hEjh`@F<DlXLDr6%U90Ts-ZUS=ICFVT}pxdi76cKYv@+m@r#0<@k*(agJ>(oSci-
zJ^P;^$|3f`Y2NDAvc~zA&L{c|kLrC${M4TFJWB9LbSAIw{w-&nHbx&`TT}dc)0Kzc
z!XI^i)a$me)-7CqA-H|_&#!H(mjBfFYB6K~yR<;*f(O6X^uAo>u}iMKrihtu^`;$e
z!S|ZBuhUUct6zCFWa{mXw3ux^XV!lR(YbnBX0Dal)~u}7<v*V)yPiLy9<R}UUe9*P
zQlGjx@v%WqvTpVFY-yd>$!{81GkfR8+}hLE*1qzR_&qmRWyaUJL9aI5SoQAtH(9G~
z**Ui_ta=;oedAkgV{5|i?>7%9MBmz;^LzU=)i(uMEY`77=fCMKuRkV!VVaKV%ANOu
zQxtE^-fycJIV=A3oTQaopKUi%-|5=bd4Fa4rk_z^Wt+_E*Zz&jQ=PppEZXzwtDs`-
z$RAthZM)@lO)ArSZHn)|m+#UxCI|oY3i%my=hgbn-N9y|G54aL{;K@>>xS3$^Pg8I
zYj0U|J^QNBLw3{GqPD`v|1SvhuHPG_`*=%V<iypP{ic_utx7$^e^c~`j}Cui&5ox<
zeNjoTzqc;4%J~_0w$0?n$7_LyD%Pg1usXQo{igP#Ypa$|EA{=Kp_Ayut7rG>cWi0z
z+SzrQiCMgQO_^2uwg#K;UlNw@dj5#B>enl#E0(`2@br(iEp_kNvNX7S%M{&iqm5Pd
zsZ~3#2~O$0bb8;pX%?F_rb(;n{I%MxpzHl~DTn=%i28(y*De^lC*Qua;YYS|@Xf35
zRMVEN65n-w=FC;v>0JqXXRfp|4VFIR!|84sEWJOh-P!Q=wl^yM?G;~oZ;APE&*%U6
zK{~bXe9Tv0`|WRU+}Kwd?7cCnF2UFH)1SquuZ8O0U4NjoBfPo$Q68^eo3-f<raS8n
ziawgQUhc@I>DLeY#(NzKUe|7Fx`QL^`h!Igq0QZisl4kLw6h9YBmVW?s@K-Lw;<Zo
zq-%L$e$C>t|33e#+vis}@kS)Ab<_K78hzQnX4n60WwH9jem0{1$%o@z<qGarH9Wg|
zo^!rW!B^?U_1U4R_wIS`2zsEf<4^C!wWs^|WPd6>p0u?=bYtq_|G#!RAGeD6bL_?5
zJD-DBZ|l7|T}v+_+d(2~>)PW#^K)vWSJbFw{1=u#WO%u*<y&w4^TT^2W}T}|?y>uN
zGoZ?K_V?96KljbF{KPT!|MrC&n==@uZ>ij$lyUinn)Rp1?7!2R>)#}Pv3>Soy7eZL
za}oZVB6L2vcv-xi%;^_dJmKV1vw}?r91G4y)j!pJqgiYxAhdqgzWcXc^=U7v-tuVg
z;`7_LtrGuTII$pqxt5;8mtWVeX=zXAz3@5uwx?iHs`>rHGfm!4x5%68QxW>X?&6hw
zciwD|ke)MPll!0Dwb|C{hZNc(>P!A!tK!fz-~N*SR?yBY!DiuIH@YLH{XZq`n!eue
z!%3?RPpyt7uRr(U;A-}Y_g9_we10W(uj;Er{+_C)>vnr<IsMoCHM`C!S6$lW9yj;l
z!f^hAORL*|ytt}d^XZkLRrTrl3%W}~#LZt<{*TOiAG3ej{l3V=w>FQertkQ({nBEg
z`Zb%%x_xvL_xr2ecs^P4+pm;1+j(;W*L+*SlWmjL@J`P3h+x0e!wv4eg(nuPS-c1g
zmMI8xpS+{S>Y`P4iqS!q`96mC)Qx*KpE^5#nbhxI%k+@6$1iV%ZHp9~{PBhSnaCA3
zoPj>S56(Gs#rB9<7T?aZmRj-EM?=0!&D>yeW##Vrj=T4zR=b^5Dihqj&os>S&eC^E
z*P?<il?z&D6$s|6Kj^w~Et~k^Yf>?c+pav|+ITaZ|E6BjWc{VjVvk4^Z_V4m;dcGO
zA_-8sOyOO}pqy3EDv`%`>`v%i*Bq1Gt1f(wJz`KiF?Qn8*dqbOyk~8H>LoRQWjMRL
z<E!1wguC0_>YuMV^;Go9IVSdlxy4?Z)<=3;qOX-LdZ&~(YqjUQiDiQ3XD%8Tcf=kk
zEj~JR<yq}@jn5YOMXcK0k$qq4vA=WK+r|60{`%awdcJ9DrufC{w;WdO?pS^8moEEF
zy+zx1ww;%alnP&?zOz!i@TU6b-g&0%pY$GOG&VnI+WPO*?heIg^*stIai-7LK99ZS
zI%o5|?=|9u*H(7L9+AvmeWpxs`B~KxwXib5<#Rl{V~-dW-_{DL6fe9KWuln6yF>U|
zu@cYI*dvA6v&D*vXUC=Z9htdwPq#&yep2ex6VgYO*83S;T^;Q6@fFYHy;TjKakfWX
z*2^U}h4&lYTdgkf{PIt|q_+C4_n%oEELneUx^CRu#8u(^21i%7&v<iH`ONoMhU;eU
z=JE6|IJ@VG_Loz7No)2Nhn5K@ubI1iQ<-k!7I)Pb>2JkmMjdjP?_+R4J^0J_lRS%U
zEEh|>43u=MxWaJQHmf61&h$_~zf^LEyRX5G#mgj~tm*rt_o$(A>LM*+nJ*_r+UskK
z4kxa@v!~l4DXwzWommHYip4M11hX5TjdgLZ6iSLndZ#3HCH6>JvACklC%vSN)9QXc
zY&;cv<X%+yDzB;RKdUpZE3MvjqQd{}t`JvU`x}$f*SDAH8g37HKc(dQyTcsr-}oHN
zz29jCsg}p;?p(c3?!wVYyRA&i=YP7cXyR>JUp{w#n0V8n!1Z@eM1FfaUH9LE?;W-`
zf^vOl-h4GXYTNq>`jXQ#gf~1ATz%~5t!FNVCjOi9_l3QmXSVyv-+Lz2+rIMNKNOY2
z!kx7-@XY$7#|+ksMK|o+dc*0=%A;b5WxCrWHfL>Ao$+(+dzqB#vy%6=%rc+Nzi-_^
zw}Sm*(nt43)#vb(XK!TP5!|hN<ZV=rVBXcF?!wJt(M{KHRm{D;$$iJYUnx!Hx7JSc
zS@&+z^6cQiyc6>@XRp;Q6`h%|&iR~?`FV9=o2}1n@5kT2eywxoocs;%D@&Vp-|~vP
zdiwV2xLb>T+FBEylt23swf;_~^yj%-C4Q<^eks3Z7<^N8|8^h4`a{8Y)%t&(t5RCM
z`=;RimFMkJ+diq3Tr=uTiE>VUJ#GJ|weMVi?>wQtX!SQ9v(k)vx#ur!OPje^D0GuW
zOtqTIW|R7v^?hZ|I@c>-9ez_fC*PxeSL#M(*-vvVPo^2g2k~zU&n@-0Ix*Mkzy7(J
zzt6?$x18u*d-}P>`V^j$CyO@KZ;*cwbXxoOzi&DrVpCMVd_Kui`&M-RN!2|Yzc2B5
zzPKZNdByvyl6Dne8RYj?b?EJT6lk-dKT&k%!-f8QAI_+^SG=37yyx3V!?+($SoCX)
zx~$(-WeQ2R{+)I-HB|k^^Q)TQs!H4LPAkoQYH6|O;=Jh9UQ<ioW`A87<0*dlr1sl-
z&(mG2)?}LppVrz~x#mRd>=l+f%rdr%@2X4p+cL|2P44c<V=vhXZ$?JlF5Au{dRETx
z#`g<yeZf3u5C5$^eQVpBthl<5rJ?@S+pd~Man8-&C|I*;%_Sb`qqn1ScxtmOGaACT
zE)jc_sk@ECKWpRBikNQQgUY9GZOb}+ZQI_xPjl+yZtMMB#wP8!Jt{}!ZuUmQFDs6U
zElAhhCa^baqw9;{Zrx)IQ8}D!k=NP}P1BWU|DDpb?iO!@&$@NF`cZD-i6`z)-=IE!
zeXh906P@D8HAV-yPgkv4SoJ<*c3H2%^v_%0maX^OaCp<LRj;Prc6SwPioNx>_l(lo
z-16JrGuP*S&!{)QsIs$igLQhO6_<Z$?(XY#N~^PP*WRCzeY@=q)9K99x6Ceo+WIyS
zlmr$hf8+7WzAgMMIb@NS*!Elg5ua~;+nK5*YUTg8VQ=5(t#2K->s;H`zGZgGq%+}D
z+uw#p$KIAJTz>9<_w;F7*G~Al^=+WLo>unlWWB9>w%O*LcS;qkKO$~vH#1@G-bcne
zTb9SoeK0e8dBwY{l5rJZ8T9v7b%gJG6u9R5NgnB%qJ~~O%Og?qWfC|0_ia3>uKwf2
z<Y1e^>)w+iZtvT)#&@;VLDuztg@;!g+iWg9acaV+OPjW>^_aT#E%)hL+kVQj*lb^u
zD}80_Tes7<!XmD0eap5gu1h?>KKx3zKJ&wwWu`X46-E)uV{_Mg+1&iZzGhx+{y&S|
z_xE0zl=toDljrs+`q5sKB=*GpS-^L;DcrA+Q-7}1LG9&kA8)NR+*6pvc+YC9!23B-
zE!BRy$70{Eb6+?0_KTwJ9vcqn2I&-c^Q^v;)NnP=@QBoQiNvMRJ%tCt*l)5w{FYJQ
zU*H*aZ^gW<{Cl0@iktgI9(Mi`N?ktx_Q$=~{c~?!H!oY2uk&!DzgoqTQgyx6?*pzr
zzS_?^jjcp?W$d@~kIR<*h_C)Q>FO!@yIKcV$zOHtTk}jmVy(s(fi)j1H%(tXO|*(5
z?EeiLo4==~U&*!JmY;QZW$Mq8Ey8Py^1q%n>-MYPaBX$)o6oO!ey@JJVwHIs_x1Lx
z;wq&RA6)u=cIoP_TdNm;=eA|}&Sfi7&TY$6zAFEP=e%uMZG2((zZTEr;1iv#!6!aj
zqVLK4nqc3Xvp2fiY8^D(e#-xBVZT?wp|#hh7hGB_lvA{tS9Q(z6)e&@Yc=Zye{5T8
z`ZS;Eeo@yW<*D^~6Cbn%KXKjrPK<M%rEA=U)p-*ic$Lmr-E~#>++TT}v!T`YKR2!Q
z%+}Yi*Z2}pqG==M{9Jz4bd5>RpZ8_;nO%RNbR(#_J1Lu2uPt}mp6#pGubK1dZ1KYO
z`~|B&&wcW9{{F7wj|Zj+FD%W`t+ma+&VM#q`)+9E*ZS?bGHWGHan`@t!pknY_t)Qs
z_IHarPHXH>O8#n^e)j`+{^b4|ql3xia`l4p*QJwZXU{5a+88%CX-;^$#QUqvJYQca
zs#SdD5Z+tW;~8grFlGI`q*ML#UM;P7v^p;8P)PizDG9T}m(O^2RWhyOE5qJBRUQ2I
z{<!~maW%Q-(<@G^>i+qQy4P1p*3bJjDP6VXtLk}<T|X!B8=O&Zmv}c>x#z=4L$_Z~
zSQgh5bzQt#x=8VPe^KfGi$=0rv+qXbe7o@2{qzH6w<(`~%vgHia#(%Mp{x@^mGO7=
zPwo0~D)7C$?&Gkhv!;6N(*5-(L$YD>YuDP`<7?lXIHi53E^h9E{cj(H?rqsw$9&Lc
ze|=-pzWWC@9LVR`a3P;#<B4dmF2=aIi3`H{4Nk0Xmw0nkndjpx!?fzJEX8}Ox+2$c
zoiew&o?D!J^+0&`)o#TwXU^$dGBs->9xSj3evn`h`hcTg*8#yt%l2;&3IEI1rd{XU
zaJtU9qr1+zg}ctV=X0HNQ*oVh*JeHEcDH>y9<QxWTDJOT2oKBlznTGeHm?1D>b~q`
z_T$lKrKev@dLcUd%d6|V+k%&S7@SdiR=%`tmunMen4$GEkL?}?ea~iZNZa^}MgQJ}
zJzhGicC7e0>&fN7s~1A{CjIJqa(SZfx){@1&rfQ3pF5iODEw>)DEqUjp8NMq|NlE>
z`<~u!UHLDOx$t8B)}Q?1XBOJcP1qK`e8$tOl4-ZThW(V+i}2p;Wm5WaIdj^Mx_N&e
zHkGcMfBDn-=exk1uj}TA|0_5+YtPpen|{azbFRLtba2(4%*Z1`VwE9Ht#VV78r@T8
zygw&$)NA{R4Tp5uBHoEP>lAkjPTO@<;`5!PCRNZHxZM#y53|-^zN>WKZij2v%Djn*
zTxYGat1~T5#Q$4sQ$8;~V}k6h=D+fvv$vm>yZG=kd+n)d{P)w7*CtMs|6e~penoK1
zt=OsM_tU>?@lLLaf0|oYy}W4Vuk^qDMmcNCRJzY?X#JPnT`#sPz*4tV>*1+ztH-PR
z*Ke}-iAz;2(UhE!#9^usUoSMnp1<&v1>ezE?@Ny@|0G{3mel*Od&9K^&TE-HA3l}p
zz3lmZ_x)8Kp@|0#qu4mlKVVZn$S{8kkI=k>y>0r3)S6Xq{Hguc=zs9j`L2&!?@Sl-
zDfrp_=G@dK?-w7J_6nD5FY3w*J9pvgDdv)mp9JRS-dytIODn7Km#sUQ&uo4uP+y#D
zaY#n`8Fz`apTX(2;4^=Mtc009Yt2tIXnGm%b#~DquNi4hO)J%wNF+M7omAs7IN748
zCS|#3MuI`xM>cMQa|w#aq;w8RG#{17HQ3i~9?><?YuW8Tf8>+C%up)-%B3>nPv|$n
zBu*ipC2C70wD}c8y$nzKOigUKlc{asP+u?H_9Fd|MD70TeEu$rYIRH;@|(K?AOGqv
zdVMN`(>!;^`m6OOmlIxOdRiWE;O5<Uz)AVc_5eHg=!`CDH#v>-hh+|XSIVVDXSB#p
z6G_T3*La+pxVlxSC$Mc#&$JCESD310<!)Bx?aFk!H)F<GoqG(~x+X_NytxF`qBFXB
z-MH!*^^dzOmTA;D9tb84y`}b8OCRTUY2OxbZ>jE-@+|J&5AUoeAFD`OsM#lB$^CQZ
z?aYOH&cwfL<#wIvFOkaUI@3Su(t?VVCrVFJ=PlqDoWmxOzfkd5WJb$IF{#ImPct~#
zUO4kF*X)!2*<0cJW^=$kC8JBd6*tSG_wXF<sh{JzC+D!P$&mo=UV|e}$#1sG=sMOf
z&JonV?a+K|kI44kpd))k*n3No<GP&1q#kT&ZQGIRX@1DJS#3`p`xGCqg2&c-JyjPi
z<=r+zb6vDh+K%*vjJu>|)gDT|OIs+oD=MQ$Pi$7wgo&G{JYTc<p>O3mJ4s9L%5!#6
z87=#!l_b~K{P3H7@yMyNC3fCjo>@~ambhG(NiktJ{LXjndECY)vboDnUGR_l9iGs!
zJ#CrN9>c_*>uNrRp8A@i+3n#~&H`InI90jTB=S9*A1#gB9OCmeSoa>odEI*g=XFdD
z1#|a)JmeJYW7wyr_Un6F_?4R)hrKI(doHlYJ9<|v<lo0sU%b7pZRL!b_Y%j2FTafC
zPOVs8o~yO&@^7*Hj&?DrhZ|czDc<x5_gOyq%RHx}ES6WDB;Q2`aeO%9<a}ns5`n~J
zt;c4hF4UYS{jzIi!OlQ4L({KDK>~+!_-j`xWN^y&v>bh5ePR;J;RJ48gCkBqWs(*O
zwnb+2JQSPtXz@g2!v*zy278hmWHT2ER!R6q@XWR4oz<myYQ?;ekn_pP!bvW&xiWq|
zD=zDr9LRbsvvg0@w8)H>qheCYQ|$r*?asZs=I(OQ+Wf`ioSnt{3{NTidX};{zw2W8
zB)eZhad&#3ZIrn8KjQ!c_n9?qH!=@#-1e17p3ND&=j!J3M<(nEl%K@<wEl9xoeH;)
zL6rS-AD)xiUtgsqdE8qPt|aXIDUF+V<54H&oUIomvUS|O4czQ`eR!@Y_x$O~ELj`)
z>{DF+qQGaLzF3``#CFt5Sv*NgHv1!+MA|~dWsw;zU#FEMui0=xWOA>FOZUCBE3+~#
z&F(Rpe*0y0(kx9g>2_h=((YEa8(H-W70V(t?%#{b=!q4Zm9!$zVk7U}JQ2S$Ez&)Q
z3<G!UbDJ#?{4nNmL6Xw#{4aeC@_Frr7KVG@UQy3?)Z8WAF1-1kp0^Os=N_Mq$o#(6
zwup>{f?|;wJ*r}}lJ*8#Y}Ad(f8ukdwYulf)bI7ND_B39ZVXJ@c=dLwjDOC)Z&I4|
z?n<5fZo)k~E9WQnweDP9Xk%D<&!|t`O!;@OiqOO(){iSR&E*ZwtTeFSK0|Vv)K;Ce
z72RS|kLTF!>rSf)n9u2=ZI?2K-%#tW=_xfocmKt5E_uISZtjZ^n0Hm3`64IZY)OuS
zBQ1)3vkVRy3V)h3i{0zO?K44L)>Si^Ha^{%UcZp#`PY5A8Jy2`)4I5SX>uG{u_t2v
z4TqjnmvyJ;8-lUPG0D>xg=((M_E9+YYO`D2QO*4+BI|0-t$%r&p^<Z4#H9s0a-KNN
zc@XK=cT{p-?n1?95g9Ez#iSm0J<Z_!_2R6d!1AA~CdM09ox5B;Yk}$-<5MyFu5CLw
ziS1~pa=my`z});N5j?B7b*AvI5<A5n%H6y1c+nOX|K86!ZMSmW>W&8NKJLW%>{WKE
zqjyEh6_-kucZ>Zy8h0>lzkXu&4kq)n8toF(rblFSEESVVoY{KpM!IEG({?xC4($T|
zUZd{p+~2)o@|{NCFI|sg68>U#N@v{}S%V_Qbq8g)To9<=t!v_B?!C*9FLICOF6p?9
zyfJxO9(^(^*b&$lF(tW2mAC8gv5!aIeV7@TpVU>IwNSAyBB-O_ic_-8<_jY0zrOU;
zsSbN_c=wC#$9wO0GwnC|q<X6QfRkp`i{i!?r_{ehI)8bh_)Asu;zagY21h(UKNs|y
zX>cr4c-f2f%QdTBd)4oKJAJy<{Ejry>{+3^w(eQ-a;bR8nvAg5*FH5Zo1G`BJ>C14
zr(k&C_t)n8j$FM|tCkXxa)=@QjES?3*<8VCGYpOe2`{r)yIgY}!<<X!Ykx8wV7=_M
z(Z@eTXWg{f1_!u=+amH0acKLV*#1w=r_iu|TI7LizV*4G`t#1bxUjNxdA(KP_s!3z
z-~23QHgo<?)t~Xqn_u$${k;8mZc#&>o#mmMf40iZK687Mzu$$^b4!)FuH9ZOT=Pe(
z`rWy8(=R;tUf-Bh`0vc@s}2{{>v?ajGOjuEzimnA^Q+Hw9XtNT*&bq8FPGe4Ue6g<
z|MYS&&zB|oW(>_Xq7iYY^uL5GkXRI7S#PA^_Imof;0U=L`cL|$BY8#i_pm2ayt~S5
z^ZAwHysEDp{d=l<4$hMjJH1&k&i1IJ|Iec;23I~;Z>-q;WAE;!)2DYN&I^v27a&&Q
zw=v?D?YAop5l?u(KQlNWvR*D}cX<DX!>f<qczIR$&8JtIWz{8y+uwbB#Zql68WR^X
z@AI5R^`AZ!g`Lb^Fyp1AYm(}&^Rr#s)aM0jTw5c*r>f(7ob4g&^>WGk&FeY&D!z(5
zx1H;<CUaY5oqpKKeA~qVm3wPW6!V?dey;d4_+uIK(US9;Lfvo7=V=L@etY<y5{t#7
ztIjfCUkUbAew8@Bx2j1v&i1HhzuvV;%lB9v%seltaerBT{9E&YGau$KZgh)%aF+3~
z(|WmtiQ&(?Bi5h0zBz8Le(v6?rqnpwqecCCdb;aAge3e_dTjIED=22)tS50=U9Tf*
zYl~VMf6m@!W_j$CzHL!c=(|mU8z;?quQz)~r@!I#yA~^Uyx4xMxZ%@Ysq>7d#F?)D
z<Yg(o)4azZ;LNwQiAnWM)w&)>e@4F7b!y;^vpwRrUM_KRc>l(mtJNi@?C;CE8l3a-
zPu!h=Td!6={N%gDbsm>U_ucAuM{9mMwtf70eZku*PU-z0q%^iw7CRW8E9N?S^^)&B
z8MYg5t~%#@d?mQ8>Z`=<JylI_<7|)i>Cf34G3Vf1yO{}%%gbE8zW*c>d!_!L*!Lf*
z@6^tf^9MZI_J}S18Pl7OCl$Z_dcyI$rl_ZK-x^hkr*hUubx-|$cf@t`{MUXlTYg!-
zi_$m$weVeYx0>zE=~jn5?yqO=`EXJ(?YB_B!D;p5GhR*>=J_sbebivP&bPV7cRIUs
zpO?%(^ZoC>BgtRBovhjU#_nO#>52<?{ZG|P?_2w>PU&0r<vWq$x7WtK+tYdXnqv9A
zBWYQytP3|zpY<rO=jqyz@b3qY+GVWKFKrXFvpi5RUnXgWf1kk>_2U+AR!?U+U0c*O
z?_E*%-}8ar*B||s-myFE^zQk0-?0VXyDd{<F0pZ|<O{u>H*TK!ma2C^X1+|)I{!X{
z^Xlp^9<Hw6F7o;AezEFltnaEc-&^qQc<maaBll*Vcwy^~-PZY!qCPs6-wTS{<QktM
zKV9SWqr2<pzuMs!z4d6FYV=L%Dp_qYz5Y}2(xxdNpC0@uH#Mo`-?TLgw`Jem)lz#-
zxp-#6djDlN9#57m`~8GrcTG{pTszA{eDh_JcW>H|J#F^=x%cYdT;&X_EbW>aH#gB$
zv$`_)YUMqtl_ye`&wq7ly{5i??bS!c^Y!azS4D2z`03u4OPA{NKd#@o@n>o2y<P0J
zxuQuWzYl7uMCj~4JbmxgbJaJ6q}3v{_RqM{;~kOqlx6dpIZ3Tkjn{1X#ISme&5^8V
zGtFx^3=T(0^KCfS=DcS6Lyr3MxfaJ_rTq$yv<2V!?^JcxFCp$JKaX3%bMBY-LRzPO
zIMl+T@9XjLR142~Ux^33oX&epi(EdQOW=9WEB2uIpi_)VM9X8g?!rR}oPJU|M>Q*V
z<gVx0zWa$`V9n%Bl`k~-x2r#444rtip!(9{pgBcqv2uCkzfziH?Pexz_fNB^e>a&~
z=JQF#zF$u`+-r>Db)LSTvAXDULG_8%!ZKf8)jaz4isyIcH>bU;%=`PE3RkzMynklx
zyQlF%oaS$VhQq2-2jBkrt>DLh^lH=Mt>8sP(*){|%|6oJ_-;CvuZ{mvwXVve?T@1m
zBn3AF9$hA|xYL9?%IBxfj&`rfv(HXHU*Gh*t9NQ-LH@t?kep*}(|CE`dPlso?oQ=D
z*yY3@&n;3V5hhy2v_|hsOGNOC&JAY&je4&J>)Y*}R4;%0RYp$t*Er|ZLS{dBS)}*Q
z%s9Gyl`kt_Qz|Q8mo6(`+gjE>hTB{+irap3dv83PpK#-)`G=z$?h7Pszb}y3e_tSZ
z{r&n03G?qyNZNe;!jb8kpHz!4JAByiWc6#cds=d$794Wo78>(JEF|WMSuojX7qnEo
z)7Lr5y}$9{()$7rw%!+b)O%mx;oAEGi~Il2QG4;;e2K(^xAvfgMFsgn`%RJ-=7*fN
zduD&=`N@5+_Ma$U@J;r|vlHgoo%zc;-~XAfVI~;!sowYf%#|&tO?PmtyYgVsj<DwL
zhgH1m7|gQ@T6aV?Yad!G6;s0>(yV>3St_QcJ*1{d<DICwtM`tG=I#d$yn0>DraL6~
zu0G%@*ufUwp=`QCNoMUq(Z><I>sXFw7c9LIU(+PvC#HVX%ObS7`$5S^MrIv7vsR1X
zoUVdxH$)!CY^>Kj_%kWEi`%s{;GXIpZPDEv_oi>MPkmNA^}|9j>4V3kazy55Z8R)V
zGrhqSvsQJhKy0?B?xEFDLEVKb#iE<Kx8C?6x%Od9;GFe}hIVapS08mN*d``@bY@fz
z&+_bztQMi&x<^h%<p}m&PwFn*DHh$Nz4b=WoD~ma3}aR+8rsdNk6nM%&0tO2w$mDI
z*OHPYg1U7NJc!CsWV@QwePOLwbWh#Z8$w^!9-X$RoL4!uA<k4qY|4erV$uf>K*wu)
zRvr~gaMax<@Hu;<>x}4b-D7j2ayZkjCwUvLZYvf|O5^QpRXwxfsGGrFG3ldQqH=hG
zvp2HN2<p~7@+2xpuwLzIQn%qovFIkwtv7;t)<2AKl?ZoE3gk@A-Y8hI@~GRz#bVNj
z?@GCSlqk<CP~8#QtbNq?KI_dt`4jJIY|Z$%zmwm7y1~-gZ}Ckh-~KP|{=fK-WyZPV
zTeA5$)VBRzF7`j&xxH+z*W9RS8x+>Lv9wRF&-+;aG>|j>$pruZMIMFqetbXIx$rT^
zOgJnc{?y=*s^yc*Yh^xVhVli+_^zs4VxiPo^Rmd7k8|B=#iP8IDLXXV8S_picHAzK
zNL(#*EJCrJGwf7iS7?x&WS>`HLvoPFqa7ly7K*NheqMo1!9i0Vl{AU{*X(|E)V1xZ
zmO{kjKi9?nM>47ET<17w)*Fyhf1TqnUoXpzl@kSan+PRtcT0M6(W7avl;=i&U6!L!
zR}Y>4P}lq6>=w5l$0C(^o|_6Ss9rTmz}7@);c~a6FPA);?n!xGR1f7kxWP|1wBf53
z$3{n1L9<l~$J9hsZmek%iGHGF*2#Nkf`=+g^Wyr<kN!rQ4|7*2HqG1B&EI8}^rC*<
zVurgVN6dY#x-Pi78(O{AIH+zLuW8qoRjI+2x&QIo$IaiY?>XFi-SKE{pG4KmOx78z
z7Yh`Zh$L?7OFDBYuxX~1m!Y$@JrA>~P(p*7)0gWWEp<|z7sHh~e`U1j=Ps_#{dit7
z^Y7*#*~eAC_?Eg|IG-T$m-m=~LayBWS6&kJ8m=tOm!3V`*tdP>#Uc*_H$Kf{rxXuc
zSn?Re@j35V!?&k&Pww5Rhb6wRN=}n?HP`g75mt6(Z1W22NDLB5+#zyQLeZ7e%`31g
z@anqwaDBI@zput=F&u2p(${SkdY94iV5*x&Tz1RDUN@#aS3Nq)r8+;vDI40YkX%x+
zf~n2DUW?;H1gqk_6$*!fMUPZ0YhpPcI^j|4oXu*6Rle)D-7r#|5%$(e^w9J=t4C`+
z-9;9c9x?E8XLNg|afCzm<FN&cCHPlA4w4M?x%%mxfvYm3T6W8Jt6rBGD<(<^n@mdB
z;}+D@J?nN#EvNkI+6D6-1aED=^7+?pac{+gwU$dN6x!=~_@5daP`2dx7{RA(Gx4y5
z|5Jm5zLq{8_w0JNX8DVlw~xNoxYR3F{>=Cn#HSf|Qt@!MCC>*pKIc7C4|BYKVsNb5
z(&vLC-_JM7PbV(yp5h*MNd4q#j&mmzkJeeHSSYkJ@|{ZTa4wQ~xIyNag;G0b->Jl|
z<RCfblBu60rWC|{s%K+Se=_0G5*ed8lMXX1e`;{V&a$T<j4yf4<RZbOX;=O!-I=sS
zV*65!gR+`lh35b2qyAji$-24k@A|L0)*mJ^J%9Xv`_cUwGqzX7tUUK3_1s$VEh4A<
zPfRJe*v6@M)8OdSAN#ld&=<-RO1`}GLIaDquSem*mKsy3f78Wujc%MUua62(vRt-f
z)e+tuYEKs$&Mqj7HS4s$wd#n-`6ZJtMf4YTPH~HKe!P0IUVDvq2j`_97j86kdVY3^
zzkg#@?#~00Q@o{prX4Puq13wef7{Bdo9_o4GSPgr`eN2^k$Uf9$FI{L`KRkmaqe<0
zI<X;wk6CBpVFB-_28WC+dkh`;gi9vY9~OySY7=y3f{UG4>15}ocSR?{PZpiH5X{H?
zWs*?Z3{6+YV_tzBH-khH`$Ue;(01i~<{8-a)3aE9#hlebRj)f1^!I7}deyOTejn2p
z|9|hrRxB{H-~R5#!mIJOKbc*z%zS5VDf;(g%vO7|t*f7;CYYY&dc^H48_07_Fn-m`
zj`j7|OO7n}m;HEh*R7h_|1RG6xBQy#{s%cXVp89FStz@*EI<6r_*&og6V62*1p$1T
zd?yqS*IDvx@aJ=WGxacs`cs2r(w06SqWFUMO#0+e=p|IyU8C8~qW@&Vqn$EFHj@uC
zJZIA6`4|%RlSi-JQ0{bMlY7yON2{i|x7kiQk$#-LsQyH_WRXXqKcD2gQ;7}MMG}v;
z$$Wb0!k2t!%3&7sCk97LHTxO;Je20ku0N?bpI1q9$qtQn9`Ppz2P!Rj3d8u6=S)5<
z5xsi#<}$@T@5-(MpROdCsf$?LmuMV)_~C!{$NQUBq#p0<eS7<wsY%(BWQ%Ps|Mwo<
zujQpC(>3e*owu**k3LIrDHYUXIC$b!NdTMbn;+d?9A#N8Nz>gV%Cc1s$n}2UTR4&9
zw~0{lem9|<YaU(A^?_WDhF-cX@0HrG$bXD0icl7DH#t-gqRiNr*)rd@*TrJtL<x1%
zNe`yD1<eWLK4=i7%kthi{fhj@ye&S;BAZPP8AK~HKFd%!64UEaKV$hsiO;5!5*pot
zbV9fV6MCXJ?wu4{Y5(YN&PF$lX&EhvE8UpRT=D35D%H8cN!c)Ll_bx`&AVd76%L7A
zJ!rj}ODHGUvFq)p#8cgeo3HXl&J>VY@lH~ENy}R+r5Q_<_X+Tr94QEMXFT^p<4C5g
ztHn~~dnTn*9<=lY$?#wK^r8N5&$Qrs$NMt(Kc4$$MPG&fWx>N{vaA+M77MVKiac!S
z`%$rQG0X3gDM{<Y<l1VZDl5uwFaF#2efwRjN6z#6B+6cC9N?2}y|GSt-^I&;J<qMY
zKKQt6&dYkrxglJe|9z$Zmir&qeUES#=`T5A{@04@gM+)`yVn|rY-Nw`SpC%GNWI+J
z^)5HoPL$YfGAUuXThN=1S?2a{txIw`L+dl=vAEvZRl4tS<lpJfOmiYvyjgnfkL$lm
z*=t&bw%7hH-KnD_CcX4)*HT95f0y>`&(fc_No)D;K*OyE)l~D^i?7Q6J+u7fDW<PM
z6MVn8+?lld!}P2p)BWmCUK3K%dbmmEQ|%I&PxTj_`6Sn!Ol){vbV6LWNaA6e%&{Hn
z?VNHa61$j#<TT%TDalLnJ>P93C?qq<xvRP8M1cbz^PB011%5v<I232uvoVrS_|3G#
zBD+6vZv|PR{M6z=t|nKZcbC$gDT^e;mueiW)buLUoG(=oqJJ%1IMiW-^8WBCjY4`^
zEf1R9>NU<~w>+HV#w2smqr+ILvmi>@&@WTvpl9zAi;3qaiaKqK-TJ|}CPta%xQSC?
zh%(P=Q=x=~ZcaKEJX(HAbsG9Bb3V&bIV!VNpypbjW5Zf4j*YIYg5Ops91|5)*|CP{
zp3;*Zlg_ONC)Kc=;fQB=dN{>zhvt2&=N-FCTsC^R^VD;fiX=4lIi0yK82{m=#xWIH
z)ftNyi){YveP8m?%}b{a-#)JMwtP?HnJa=vd1MWJt_L<`T5%bMx(hCQp>Zrl_G8(i
z#Ui`6e)?c_^_;}|pxzHsS3O#GN_B4Z^tL=`)Vm}?Ds8&9$Jc3h-uwxRHddaIYS6{W
zmOLX+_VX*R8G5cP^_N#2Uc5tfyCWaxwbP16tt?X_wA&f4ok;9(Es{u_D)Y&8#}w|a
zl|e@gT)UWjrY#bfyhP)Wi)NQ$aF<Zdq(vgqtLFyY$<#`0<>HtYUY#j9#Xu$ga(YX`
z1~(11%$CFjZcHWDJv#nLbzbmSHvAQ~oYzrf+Dgl3(n_K%5sR7xy6Zy)9<Jd^;tB7c
zlrV!Uh-Yf?H5Iq@=dOxH@BH4{c~vh&QT5AJ!Gi^|fjpN54+qGyN-SC|&|E5#IH50z
z=StD3-|cP^$FfxpSoF3?te(h`Y$BAr#Z9Q^l1JCXpGT#Y@=ktTxlZ~OXWaAa?JgmQ
zuDtr>d1umWrFN0YPbVZ!l3|mmS8P{QJCWG(u}C6$j*OawcDv>=kIE%4bUSNY<aOIw
z7C)Jgv_!^e&w4(FN7L>ETk?E#;ZwGmc37hSiNQf<OP`MmZe4yYS>@UO)OyG7Nz>oo
zTX#w^e#t4tc>Yt0@dBq5;{{JC#tWTF?2#^-@nDzCvK?wq4ITV7`)k6>{6Bq?jjT71
zT>3(*ohSB*fkV0_&qq%`twZjXJs%xUxpv51+SzO1(3Ny%!XlQ-OEr#e*`G4~S7(v;
zyU1C0CrviU{~8e<%k|{;`tp^toXWi4t>qQovoW#jdgiw5Qw5VHW?yq>Q@N4g)YYq&
za$`!qg6Gp|cUFh=X~t<4GRJvTX6#e_9%J^g-m<Jp>9~mbl6m(c`nLzU&B{Ny_w@H|
zsTyUo-am~ueGvTbP9MkS+O+3?;^rshUU22R{jhn8!|VMIlj|5~bwn4%exAL~<<6wn
zVSktIP`w_{$H{g=@u-7kiiApg&8NwS1-`GEDX{&?yHklh)<rWOY?E2GL+9y?M^jZk
zZG5pzMkr=_y>nM~(TRdkKIWK-LTN7)Ls{Ri3KCf~UBvZ;uB+iMkHDsVK~omR-?_v7
zDZ1$U=heoRN)-!~yV|Xib}U`Y(7*Ui5!=N4leV3+e)raR=jCp-f42R}ys*h<@=w}c
z7Cc%kYq;k^V8e7Pu8(f+f^wm=^FQnEG`*@V_tq;y*Ole-r|S9;C9Q{BWj+Zy@oC;W
zrFhudlIKGlpL5LwXS<|Ri9P;BGaj_cEPD|&_x$a^HB(&fDeZo?eUi(G-&16QN~SyC
zd+}t#b}P##XZ`ppcTaY{_u+}bK@LlwjZUYO4|8eu*ObL*R{ngm!;4Qd?6l%xDNCLW
zVSLVOCLiW_{nX$Xo2Ac&z<R!5o#~$>5_V2;x3kWhwx_1-j#9fw^wSCNUpzH9P-e-q
z(V0*A&6L9uyO+eYyij)){N)|k!x%K>!O|;1!F#wiMHjj3SKV%MVx60r_RI}B8F9tk
zyE$v5#k3m|0`J(!PGNj6C#4v%Sg>oWRAR*Pi43nzg_0J!Ddk+@xPL55<zQNU?~)sf
z#F~;eW<88eS?$KLEwd$Qx0^&+hROlI-j*9nCvxmI6-w@R6MA#iqsuc(QDWK(v3u=p
z87&Db+<w@(EAt#SJrw>{iqkMiS<o%BCEwa)QbLbgP){hgV8Y6*hjVl0xN#iIZh1e~
z<d8w2G9z2I%8`^_ml=yDN(5i!X^U7^-^6h`R3N#POK44qV^`>>M6K?_ub$2@dZ>N)
zRZN7UYRomkgQBv5Yc2^MHkD=Fv2L+|dC3uTA*-%JZ}%F*C5uI#Z~gSab#2<C+MMXp
zPiqyL4qGj<Sft#x*ov#b$6c}SwZ<V%*`pRK7qcucnewQl^kTGIjqSRr?spik*Qqbl
zm8}mvb6xN-lPqh*ip2umB_fF%`;v4n1~x6V^4jR5?cZ=Vs<PsI^t!)&<$Fb~9%XaO
z8lJfv*l^W~YonXH;I&s8$E<XhD{jlk)jkx~`{C&>H=&pd9$npzpPwzS@y?67W5c;>
z`;%qI&t^xwoOY+@PvJ46wTFFF*BxK;>X6lbRrBbL^(F~^|8AsMp4;YCEE+6*Ciqd=
zjQGESU#H!9R#P6aFChAmp4xGi-w|dkp^t>xo(Wg83h)Fo9_0`<=m~Lb$je%!xNEh7
zlfUSZ7fYL1_J&Sa)IX`X>u*<Mzf^6g!v%j<k-wn_3>;V)*;XkWQ4n>JSkolo95Nwc
z1y|6WMMdW%`RX-PISoQPe|}kfL@-Wkf4`wc{`{vF2NhKPE?W1Wp8ny-hspo<74-^Q
zf945$B$T$gAz>PqM%t=|#5OJ_pK!;HOsx(BA6CO<PoC;9@4FF{)aL4Srrl1JZ=*w}
z@|o~Q5}&779E?%*GhA-@Z)@zzU{3Y_zI~j28iq#;Rnsh%9bv5R^V<01u#EeZ8Rrwd
zd<s1}CHb@p8*+nm{;?gbQ+-w&-l_Rb%kZ$AD&L0auA|q#mTMa3i+lNObncYgrd`;;
z9HjGa!7W);wH<4Zh-{xS<KZ$P_8p2xA0>adK6S=}Swg`vp-FAQUNR3hiFEVth!09?
z>h|&}bnKKor(M`k9He7cA6pmJ$$3u0@MxxLTEyxjjADPI&1yBS%N>8RcGJGE_g%DO
zX8d0z^V#&^{?xlG{;V@Of2>AS;7l;%(HK#KGl7l`H;n{x%cpVuxD~}JVjOb7AeNPJ
z*;C8^D^sH<UOemNv%$0Tr&mCyq?v}{{qLR|Pdv6#Rf|}2L?nC4jKr-X-T&&hcy<14
za_y81(=fdM#Czil`_ofqB&-w))(K2%+xK7Z_?}BkBfiX!^ztzX?yT(9He6qz`s}Oj
z(MA7#LX(;_y?hKKJAeN3>tyzsl60|NO~Wu>$jisjuT%1vR$+r-kj}638LDa$OOJ>s
zPo0t2BE&x9^ZT1yC+y#r`{Ih!^v~YP^-4MYSO3p5b^mzW%k^{gQl_S<S_>i;GPOO`
z;@I%!{^p~L7@pd*><Uq4@isZ6Zr1x@rLS^LQE*4bJ#G^xgLq|;&nAZq!j&1-G+j*o
z^#5Nb)Wk2fuwuPnTe%eHhcLxO-g_=`9L(+w*mH^Fuy-%Zj@1GeE$3yoJecXGF)yp-
zVQ0M?lTBF13$=a|rw;+jBK#(Y3gVR+-)Xv(%n+A)*q!+_ro+!TM489gL?~f{lgo9<
zC4x<jQVS&(2)6x`;=JIm_=w-VCg_vSzdf<?XPqxbEA#9%5n8a^>4<#c>xF_%@1z#K
zSSHxEPKxt_>$@q77MFxE9-LaEwu+(a>3?U&8s$~>O$?v^x0lTLbU!tEfuNmmc1zMk
zHwm$9l><Vp9e?z$avU`3{jktOnMd1HC}EwG%kg_F1nrJzwIpqJlh~G_av-d=qi?UT
zGK;W@lVODN9?fi(0|BiaeYQTzEQd`GZJ#6cu-dPeMPjYM#f`@^S`yZ{{g|^<u+35G
zA@d3+m*ewR)(bY>lv+4rv0z)M6z2v<#YM^MuX7xX>itmK>-K|Tmi>oG6B*u%Dp`HA
z?iJW`l|z1(>7frE%8YRuE+ucCOFeYn?Dpfr8bLdgY?T9t|5(m5ddO)X#^|WO^LE!U
zn*i1t_w`K-f1jR8T9o|#GKXV)Z@`y}9M1W@EI%~F8#?>$27j#Y==&S>IbhF4j`(R=
z`?)swDK1h=%WO$#bJJLs-I6%Vjmamp<He0&6DNa6Wf5W1Lk4lmjBc7PC2!=U9zNdd
z_M>9GpxwRfmj3Ttg0j0eyK&fQZq>5-CQvDtyeRqm1&@YzAo1C59J{hw7InKxSY@jm
zP*-hVlw5y>BfdDZrGEWRlS3aOlxxl|6}Y(Zeg<gt<U`+DLA#WUmhzm|Dc0NEDvn>{
zcz;9cp=`7=<Gqt}gG_c6eqzzRbtghJpeK;=aE9myHudl-1*>l!y#hTKIF1(d8t`1^
zn7>#g=-mw|MTs?nU5-+T5-TP$FpDTzmDTqOe7Vfw8sBU1<)TN!y?QRe(mUSDEap#y
zg*&3`77Mmrmf|e%Qe2cg{|d)J&fWl->l}v-ds!@230&ORpV9JQgPX>-?3RZM+?Zqn
zI$qrPZR)haUs<Hu^w0)(WyUgXmy$QJQi?ZL3U<j#C0Z<*$k6}9PifW9g<KqRD;gf{
z<C1u{vf=&W6osrGeOw%Qs~hScP2-ZtTcvOyQnKUJ-gQh(*;)&BtYT`@*5W9LWLp%c
zzp~-MA}$TR6%7yfa52r9+$f=Ke?ptfFeGncyQpDMUMS;XA5oSW3e1K+ej$tpRYU`P
z!Wa*Wh<@n&d4E&2&!zdNR|_}aQb`l#P`kz2#aY(qf2&OKfW<W1|BBpCS4$_?%W@se
zXm>I^weW-MZf8z|L#sL#mhW&@yzx3f<C}#@+g(1@1AMEM+FrcnYhBRo%z5GFs*c2;
z!dtaj<Yl>zvbP_a{xi(ANAA50*U{+qLm!SUWT{9EJ<_o0X4Ium*R<+ac?3(yS=0$X
z*cU38e8#!m>BEVI0XAhCHNB4lm~6IcCDl7rJqzHN2RQ<1{eBs)_1UYH+}2;`Ykkn`
zyl}_!0Ev5+BKKCU>5_P5XCZR0N|x)Wdi$a4XRnC`?K?KvzI>U64Rh>UCP(w#4j1q0
zefZlD%W>iIw6&j17sm0mK4@Ay;c2!@yA0Ri#CD+v9if6x?Oz3O^jV7R6D!u>QJ3**
zsGl6>%G17FhU;Kv`=RSI`C1>Yaei35Jyg*7MP<8F!G(nZF(n#2(`C5gC*64F9mpE~
zhUsAFZim9NdImAuxf%0rumt{^UDo(uTI_-yx#AM<Zm{ml*}|C=R+%l%;daw%@{C-!
z+pKMdWr_z1W-Bb4)mYY;&=9-e$A8J{*A^!$S>D&b-(1Q6yX<@2_Ui3tzQ5aBeNt7^
zbD3AsZyVmLwtM~k%l$*YA7HgQb7oFr8Vo$^e4=!l>39Fjjdl0ur$kO--F8}T1sf0Z
zl><)oq5_Zo?M)RVma&O^zS5AmPAX!?Vy2`QGuN`QY`)Tvv`ot2OaL?Y<4}hltIJm#
zl4jLQ8Tf=Ua|eeUnD4ZjasHWAjjf$w4>OlPc+YZAY<|hd`A@tO-9N_dl2^MCSHq$z
z@0S=Gp%5W0rg5!>we4!+!9%MyBqXOs6l_q^*%2WpX7h!MJ97`0Ea%Z}6B?I&nAFD7
zB%av#s50Wg<arws9%e>t_^6^|Q6VE1W5dNQC)d_mZz9)HbZ&#rl;UZJ{s!>473k}H
z;M3MIn8V90me<ZIz5P&A^X$ZfM|(FscvuzT@i<E3x!AUrqP(RArXtRJvbA+SB&z8c
z#Bgzo>9w&+iyvxg{+xKw^?QK!wvCn|Wg9d_$~GE`eA}QX;+JfzeMjScMC{#b4G)jT
zi*+W5z5e&{cD-o*tdkd3`N+P1KiiAZ?xYU8#=U9GJn>wOKFPjZ=0~D`eP(Q${gmP8
z+M<SsS#}9Oe@jO3eURHKvp^z{&!9(#<<H+W?*q65ax~aArcGhy@&4<;{PFwc!~O^O
z1-@vpYgCzk4m!bpOG@&W$c+8VSGKDBvF+7h*KnK0%(K|)L)Yng_FF|sEB3v+oKu&7
z_m9Y52G{RJ4GWj=&$hoX&3j>f<Iml4F&>BRf1Oa&kQ8Bgz~Jcpt@0V4=bP@l`S85<
zzZKgy8Mcbv{3CYo)FH8>s}701Kg$&@c1>hk&Klhu%ZRueMH}sY&+W2*9GyFrcTarn
z^$0$}J^U>ib}UXj^300d^O@=urROs%vd1$iiswuHWb6zG4bDz3RcpO_R<SX`KVrcR
zX)%d!t*qR?4>$EPCmuX_aKnQ~1;+Aer=0f1nU_s)=?dPIk+@#R%HsAzeXV~k9lV-4
zS$cWGI(oUE)naZv)7`NpH!(8v_OVZw%;b~2ZtI1a{a^E_z4Yht<F~S;{=P4$-;(-T
z`t_|>(uVJs+pqsIQRve?#=mvHy1V4Lwbp$+F>(9fFVjWsFD3}qI%rzOPh4^<YWCra
z<<l0Oj&P9=={l!=+2_{pbwMSP-7lQIF8(Z;;Z=P<x=Sxvu(n9kYW{^=m+pO^0#Z9a
zy6fEXCDXQizq|B>k=VuOQx<*pT&n%H*1cYHSC8wL$fYl8qF#7Z-;V?9P1D?U{h0WJ
zi+l5RU8isCuv;u*d-s^`#l88OVD8`Lt!&;$UN2FaXf7_jhQ;+t=26@3t=sM-h>Lt*
zb3p0Wm7tP+0n@(k-5?TsOzGE@pptzjCQH9L1$O0OuZxxJO6E6Q!R}C>TEFOX>$E!w
z;?u#djOaQy-K(fMHVEttCrzvPHz#<@GQn;vT>7GBr;_=NRItwD(-wW6+HoggI#{E8
zaMw9;$)e`iK(NM=jR!xe?%DGG=TSCoN5RsN!t;+-GVMILPU~G{qwAN6OJ6MMJ;_^E
z3Np6-%fq8RpT$&N<ATrZU~#=7cyvYB>^s-4i7oaDaFLDgITe26QrvY>5N~d5&#Nsb
zb8~79SFyNW`FC_h-t0U3%tSy^vQa&+ww}z*P6kUg9$oR$Y-en)mfO??9acY<*SM*#
zsCa&H?VI?}n%x2!r$e4aZ7^L?^2l&wU`@S@_IiOkV#ZsX8uUY%6q~r8u27kO<IjRe
z>f08~kvMwRXxUE=?&dD}L{Cem!&{BgesXw&c!ictt*=vao+_W=)2eH+e9B>#H)Z48
zegpj#KLo|5DqHLb;@hz!g0Esn2;Y)I%hT!i>lPf;|IXK_aIlxJ=4}YqvI2LX7pET9
zxYdVsdL}u1`MhbDrNrb1bsA|4rNmm2#IlmsM(~TccC9`rV;IB9rW?b@mK(#xCVS`Y
zhreC#r!}>%%IC}cr?;KG{N<xEgITltx9LipJ?nm3s^{6mM1xt=`?pz2oIUM+Tcl^&
zvF3y=C3d&kz~rxIU0wF;R*QDe>OAV(<oU?DzTnZs_kLe*^7NVg-|~pPRQIgpt+2c6
zHf=P#d?740divQXMm4hnH8;2AZ`#@S=<mNMH-pWe!bR<QH_!U!+q?DaR^Oj3>7iYI
zkw#axo1|7M&4|^sN>$Ah3SSvkm-~oi^}euo`%eVV$T1V>F_qR>Hj|ep`3xI3cQ3o+
z#yck@r%BfDY;|?ZOWruqdPe3Gy)=oP?JwQt9h<U#DO<?o+;wYKo?K?&o)^RFW)OZ_
z<5{NU;Tqk|8yrsO=v*;kzr5wl(Inl^8<u=JE?Tc^c=5}0El=NCuG1zh36)R%wf?!e
z21zV%W??SvNSeTB$a77R+qvY#u>jwDnqe6nhrM_=Zt!x>sb6zVfIZvf$k8a?j|N`O
zmtL$_^VQt*-^-WdUhPtyd1`Uq{G}ZU2d+!Gd~^`+ez=b>a>r_Cv$%{;ejme}CGKT(
zv|g7=dOWqwqGF|@-n@*C_Ty5MjxAna7xJ;TGeyeKC$jeDEIo;@TeZBF^R$Kk%RQ=p
zNa3>T<kjnP)~w7~c_yWPvu|Y2pD3xTTyp=PzfgV>xVGVQ^P>}|;=}*=oQ&S|wX}4m
z&acp}n*}EVuRn4>mnvltW%u9WEBk9ht0V4KJ7;Sx5E09iJXol^*kWNSkNVa#hctCJ
z7e<wHh&(RoNZi90Ib(sdncAxf&7M|Ik~Z;G&RFD}=`%H&)%_9k{v^HWhw2?FC3p*(
zbhoPcZ9IHJ^4pcJr_+yo_WjhORjm8;OzqE8M>x$^m&H92x!pS_al2NrZtI!3Yo{bQ
z2L~62rhYZooO9W^>2sAwp`+c&S*wc|Z8{P?d!3}lLEp-_=w+HYQ>;6T)GCq>?GZLh
zY%5pGdAOJJ_Ky!+|LxG&Ce=49adu-={f)c@W@Qp9n&+~;O4{C7Wsz&K$fPW@d$H#l
z1Gbq42NQlS;dvlZC2i@bue|(4<`W*<o;yzA%G)oVEvXQ3_L=eUayVPg!_Azxe`Flu
zYn%D)ZPE(P>KECEGQa$cvidmtcInBjA=|b*yAV4s%hcRt$&ocRep~NMvpAKO#X2{7
zZI?$q%dF)+9$bRYwUvt|9ct}i6P$Bu#icXqGY=Uut5&R8@K)RPXUDnRl}g)Py)JC`
z^4I(&t#O!vd8@$&uXS5(zWN`l>+;e~Z2IS=^USxdkta+o?f{Fk&y1uMoS%gQD`S|_
zrB)lnc)Moyn*}s^vUz=sy7*O8^RO-R)`I&Exi)42_3ZvnI2{|iH1kB~X|o-$`JP?0
zW811fvt$wviF_Bab>}p;F-_z??{ni=v2a;|`@EMT1!mU|O&58+dda+_^Ou^Gp1GFL
z&Q$homB*^C<98yz&hDD;o|x*Jx_QQx?$>uU-*jyjc(ZQOHFZI6F5_fdmd#1FBAb(K
zd5Rxx^4VyA?odI!!MUV|5p#|n`)JYKEL<oi&iz<NZrSn3OEVPbU%PoObnVukns4uD
zt(^MP#NKJCfuG!U<~a#_CI<Wbh?;nCm7ea*Uool9wXw;|*o2?Ho>u8?_Bh($Wp?Jw
zS#M)vCqHe!pja>RIppkI>szL#R}%KInVE#vH?J&Wf8bmw_AxlMaaH{Xi*xVGil!<(
zcRl6KtrH~mBP=vH*;nr}<K~c~%G*vIHI5FoNm?CYQ#|$P<#k5U^H=9(-QQ=_T4~7F
z#A?Xb_0y2Aj_K6p`-__SyB70KN$711T4NT_CYq(yHRJk&q*UHtTkKj@*}OJfanPJ5
zsd4y|R%#{ZtqCtR?s@N5I_9{c-ssptZ@2dkl3DFrH@M9|t!DTC()3v2gF?(pe|(ra
z&xq%**Ug;2ZTACi8a8iTHLu;jaMkQhS9@zXq!jz>8T|}`PR_n{-Td12D<38oPcf=q
zw35pzO8EBjJvY}(N>8*qANtJYi{Y#nbG7!L<gg3d_iW}{oprZA%7wO9r2m>)V!EoK
zK4`|mR2Ji{JxSB18J@Xj#9h4Q%&{2VVgvuvF=wtD@pEs{>22Mm^!RbNo|JFm#A$*$
z*NnPWMjiX`@Viyo!rf+a@&B`CHm{3%_Ncq8Ud}E%QfhwPdQGFY&RusmirjlKDTO7!
z)H7<vlGO^*lS@w=6p<}5^l7*8xxCP1+3Is%jaK!aCT>z|E#Gfm*!2CB@|u;;{~k06
z%|Ciwer@U5wY)odmaW=#bJi`N=Xyy3vlcD+y7QTj+3Z8d@4wb`^bfTUTOhKRXUf9u
zdyYKVvd}wp`m<9peD145j^Dn}`us=7s{fBy80cHAIk@xBkrxxPqM{C&`u0kG3A`5S
zEN+-7s(q7rmD1^Ylay7jf8J={7q3|su;k}@|2M%;6*ClXte!RRYO2=eP~W-5ykE~o
zET3hy?equ3rts+f>$gl;uwO2SC!n{t^2K%+o{+22&c(mWw*KoW+pTrqPA}xVS?JdS
zXJ@;AVZMLblK$wf`YL8_^7NH-v{dva-aDHkLmlr-&S#t*vh@Bv`Goq<!nfUW*8Flj
z8t<yxdt<?=nB-vY`^BlD%<FGBuDYZx&A~RCSH$@YTbtY}yJZ{K?%c%oJVZSvI5_m*
zhW3@aI@R`N<e&KbF0||4R?X+vz05<G{#1>Njh^llF0A%LDV|+3x$C^znGc@be<y!k
zu*&rJjO&lxb7TH*u*)!fKPk8(zy1)*d!HGP)^Zx}nJCY#^}tDa-)d8lb1mVYdS^E+
z+{vc@^!IYs6VvzK6x&x}y(BA>)qUGat!DA5|Mxta&ek0Nr+xn~anb#TGrFp+KTXv$
zytrDd@5RiToxU@;zO4K-;m_{mty4p9{>qXG{pwrkUR=s|Jmhbc-18%=o=>c?)jz-~
zS1)CGlsWZV_jdOC{};~_%2{(YxN9xjsSTUME=HRsFIqJ}o9}C}aER>E9qtdlb39(X
z<oEwMpH7~#FjbIPEj4A<?Wg)|J%L~6vA)>8^zo`!+7cCM?kk0#GaKi>R}S4foB#81
zBd4?6=M$C|I9)aKn)6`E#NatbMQxYe@*eiAdY_jUUZ3%+IixwR*xFD~L-yd!^^a^=
z*pqBUo+sP#h$q>K>1p+^s7>8x_iN=kK9QC8rSvo(o12EDy^h|spx>x&o7Qph2S07U
zgqexIdvMvGCtU5^!ux_>g64@;o=V@#=5^71U+>YC_IB}MymxP&60<9eSk$E|5YP8Y
z;Hc71u~506tQGaHr9Dl*_#f!337eDi^wR!Sr?l6{%N09rePr|P_s?awVwO2`T@O+A
zzUfd9Ynmpm|N7nq=cd_J9);dl>!0j0`K0ORT&tY6+RHoPe?$K2Y4e&s&DdOG_;->_
z@Y++t%l9-NQ@dI@|Mev8K1<_OzH<_GX?+a4*p|I3A!@7A`L&k+H`YH~`KqHS&?j)~
z%0GYVR>pjMbga*#Xp@Y$!bX)@OWYovyXPXSG-H9Y$m7zE#5sJC604ofuAO|U81T>U
zZq-f&Zu8wi5o+c=Ov(}KQbn}4^dv5w78$WL)hz7v+RphK_85x1yS09r|ErkVx$zqp
zF@>KzdU$!})knuZNXaTiMC#fq)Zb9D)1G{&h*vRUq0hPcchC3j*>qmHiQUTOV?euv
z+{+2A(N-ysJNYatRypg<dpV)~xz&_o-CCuVfjJkZC>)OB-MGQWIp@q30ru^tmIa0G
z&Ng?hPB3|=**QaU-xYzTa4DxkPiG0g%#PN}pCsN08^*6XBw1N>VbcDibN25#qV8R9
z$k$YC$Y&SWRrn!uk`1e-#g94f7HL(5X6O3W=C1vp$UCQ}P#~tSFd$~)^S%2PTijYV
zv3d%FdW3fT*{qN6^E<gKf3A)Ue_HBx!>K^`oKvCgxkClcrCVIN-#-c3A^BLLLgw)T
ziP+pSgPuvtnJe4t%?p<_3iTKSbUkD{W#IF!{%-V<xpQy*I`%8Zdd=kLZgyhja}7e|
z9@flQeI~KP#^jgJ9m7KjuKw@hXZR&f;1lEtd3(;iMo}j|L(8CvKg#W6;AsiF%$cp{
zqtYI4oo4xBl`Wh2-7ROF%XPgMx34>)!S-U&MUkp3Nk@I%#V^*T@>G|!`{}NkTHhCv
zVAhv8v$_6m)U!t|(<&`ire?-WobPhRxb5Gr!^wNXFR7laC|}}z$;m#J_2!G!&V2hc
zj@8NUtXS+Uckfkz)cYw@C!gGspVvP7)7t!lN7_p_&n@(>%WAoNX-3iY7EZZY21n(D
z(<&C~6!*=}{tzR%wPgSEnVY=+{I>Of`aGoeN&KPOMV{LV9@anE$R@t7z;@F7Le7Nx
z*d1}E4s3aBwin(koa*mpW%_8}s!d+syYx=`uiGN>{!@za)SAxij#pQT=YPHvIMwH)
z+4)@CCyUqCyxp<1xHftBFD<*UI}_wzXTH3%Z_~bwyG&;bPoK4JHZM!<)9mYx%&zjA
z8y9ZBnXqvB&4k6vZ$4PizN((*R(RU$BbS!#mJ=$u?A*0(>ZPkUrk(4(p|O5-G}rgs
zxK&Y(FAjYZ`gzLjqM}|-e%RKF2U_2r|1`OP(eJ~_6F*O^&N{M``}X@M+_xL!_vTE}
zk7cj>yy>iiWZ6maZP8Zd$=x-HtC!YX{k8Sa>aAk`SAEqsRrv8~Yl&%a!vo&PoWT0I
zzDKohzYcls{NdEMu+YC#*XQJisn6TLX|HdnWux}(+gGi{W2fwIIL;Tk{?46q^TpnU
zsK2vcp<=YpH}cBS)0d*B{#=)zmv{c5TIxO)og?=a^q%dn+PmuH&k5T-WWuk_@Q*e+
zyYpB5qtlbTH_A12Z<Ooe-YD1hd7~Wn^C?#Y=4aPGa<2}n+;TMgX?n<C=NC~w%Ti56
z*H4`vbj?LLU$*t%pA|P93XbF~u*fkpkTH_hkqO~Fn(ADe>@E{9&3T(v`0Xic&vl&s
z^?T~mh&^lepLgK=CZ%yy_2<3$3uXohN?GbVDnDn<c(UhD&m8gjHN_?e{$83~Uv@KS
zhvCNf)=%bY-|Alq=o`pB`t0)4FGKF<+S2w_Tc_puteO0Nws_r>7w-2~_T0Ip5%yg3
zjZr|;UA9xkxeIuzLt`uSE-y5Fyg#9!TkE>3&xV^Vnr1T%4!a3&+i<WYca3=>`|gm^
zr}x(i`ZwMW3@ut0T$?PLr+r!XZr;wNKHDpHr_QgLYVBWnMtawb`numcmP?s+ZIAx8
zI?bu^wr+Un^1ugWr$w)xSy!?9>83y3IgEdA>mI)%_<4J@URYN+@6*J8oA<oFRlEL?
zwPWjdg_#>V-Mckv=5%V*gmh|{opTdmPgm;dzAUKP`&ZF*k6>M`M)#ws-4Y?vW`TFQ
zU6Lat19i@HX_!58y;NvmzNmi344FWiJ$*|iyRE-f)M~Od|NfO5VWHFG{lgxn#qKN>
zi+p%*OT;$Yb-xpjeplMDa~YTat5dn_SEN^Vixp23nJ1-j(3p8?Mc#s%mpKc>;;v1d
zojav#aiywk&I?gTWuF-dJ2-=Rj1$|+x3c9VuF%}ptEl!SVFTxGiIDJjbGdf>sJ}Lc
z+sdUNrd`ADg}`A?*-Zsr?KyL<Db_{3n$W$u^yyMB&W~>W#aaq=x<zrk9DP@(zF)}V
z$klJsvY_7DbfLroMIL6;BZm}tHyZAkYjk<f^I&=2!>Xnm3L<%PVgi}j!>=AVYHIqS
zAeQ&ij)g3~nm&QdO@XI2iLc*Z8MRt%Pj&r8fv)3Hhd#JC>wLNJ<bYd!=`u~Zt6GeQ
zqfIw_aO2I{6UNNG{>p))-liWuIPqSph?9Q%DEp82J)Xd=R)+h&Pd(Nm^}p2I`L=8I
z{as=oXYG8n{>=L=+V;0sJjmTS?V1*kv6@rC^%)!|)i14&t(+EUa#eJ*p%XvnwyUn~
zr*;MXbFbfa+3;R~=uNTpm$i@CE<I7!@O-oFtr;8#Gn18CljSe#-HP3|KKtWxeT%@=
zjx6e>9gn8)8P2(;$emtt;#i_=Q9)RH%$*C0{Lf1@dT%#QKl)^11-o;uXghDs#h&v)
zAqW57pIWy{SO4hY6>5*;4WzV9mn>?WZ~J(PLH$WS`6b1H^#`6wm4qJC+Vk__Vk76u
z7xHJsn-9*vwtuCu6vw?;ydv+Hd{)jExE_Dcd$ayIkDY6tdok~PP#4J`^7guW>!yDJ
z%QYnKA2|JMv5?rQs(Dv@xlf$_HQ6X^;Tm;qV-bzlmTb=dk~ZCR_;565LB(3F>`D5s
z^WHA{{2=YWul&wur*hct)`y<eh?mop;oc*!$@FuMKWq2g8?m-3J9Ko7SkC->&+=OF
z>l|0N3&B&b+ju7~UDfw#LPD!tkj`c2Hr1+(#FcWlZY*9UEFbjclANk@zV7A=?x%CU
zTs2}py!Fgcw_H2hoC}*wuDzD<O`JPTaLrYtF4L%E8=_9@teNz?`;T-?kj1KcXBPL8
zjz=5#3}r4Ua<iA7IL0Vjv?0Dd=FQ}58(5#{JMEUza5T?cD84|`$2hU+rJ9f7;TFlX
zSsPj-)pC-zJ=~$OOIqXb?*nhwYizx6S>U>xK6B}X+YYf`3<LQ8@|g6l)QYNbv1r}P
z=C#4|UDKzKm?JmVHb1I+qi3sfV`aODb^VtKiM!>ZBGy@p?YBK|9?-<f=C$#HgQS?`
ziq@l-KHOTk?7(M!<NT}ApVmLWsigb&$X1m=*4e2+5=#9Z+$SIXsMxZt=DoH@McQrg
z`q@UC^v*dOIIQYA(7!y)vpMs@_F(O=6OvlxjC8Wabyo@H+`l#R{r85O4h0u-7FeX1
z8OThlH<H+~eP0Ma=d>4!N0V$*BJ@&Mv3x#WdnD(<);zNS8DnXQzU{*AGtNsNS?u|U
z>GQ2ypG?!^lOu$8@Vxalo0q0Eso6j9<k9IXo+JjE{4|+%wXNu3{Ihwo+d5a@l3p~a
zbz|VkV=XJ5BzT1s8JcQU&XAjWX-4F<BjNjw`ZjNUDx_WSv8QobztQ@@IUQbm)-0aX
zsvLOo*sG$z=c?lEi}m>17X?YN8$YsUQT433*Xh5?c;TI2^55+=GkN?~&K^|uEdCg9
z$;M{F7L#}GMvuc^e(ean@>11vb3yc_oI8_D*v(aY^`9uu`oA{ZQ+bD0CQrD^*<-1m
z#T$Gt#jNSecqE;?x?ZXEfQ#apDLrCg9<!RCPI{I!b4g`{R%WJ7XU3z=>$_EY6B1RL
zr=56^bkbv^!zG<F(@ms5tDHR;<N4XZ{nDiwvL}0VjW#PMiNv?uRnO$9R_$HCOy%ss
zJkR2d-j^=jki2;0dGdB|se`jTmdQ?h={sp+(&{CVH<U8@%-l^rw%+KipE!3%lKSOS
zwP}t^ZYa2J+Yon2X3b<1{%lp<UTZ<$8i_K$l>Ku0FC!;COKMxP)KG0vCx3_kBok?2
z)w4${JiU`+c2tYWU!Nzxv2#(B<W|Eq{a#|%Tvf%h7fouv8mQSD`Y`s_eWCb3=~YGx
zmu_${3euTw()RM9;<5j8egvINPp)r!QoO<CQ)PrsW~NS0#-p^)37(5zC}xW7@}AY4
zH|g2JY!~6b@6LG4J34*qa!;wl&kHVpwpX`{{&e$)#!s1=2`1w2Rn8uc_Vj-2>mn@w
zs75c-?4I|m?!bwUMelz0@bpf0aS@LFIH&9(+vD=1dsUT>3V0^J(99HL^P1JmIO*Af
z`u$6GzEIDU`{flSRjai2&ihU4L)&{7oqiWBd{yF{haLBG)w9Q3J&OxGFU7=6GvN<c
z(d~VF^`X!2*l*W50!wb1tMoqHwj^?ga;DikuUXCQlb$`AxTJE2R%T{ScgCacN6{+1
z{Gt<^Keu-&Pnwt%_9RB=zUX{~OffajS-s3bReJS&p0nByPdrnhWPD!9&2Sk{p9%lR
zxgRf1N=sg|#8N^(Q%>!AOZglz>vwX7UH+5z_oTShO#Rcl<m1%dB|CrUWbQe>nzNTL
z>C;VPEx|qgM?`i?i){_`;aSh(vi`?HE2YR8LcJ&2&#!or5ED{#(LP4bhbQ{+;k^?s
z1XakK(-S@%Rxht<bXdZ3vw`KEni&$?s=V#k_o|$A<@fyj!S$54l)Un+8Ektdn>0P2
z<n}S-(vM7mo9iV$xp_*x_f<W6)Wh?0fypNRi!94rPPtzB&Uf;-LFlK*8!A6@-b^xK
z|E_xWsIBMc0`E(gc1YTl&fH(}QcCXgj2|hVc6y{3n$4SJ!hd&Z{f^9%?u?|0-y)h0
zhI?wKm~hLhoIP0W`S@|2%jU|*6{?vm`iEoNE(GmRm(-N=kUGrkxw#<t(hsGuOEPz+
znedk@&-~cFLR@gM#3DJbS-r<6J$tlrNo9pvW~NR5n;Y_WYHq7Mc|<R(o;_sZx!ExE
zQjE_u6aL9cQu0~`_Ln4o9<F!yKj~o@cS+)y=d9L^lhTrVmRL&YX3DvFMoBR%N6p&4
zHg1yJh3HEa>{^*TwW?>G>^(PMjK3tq!x1kaG+my}UA0MZ;*3Qu=I!llg+cti$5JH3
zrt0wYdzrbpsInhle6sq6)YMBigz|dAx}MihUh?s$?~X<;-B!^_6ZKnFd-dyosr0V@
zrE>OIlxOh<w@Wc=rkU`sR?+R9D(K4-a9XuDA@0e8KSy87ob=eJV51e0bR|k7Q%uZL
zD&EBFrR5CGOuaPED5=fLvu4~sGu5PN<wWL>=@!>jl#kYUCPye_iivs6>UEv;EMeo4
zoe@fza?`w`q)sc%{N~@cS#Yt1^*Rr!`opQ7n+rTI{YY}VBoi}Xi^(}xlS0<_Jxc`V
z^rhU2H+wgwM`XE5@53caB4<cv8YSJVaQd|KhF)gon~sd6HFs4rS$3=TCiO2dd^6RA
z`?t#3V`iSk1rC>DWTu$#w=2!saiKiq5=Wma*Dd);>%H8%gdd*lR}JfRSDD{>c+#_k
z{Y&bX7TPW9JbJst^YP;KODrWs-o4W;^j+`1qg#wO+v$iJ-`lDk{bFZRy-b$1N(P=h
zIyu9IzyHvr46*Nvh2H-?q<emT!(5*A<u1-Ug?mqau+sYI8WXbVqnXx6)tHb++&wF%
z96RyBu}iGTYL5OzhjVSO@8-+@J=EEmwO^n&GdVq^sD6X3*3KI;Q*GY#d1b!g^?qb@
z@IZmuk<cc=z|N$KkR%C_sX8_tYWa^tLy9iwN?km9d&TL)uUAYt*1h4$$2<0&UM!Oj
z>E4|$7T14EqphV_QLWW^@ub$|iyeG?ZmQzH7f))h4b<$leW>;zzO+I#&n9V>xk(LY
z<e5)xuBy_97Yo(TpYEnAetyx(>I%WBmntIv9Sf^X?*1|T<h8J{^joWTnOOh$)I0A}
zv5Wiaqr#l?d8RygY`(O;Oey5fkE86uY8C6fZ~w3PVa>etL(KFo8k0o&u1FgkT>0zL
z=4D)S4p}NcuWg(dJjW0+;<I4lZHt^Ie0-9Y`S0A`J#3h`eB=50kUb*TeJ*Os*Z0op
zKkDXJc;|$qpTy4A$!>X%+a_A?$b6!gC%Lozw%fd8vcVsXVoY7rHSd@fHC4O$72ZD~
zDJQYBwcRc6@x+PNJMy0B$w}{QS9hCtEI9aM)Q+UCyrmz`oZy@%ZF!ViIlUtDiCCQE
z&fe>8?;i9{{Jii^h>e-v?D`GO-fC|iE#j=+k#MMHT}=Eh&hDPuSw_55V}l~Z@|7Z@
z{@?D3KRV;bEt|&fgfJ%Yqi0z3+9Ww+#FnWS+?+A(z|({*rs>_9oH250>IF*;HTN}X
zOgo}})O}JXXAFz5&<>4b>IH^p{2pvF&}%$>tcyjDU0ZlZ%o?u;pEg)EYWLQgeiS{j
z!NN|{PHe631Eq-R2V6JWHFhUDGfi*p<%}^iQ!TKJn0Mgl^>WS_xos*1OE;SCYtqr_
z^6%<TyDhYXW1D(G;2VzzNjGL5NKFi9n%;YtGluV*O2N(>((x<I#E#9D(djVXIYU1G
zlY#!dDaY>oy5wCevP|uNx?-VNlm1(;djI}x9nC#`Uj_DbeGRbb_-atHy8m-Tg~;r_
z-u8`h?BA1Z_1+z=`f<ki+Try1Z<AIvJt~eds(Df^_WGgm#R&fSy~nB~<c?SA@N})#
zv%A;Z`_)21_;sP7)wRcoA#2ooCl;o&9$vn~`FZl4jvcWd1@kJ_v?oQZX-~{pbNtYR
zi2nNCgB#`8^^^PW9zAfQ#D=Hi>ynH1>$G%gdQS7npZ#_8ZC8J4ZL+OMZIUg|-eg;`
zs-smoUwXb;ybyd{c+qwpM{Z5W>uQ-j{hN1Gh^K%2V0LcOvDu$qa~zu1wrtn0tcNF;
z8hn`@A$)K`zRBV015=#dv*_7z{ZqW>dQsakipN%XN4?G$j|ZDB+BTkc+|HsWK3`a7
zal1cfOlHj==N#5Rp1$*9@)zxnyr0mY`CfR3#y!;n!;;?oSf1ubzG7_0X6Nv9m|MP(
z&o8>DfA7h{{5_hzcK83p9$kNS*|t?8_f$3t?)kUu%Ur8w-PT54&l(nHp`RMR)IS-1
z@qV)TgU-dm8!u+pYuK{y75*9X#p}uE3&s=md*ygJSM@#$opktVdd7E^-A|uqY<ID<
zJEiu?aF6e$UlMU@8zU<w9!XoAJ!w<1fl24tqZ3rj_?su+xFj*<z_kP6we=nekFS1h
z|B;pPAU*fLi(TC{wNHUHEBzlOOU%%)-N)kj<Z<zR7dv)mp`Sl0X1%Dl_|Y-z#PQ=-
zez9D2yJ+kA?Z}Q<Dch4$e4ji{&Q_9-U!{^+%VRs~hRzqCq|Fz#JA2Ddc;@K+QrY-%
zqFJYIZ(Y5HZ<n;u)_wX9zUE)u-?w>3Me>#(=5OyGi{yy=yKu{bfXF|$zw0O4vgr5h
z{*?d3zI$^-Mc$ps5yndj46ivCEUdSYzFHY%A(yXYA(p?$LNq_gLMne#fy%v<#|3*%
z9$Z*4xx4wJ8o&7?-^@GRYel<0Z{++K_o{AB=L5$*osSatbUqB+bMnyRipjm|AJy3X
zAN%UPJ2u<qPN(_OLhEf|66cPp9SPbYny<7&GJnwynf#<3a`~GIbnm4+&f0VG;M9uA
z_1)ed)%dL+`DVW9Su4t&o-Dj6=!RUr(hafvMK@&glWqv-Z`xpfFC{sC&&h-TDkgWw
zZcNR5?6c<h!Iu&J-OL;1_|=nbGv{dd-!PFg4E0F#RFXgV$o|i|NBNIyHP3jZsmz#p
z#=}r~=ETG*qs6V6Cwt76sTo_%m~`evlAqDy_O<mVdopu8Lz+dpg;Y<tA3uAthh_F8
zNlh~~W1}38v@IL#W?nqDE5(i7d$MHg8sD@p8`NeR_FnDGnvj^dh~=lpF0Gjp6H<+o
zk9MWFwLLxABQ{Od_?E=vGcOWSj23raJlP}XrfR&*P$!T#Dq@0$qur}Mk2DpDd1pKf
z&1Ozaj4@hV-}>=nkC~c^vDJ(jXI>-)E&8<0(0=0igL_=;#EXT0+W7cA@lO6G@AY1U
zSLgofKk`a;|BqkFwmjVPa5G=zj|I+VyZ**C%iOtswCJN(yUm^}3r*twEdF$$<A>(6
zU|r>kMX4g+PpyC3YtVN6R)yHQjK?=6zE;aV{@XcisiDi^BkK+8e`iTLzP~^H-b3-!
zng>^9T7G<e>U!3(BR(<ig2Bh`Q{A&nj_Pas|6gon{7+wJd3K-EpG%(>P5ZcX-n5Ub
z?bB{rtV!kL%b3~yJnGrQ)@e&OdK^B|Klgi<<iRpu-e3Da+*#V|vC-%Bk3H_Eb#ks5
zNk?xvb1+P|c%$#>AD@Cw|5z1NfA|Rh|7Dqy^IvCko&8_>Kr+{*>u1!l<<3!Q58I~Q
z+_5y3?_I{s?)0c<4>wL*TIh24h`;#xY{`SHx{G%#N#&WowdZ?lR9f=JX_giXU%DOP
zm-o+_*?#br$z_YBo$7VMm2Rgw&SmXd`)7T|Rzb~knUaSqbvG9{p3Zr5{mb4*;nSwq
zZ~15=bA6M^x{Mh=wmvmId+@jZ^&P8wJqlw_OXOwDY@Ho-?7WI@aY6KHn>!Z`K1!dh
zj&j=&eOkjTL-Mej?&b}ir*mX3gsl4^`dlp6W?$L$O(xqiXH?ujUZ$&T5%F)4<CLWv
z{G}%*wNEpAbH#{z_m(rq6nzhN$WL2${aEov_tQ4@Z>|~?)*QcL?E7(5*I%oYhYfr;
zEmk=5@x7eTec0;B!w$Zsg(0;GA!l0kvn3t*OYgtj|8nE<X@)W3;eG#~9gn+JVYV*o
z@zRU#!v1G6e`n5Y{TG#%+%U~jVnwPRTjtF6cT#H04moe_NtjX9q!hu`Z!%S7*`dQ*
zJB@lS7;!J&dZzxEgl@4x*l8P^3(t&u+ojZ&?e5>&^I&Gx9zmVf{#zX)+fCMb`jo%V
zp4n=C%OrQtRU`ITQ&#0=TjIBTy!2y9;-epZtHRGL`seq0LT|0rlLt%qc3LcSu35Lh
zIa4NBervq7|J92wPh~DCwgp=qd2VI(<iR|?ofS)*Gi?H&--{Q$Qy=OsEd0OSD&^r+
zzMB<mo%!NkP3UH~dh&1|-_pX+-Xm-NZTmIjulbamORmW~Ryv!ldp)5!+v>@q1$>ne
ztDG}+0-xWTUv)mfUD)_Nt5r(kJiePVRy*@OdoiK=rqz?gIZ~Z3KZeLA%~<3truKS5
zucy_MghhNiXDo1*JN7z2YV#G3dY|RrUkJ?ieJv2LId#9OxZ57ZR})&jtx_KMNp*U@
zcPlkJGUt0^)tnE@_na=hsB!JJz+o%dO&h}6bJko`WM5r+;;5GFrwu{vmm)s?z7%e@
zsq6JI%{hOMWhhvD_kDTzqn9(+^<)_q^CTG&_9U4-OOIIS-03R3u|xK8L4o?QhmZ2=
zdk!DoE8#Bn{cNjW;k`L5z7HLHnja+gG(QULX?|GPbNJ9?iT2**hJ5VlNiuq6M=fmL
z^cODKcs}L@kN6qS4I<x;S#W$iVxduX+(M%4h=op0f8mWAl8*~E=pH+G{EI|;yR%`R
z3Eyn)yN6thg~GVplVn)jlVwDXC&};}PnHq$t3P6qGpDD}!b0?MLE){K1T(#vynK4I
zdG*%K;FVi9i#Iby`@fxgs=#A^uH?tQEXk+#ufH@aXvUlat_G@&-Tr5Vc1XCX6-3UM
zcOW&{jcI!GOwJg+G}VI28FLRzJvQeD*CGko`6BYY&(sP8&v-vrG-LjOqZ<?&PaitO
zq9@%gv_qzz$NNE%p-toI!yPPo`)s<_E3^fE^!zw6JCjNL;3gKmuBV(aJlaA#bk_Jh
z*tAirvD<$hsQKpk;L`?+M(y6MA4O9VqiTX`rbJIa;JU%Cu{+6`N&N6J7Cr9SLOXJD
z{2ml-P;ESY^x6-nt%iE{o+Q-l>0CeYv9FxkvDueqd^y`+ueI;KU(JCHTG2Q6t=(Dc
z{{O#PPsWePqx_-^mhDqMT41}yV9i5uQTu6$dY31x6kEKU^YgyjEtTgJ4~e;%{qbe3
zi8(8~c59Mx>)GB-UK>_^WEZU$4Gor9Jc-jy`_h6ND*`0VG(xyvi>~S|<+^%Ms`XXU
zTBolDehZfwnk`CO?dn<I6S9J3v53~uiq=yGN-M5)uixG^-;OVI1<PR(t$Z7<sfis<
zTMZ%>-jY}nAj=c7LXYi4mRajmuBi!Aot8$d4Un9s6~e78va0tf*VThUt*??I1D;0J
zF4nmJl51+hET^S276eEx(+c4Z7FpGMlI!Y0mDX2Di`IPlbazz%=QhoduKKrJQ}s1O
zSGDSLP1TnXUDX`Rb@k}GV@cOT@9uLodHpT&o?=+~E9u$6H-cYXSMk`ed*O{xw!~mA
z>njZj)ra}D_ldLFY!vymJ^UpL^DS@Atv`R>Qho2p&@`9Ve*KR?7L)C(&!ldec}v7h
zQe%B@+xzgE?JlcVzy7&!&NP#z>`88g^`Vy}&YAq#@o4?&s0IJ;u2y9EEmarv(Om1$
z^b1xl1rd|wj@I!eS}af$<IC#kb(VVcprvhx#X3c~zDy4(cTtu5@%t|eG<}zH`snB^
zanI~e>Ct$$&L12<4}6>CVX&h1<sHpV%{e;#>r|&cnB=r{$C?1ibtfzrNa#Fo=x5)Q
z@o-JO%-v&_ktGFjVzDt3T=|!$81{BAJQhCtY>9yU_q^;gAJ_S|b8LGda5PQ!QSmJS
zA!Flxy3evTzhta;WVu_~v1mS@;TPVoHmpa=O|-8Bi<UOcT-djK$#MfnUXEj{8IM|+
zCP=KlV}6up={bYgm7h(!gSH!nuC$)9=t`_l*ef|T&HAq<YSWf2aonpI9C<tJ@cH$s
zYCanx`lBn)??2JPDQ5O(%OiH7y!-oh)pD)h+VdbSV*&R@k-V3R2Xk$g>{#8-W4`6*
z!iuG-Jo;PD9OBm9{4wUVjLl^uasRDn4omBLKi>7qCNDeh!R77NRxSnp?Hcc13mj&a
z-Si=#J;&yfB71*n{fVQ(D>=I!i|*OBYM&st)8rlnG3Jvs%u8o1Usj_WJlW~}w<@m-
z#~n1QBsHARf7`$2hiAv9pNT8O{StkfJB8Pn6?IK@JGa5>(b=~}HF?LTKfjuEBR=a1
z%jupuNjoPRubEKk?A$i(Rmr-Lr>c5drG1>iXZXG{{p4Ieqvm(}H&6b0PP^BNN5ySZ
zUPwuOo^|v_)YU7uG}3NoJNsHUa9n#SaI{MH(dpk8SWdg!9LScCf9j#Tr-J2G*sSwc
zwwj1PkE=ea{_~L1!FgpzX9h?5Bz8Ng-dGc$X{H%scYZ>-+s0@y$+DA+TIX)cNN(1#
zx}n&ux9#+z_S{V~j$O-mcl-DEKce+o2X~eo?KWjJZOzu2`CygTvK@;iN$P2T^C*m4
zXcQB$LQGF9M0&c&s%B%Zt4DoXUp;JddivOZ_oJVRA@{ynoCtXqwd!c*c7J}(Z`ujV
zeH5SR+CJIb7<BQf`PJ@Lx7x`Og88}YCvftuO6g+OnweN1a&FlVEy?BH!8aQ2OgPGV
zvfi)d@17L(eShbqEI(8iwy8T$x7&EqT+ZLFC(qUVUi$5*p3{q~jaxtP@;)ry6eKRD
zxaaZ}#e1n)mlp3>tH@Jsa^z4f@5VxJXBnI80^;9IjvQv^^?baz>4|%vuhgMxN8W`u
z7Af*<b~ZikSvz<CbXTvr32rZM`FQvz?voPG30US*Z|J?!_)N$vv1MAN(wC?1YR>e!
zdsJrWyTo-t{>gDGE?&L-OKoH9)hm+@S<5O_taj1$t<nAL*3J>P9n>$MGV%Yi(vQcq
z_qk-{<(^1A!m(>_ZQ(V+j|C^%&&n>foXccwR<=$5Te9H1wJ)1ucb!<YKl=w;`<98t
zx;xgKuE{Re{9J$A>+t^fg6{JUIYp{OXmyKtr%X&NT=Dd&_vQG!JweP(-?JP(I`RH^
zq+P=Fv2W(;q-~QAJuE1l;u*~=-)9ghJn=z?n$k)4IZHY{3PXe^K2!F);*w&qtn<Vt
z!R0Ml*W;tRjTP=+QGcO$u)=nU#PW6?=dTwIMc8gJbYuP-oU=u)Ua04ibJxVG69!KF
zx;)pNr4Qc{*B9ZQ@&1Ilm()Qv&&R<ZF3fnH{YdJo)l{DiVXDDv0#EX+cILe|{qxM|
zrEDzPR~wRAr3`eY_jM*luF&LKyH+ds_LQ?*u3jnkdANg9c#c70*JQOb1zx9C)GJ%G
zzRq$e@a5H5w~F!b)C&oZn$_w*CS1*1dQRNhYi`o|p!6HzRww_qshO?%r2cA-o2lZA
z)rumYO<EEg+ahMHRWy5ME_Qsof#CYKtBOr|RxTG~+a;=AOlZ|#nrPOP^Cjfz-S-x0
znH&dwco)uCsK~=@dgPE2@5YT0r7m+mTzb9KnPqQj$D)2d!!K7Ax&M}&aQlC0ay?^R
z^^@mQ>doKRt!(E!!NMP6bkuq3nGY+j+&f+5Y}R_*Ywm;2pyd^-u1L<~+&k}lU3O8D
z?DU1XNrs`V?WKE8cf8`gzvTmK+`4^y{IBYQKNjoeolbpKuy&fhoAR`(o^_AgB|}#m
zEbE)TPUZK@JL@0oDtFZst$+7i)V^!Nx6&lWdabmu-&yssAB|;2wUg%voPOG|m@`@Q
z_i6jPM(gyV!je=YTXzRVb}mg>x#pcms_2n_6K$rP>iV!rN`I=TV5+I3mbT*Wmv^Rp
z^5aS^_14lB(pbGWD3WzWe3<&uO-g&#sA+whuD{x|R8+Knj=bxybne+K%$w>1KdS#r
z<5Kpz%~G%IeOqL)*KMA~-nYe$P1%;)qnm3j5q_)8aNm}<iB6f;y`4vO0(f*znY4N?
z&AoSb+BQwOa*aIWJ$kv(6`{AbecZI=?E}}$+ebfN+SZ=F^sULfGL4kR#DKzZomO>M
zZsm&LTgzTVe=_)@nH&8g_SUwGtGB#eke+$lwg1w#_IFF))|=cD+nkVC5V-A!M93|*
zA3<lDTtDvIa`wZ@Ex8YqGjAW;eQ8_s_ocbw@?E<(87_(V#`jCqJeEf{uSz29j!$yM
zx7(uiT4qN#>t3Ga#n|-H_x{Qso1GL|r#1>4>~iQxs#G}gJhX8_>(a&vy{e59nvXV4
z=yq+K(B9e@AjN%3@^N#0tmH>AQ+olW8R8s)Jlri6OWB<EL@_JIZEO@+f6JjGJWt`s
zYI6av#nG0@JjSOxwr}3v`&7X3I>TZKX%534&K8{>zLuOGt`?ado|c#%jusmp{+3HG
zqR-7}jZ8ffegA?(M}mifi=l<UqvgH|DTxURDaj5BM{Y+dJXus<`P{7j*i>e|XH%H<
zo=szx3!BcIxhCsZ=u!W}{9a+ECZWHL&(Haxb9`35ByUY`f?2>CBk3Qzo<#3|*4%OK
zf<n>6?m_{R;}#lLM=T^Z?O*xB^@yU}mYm$ovu0w`cy$ZKny&3%`(x?NNr(1}zgGNl
zKG{~}zw4Uzhx>cJM*LWxWNY^CbwquC^M9Rdk006hey#Z7pJbc)=XhbL<3&R~$$M-6
zx^I+g`fqT}`J?=iDv5u8Bl=snMfCSBi|A(-dtK<5Y%AxnM!h#ACe)y$%e$@o$^WV~
z?GNI+zXtqSy-}{MzT<1ek8qGJPb2!9_xFF@@gsK4@x%K&zApLLy<^pe3zmA4b|v+H
zb*?#oxE#TM)IZ6V$G-1t#E;Z9$B(T~vgKQqY^zr%8{T5J>qw-`pWr!1kM5UE|M=nk
zk;qFw%Il*)YOhs&Jv%7k_u2~agS+zo#vWb&I7TzaKS|kQ#u2wdjn3YO1wyl1l|6IJ
z`qVaBT1+_dEU8{-c6+U7PUaimfN+u5!Yaqw>$lr_=CJIZd_$v5b)(@MpQOzjlsnHJ
z>rydee?0j{OpkZcX9LhclOgA;u0-diBR_taTXps(ga}C=J)vUOCg_<X)~3Gkro^-(
z&k{0(W_K%k=E$+BZ(Mp&-!V4w#ta2RJBM$+Ny;xKA91^A-PyY^TxfRdKhK<+#F<B)
zCBzBMuJ3N-^tyg*8H*nKY~dX-IiQBUX`^;;?)_hmN53oSecZa{{)!awdhL@}AGzJK
z4?oGW|8L^a@5-D0e_cIayR=D5Q}Vxdc(2yYrix=WI^E7*57QP43EUC-t}LR^nl9y3
z;O?w3FN5Q7GVjI@9?m&7R|MGkO^zI`<^5RT?|f;;>UuqyuGg<LYE<?vRAi|(ZAseS
zW*~DxfScX)$T3CU!h#6r7@3O#{O+bIy~(c*CGJ?;cc^{cV=1QsCufasnH+~Tc{dhB
zIp@r|D8Qa>dgQ1j@5h2T=Sw>l=*e*VUk&^bQ5fjVp_j>VG?h1T$09{BzpRd4Td7A6
zR<-TevCJ+&dVT%X0|&KD3qA(%+UyBvHkoJM_1jM|pe{9x%XWY20Tx?}f1P5>KkT1v
zaPWWHA(8)+IgS75tK~ebH%pZMe_x;XhGY2Si93Jf``kEOpM5CvPkCF=(ieHR%-<{g
zuNGGOA@4KeQN4+b#e4nTwXdK5+GF@<JzLhp|E2-b|N9%Qe(dMHQh)S+>H@ic{>;9Q
z|4&%gJ$>bl7q-s(3gk=#eEv_{v2~^S5(DdFjt1(-91YEn9W+opmY5jObNJXFiS}kz
zLq2itWEnZJqZXGUa`Z~NGM}mZsNA4(%yEOsF~^NM#}00|GbgZZWm<EqW?FOa$+YHX
z&$Q<5&a~$CnQ6{aoA-V7Zf>miUsRv+gvI{P)P)L1d9UZs=4Gi*Gz<8%^5%gL&(oS)
z?Tw{#YVPM0e7K(0+?_v@SMHzcX2st6G@Z;Yc?sbM>c`|~^0L(Dm<9alym{b*`7s-#
z5Q&|w?<IHk?l&r8Hz*RX&wL`cFZ)Smjr*=n$tOuyer!*YVfnwT#MknX$HUbY_1p)q
z7m9VAvfyqOP2c#TyiiQqv`~zFrbT!6EsO5<T^8O_Z<9{Ve{{dYvt_>K*Fv!-`y-JW
z`#LupZ0SF#>uhx_@nCo1je=wuzBv-@+}6h)K9o<E$^7%LTJ-+P{8d@2SFT^XHeYzZ
zTg~KN4;KBVpvmv6B&TxROWPm+@$RN8DsuHdYSl7X&Tmet4ZU!y;@GY3KU-6!F6yeM
z-R8P9Ejx3q)Au{&+W%q_KJr9y`EPDiI5>GtMcq1qCz?;RR!bd;4lClCxgg$8XY-Cf
zzG|1}oD};$*(u&s;l*TOew)GzQ=HykGILy?wC)q@Wn+mauh-p)4-0wHT-RuGj_okZ
z_f0E)aMugwty}YvxBn2+!NYIj!~ZPRzcQuxrm1=-OT5>)YnwiA))A`tB+v9%>Hfpx
zm&^{fCr#{9n|$bZ!h{F0hVONMCRYk@3Ew$?-J$k@rqIXC{=%P99H&{9r$*MydDP>j
zW-(j;cva!Xz?xNwG1&$_26h5s@(*VTa0&bHv>Yv;dad<PJ&QI|;`Gl?7aAPgloSzj
z^+`>UhL3@!fY|+g(NbK(I(c7LZ?&tRJ6T$+m9<IL(NSfimX5$0{bic#YKsm}cZhI#
zanVph?S(8y_oBDrT*6=YTU=+>@hwq5wI#Zt+vu;zgGD~SS$6zr3%?&QWB!Y^b@GAn
zKMX@wt(E#6_2-|0LTdenwMXCcGey-VyBD6ZxbSInmwcN?viI>*ZP$7-=CFUA@L+;m
zkjypbHsz{}hZ)@!>%tg6FVFMy=aiGyI4aDXT9LItY~Ir&lTR^51>X#cyx)<m`)_6Z
zwb#<C3vHs9c}**%);6}ydiLuX&-;n?TU;icOM7*s|E}G(mQ~X}tokeBSAXOG&9~1B
z4lRk<8h_}hk5lOFt)bkNtFJhBy{kHL!I@w8OAfDSq2#a7-$pTvie3fR9W>8LYaDiE
z-dbQ8vvo<t*1gfrq27O1y}c^6k}+=U`^BaKt8U$XxJvcts=b#V@gH)v{q_6w;<XD|
znC<u4T+*5sGQBhTaZ+|h{8ITCxv-Zu_58t~g&QZx{g8ZmFs#1k*^T+<mfTwPD!PdG
z>)DEstdFb;bxj{5#D4x%>*T%vAgsIMjjc}D!^o8jPG$Mly4&P;K2ch4{@8UT3-^L$
z-pP$mjd&{}U%4!bxDc*;G>9j#F>bowE~czQtuZRcADPdtxEv(m+?*wPZ`!#FO?Mjm
z87I}dUG%>sQRO+SRc=z+<6^y4mOnHy_3S)mwO{|~=^J@E&FWEXiTX|*y*BT8Q(o1l
z><Dv}cQFVP(>&&(q`&>*!(ZQzYV~kk-@m@=m%PAP*Ny*<x4xe5@~Ylt$3NzX3lAUu
z(hq8EYkeL6N9A$Wj(?u}{<XKgwqGBX=&?hibH#t_hxJtoi~gPWlsa7Nx%q>`r5u|n
zChX@`&mQ&l{9K?L^M8^1tB%HtKA(TdUkREa*=enuq*k)PBJ>nD%kSv_s~+8UJ^25|
zr+pnx8_bt<a9&#1;k3bcNr&g9z7D4iwo5uXFKz2^+F-h*<K(4j9Znl8D+H44wrlDL
z<nSE(Xg}NBe38JJdTvdnnRf+SAC!3ry4xu)5;()7`DjI6t4JY_xiZ(m-U&)-x2`L5
z9o#xWX<tpT=^}v`POUTl9THp=cZdWXndsN6!4mA$WpOE4u=RnHhhS-q-6DZG+?tC_
z&bf)~TfnKgsK(D#q-nCE>y-1I9Zm%{OFE98XzOs=puVKzy|iqXMm<ZqQ<ui`b+U)K
z9KSC}>MVLE8Tf<Ad`U-<oJ1f8TZ>5Gx$@@f?Jk!0xiuHfdG9XL#IEQ%<@4bVrw<xS
zI*RIK0y*Y^6!|N29o#%Y>C{g@7sU!e8&=n{xHi_Na7V|KXQdGe6~ZDeVfWft_if<g
zQc`|@m??e+J8R(G<U>sL@=u$ZR=nv?Y)mNq@Z#2{tC~KiTSc2*3brP>xg^erjOh};
z7jL*}hmOvkT}dt)K87|TX%b;`mi@9{E2_`wk+@=sAkRdTF1ty`3?eS+@Juq1u8rni
zyvTmS6g~elT5$y&r&u<38^7Q5reC>uiq*lGR=smmHNEC0>~j)-euQbMU;T!Fh23mB
zJyr_qguPf)sTcT)#anb&#+uVptPU2n>PbBdSb8oycwu*%mCs6Hp6RPyMV@m^^)U$Y
zJ1Q^lu36f2kt_B~V6w=r6;n5Q&HaA3sLbWLd7P@S&xF*8KU}8z7<f)|W%Ua?$<m%0
z`9)=SsL_1Y6Ps4-*{d}(VZKiHs@v=9CvoyU;gapQxO=<!{(=n-20Lyv|Hz-=ndqS5
zW3a(OFy~Y7IsJ3n#MGZNZsdyYn*6yzOYQmW?#Z7w#I^b!Wi6Dr5|)@;xGYk|lto&l
zH)-z@!!?slxVu%)9+UDc-Vk*uX3ZoMe(mn*K3%i!&%b7RWqZi>!&5URnuextShVAW
zW><ZZ(_WidCi4UIyR&rnI8QL?Qk`^cL)ax9p1x^y-l5Z{`P3@Qxq07b-}CfA+!uzZ
zAB;8}@(y)V%k1w6aIM}_{je<{YUy3x^rb7p6Z0*!T@Oefn)g9a@S;GOx71NR&*U4L
znPO!gvwGixS9^RcowTHKhhQFu$`t{<*3*G&{?;4qkkfU)D6r0T@-yCtSs{;kA9gJX
zjG1QACOj$aVa=8=-MkM&wtVsCeHi+M!Nu7kGDh@y{EwU0E*;_DwKmSA-SQ}RxscG+
z{hLB<T$V1}^ExtOkD5jy-*VCQ8LbQUACX(@bA3KTX`c6TgZ-g4YZpDW`N(>zhP(a5
z_mbRSKWokh)j!*PVSZnh?i|I1=2K*J;<P#TE_f19n8Lkpe#`fRyG3?MOznH}zMu7L
z+Zu_W{#6YUv-?HcJ3m-^^{r01*VT3P{pqc~ksmiLZ#;T>ZtBGKmMIe#?bk8-qTRLg
z*RfrXwv@)Wdp>!*Uni(%s%zUvS?>1N-Hj{XD40H2JWncsCy=?VeqmNZ;tZ)968AP1
zMEz8VXi+w4IX~B=C22~VLC?F03~xKlc~^w3#Mpi<GT41XfV-*QUtHMwU*u8y?2O~r
zU!Sdy`{>U5mgDG@wD_We1&6<D?YpdyrzLi$#!)rTlwEb-3!&{(Ygg6=C)auhZ(A!^
zuDVvT{K(q0byqhZnwnylajpKENy)?I+g{X*wCFdQv?PU1nUIvV*|a60uPxw==;0X(
zxu#e5G;pz32hCX`IQ2nDU%5-AY1R3f<af74>t8MYB@#A`nPs(?=he%PR^JGU(0vwF
zd3AsHzOXgtyb_yCA5E&!jM>+}&+QBQB)<)2;T8viGCQM17Ae1vviVYEDfTX|{!I6T
zKe@bu&x2J=gSw34d-N(F^>f$QM<}c-ZZnPB5)q<xbJ3k6?q6Fc-8yvj<HVH8FazaD
zIw#ewO(!vYoIF=)rP9rlkGN9f&i>TTn<pa1q1MJS>rl%E2ZPP6>-TUo=}XT$QMyr#
zUxUT`5SRb6Fc-xgv09g3Z037y(vncSQnCKyx@2cdhYgEF)a~u2t6kU;qSdJ+`1EqP
zkL{r>_Ae9HEV@v&9W)3VI8|S7mA3Q-Paf{XYmBzuZ&z4^Ydm}El*0aV*DJY`+=7)a
z)goQv;+`C;{CL$V&dmLjlS|yb4p+apGuMCY466Obbnxbc1FK*8L?~FquKKvlsdvBr
z)wH;J#R~DT3Zr~wu7k5L&6KZ6O972xMujo^_vQqzV7cwhyHK@N_9??bzyB{>6MvjO
zAhNCdc*H5DgMDjGq((mYJh9`@b0xvnM+Gj47D9nKGQApReXb(x$CbOfg$0iuKG`wp
z*vb!%0dIJsD<018(_l$g;yQY><4}R#9D~E_HY6n0mq%>asIFsiLs~564L7&kxAs;O
zz8}h&o&x8&_Gk5Zu;|Zroh~sYs6ru=<-2O{qj^gV?@Tq}zH8-TDt%Y=?7>LQb=~JD
zJ$pEL$<mL8RT(1si_GGh<yCqg>|GMLXR=A#_KUFwHvL{=^ITP>%NI>*Ru4RR^zn)(
z4+BHI9xt>ne^r0tI!mPC+*c>MlhdwEzhp6g`l_HAO80gj-Cm*UE9PJG(Kqma^6aCL
zI@>HIF2AY%<A2ulx7_}M<)Tkb_(~rL3x4F~-B*0^=Cl22fuTot_iWyORiUZa%B3)_
zT|)2AH2sAk8nYi73w)e&;!M4PHAmV}iytOB#||3&mIsYhtzCSwzJAsFazn8u_d>C*
z_Cm2X_Wi$IkM{q~pCMAIA^-T_y#~%>vkcbP2|p|TxBvO#T#oKNo&AZif7Z?th`A)t
zB`kHQ;OX|7m)e5%@|t-EcHPgk6s*}hFZiJO3rpdeU+*i*V`H2{%szPjT<DkB`k=yP
zp@mf74-e5m9hp9jnk8Ktd+MY6HTJ~wYlW`esdwXQXa70ZrMqHNlat%#Z`v)Yb+E4W
z6uVLDsRD<EQ5H8k%WG6k|5rSIq!-wmFmXws&J>e2)=6oJ`<C3CA$n<+l=ZWyf*r>5
zXSHw5`k3_XT#Z}Uq`${iHIK1Bz8-SzN=opI`<AN&btah1%lAx<P{|Y%^OibazkP{i
z#4XE!c2lL(r>#C8+_~gK>zz*%4u}_PA7VOqDnRLLO@y3q;15l?K#gOrB5fZ9j~;62
zNJ_4#crLQ~5YypT8xj(IBQ_Ws>R3n!ipBKsaLc*1wwk!Lv+R?Qyr8Kfz{539F2*CV
z#6?j;I8fu5n@C%s;L$@rI+Bu|DxQlp*B@p&JYhpZVn)OULmeFp2|2Nt9u96fx3*T3
zs+J<Pc}r$XY$`dGP`}}Uk?++TQY9&~if&x;{BnSGVQlcWfAeoyg>5T)_W0Fty{tpe
zYhsTStX0r_b4Aha`*p=G{aBauHBPy_GjEB-Ty{;ZSmZ3W?e&D-+*!$wi^F*nZ>&`m
zvn#C^bY9l<q`2N&_~NlxUl(inkK5E1HCszPN}AqQ@nel*rcJ=)XCk})O`auS6Dpo(
zoX<SFv?F0MUtr09^;GE$y={%Jcdg(#UvlD*o9w2Ij>T6*`b}FBTiYUjELeBynUnO6
zg`F9XC#oea<h^snrQ}0^b4<=f0sgxtUuPcstk)PAUvKl&QP!@i?v2iBp7|<QpWZti
z#HIH=E@*|Bp4X}!A8Wi82F(ds!P73Xs`Vz<)ngK#qUP&<Yln2zaZO#g-f8Ov_l37!
zh=u-Dzn-QM()E&SYT_)Xtp=eBZ_Q9z`ER|Oh}Kb-)>8(73!`Q%3CQ)C7V>eyVV~Ah
z%VoHxCiXdPH3(l=e`|*N%14f!s$5f(Ryw6dEDO-p314Bx=C!I~)3Vi0sxQ_BXjW;2
zw9VtX>ZISAwRroIu6A2CjgTh&i<>8`7HJlVYdgqw^^iboR`QA^UFr>OnjuXOxuzzy
zIHgLg4AAWfT4Cnqy{e+;=>{iNi$wuHy?hoL$po(u>(dUAR#yrAQXjZnRO_H*t5;#z
zLZdmsE5y!ehe(U7gno$$7tuNx+Uivpu+S(cbcI-$R*3ZW#c$8lJC&4Pd2O${%WYxM
zn$Q(IuSHh1e&xD)OwLnu@13|-uMPeSgWiO%s9C!@K({A!#U8ySMFO?=bz8j(f)@te
z30T2%U367zHrLf-p`N0)k3{QRy$T{12E_!e;1L&H)hf<)_1N<TzuKprvihHOq|eWO
z&5yVMQTsb*t|U|!)GB`Y=4<+O>(@CsufKfmk{9)Skd!v{f6LRhzN)OmxsA6X)-V0X
z{FTjX!%YXxZ4xV5bJ?<zcTejo+j1PTFU*d4Yrz4BT$w9D7dQ1uX&kI!URpmRXF-iw
z@&dDEnJXnGr%P)blwn>vBYOeQXPznNJ9(}gW6~8({*lD28j-Z1CNFtGO<(eYnsvzw
z%)&BON_^Ir)`(}6(m0yUoLZ5$Ky04Gir(W<TF*Gn^Gtcr+8DIQB%qC*E$iXb##<GO
zmnQjryy(DrPfFuxA#<ul;sP;0sTIBT!BJYz6rS@=dC=4t6k`&wFUcf;`#8^)W1_NO
z=QG=<FJMXMo${!s(P)lY0Jl8Pm1EVqqKmI8Gpkl)ED%}EJ0)>yW7Lhz1!iGcD<w{+
zNopMQVO}~TaRJX|-YbVhn70<XcpvrHe%pbwOj6^h6m#m0#06s8q*nCy%GQT{bQTr8
zTYoIdcFTr%{#=<$j)iIR*POYzzg{?|^wB0k@YBnre|g^XAN*a?<aHr_VNgxjk^>(+
z7e>9f_flV5t1By*`&-GcxTED=4|CL}XCB=Az;|`bvUO{dmCZb&jHi|V3OxF~d)5z+
z=v3d*trb0+>(f;gB-XHrIA3Xam|4&i!|>?aSMjCwejg$ys{fz3XkC}>kAAVa!N(uG
z4lqrySj;Box4N<Sb=HFi6Qy?CSaxn*du`SPxBhdhgckl-a)<TlYll>?DGC114?I$4
z2#Q#w$P#SYlGN2^;B!sDF7=9l^k&l|2V-~(4gH;M=3JOy;<t*sJ!!d=!J1HJ?&vEA
zjun~~6!`GQ$kc~2^SfVB=xsg~cJM=B<-ZS(;VVBs3|nbkvHVJ`P2elJdm3L&*fjVS
zc-l-e^t@Oz`v|k-;wJAW3?2(-w+XDdAkZ~c>JWPk@5T*L&N*u?3b1FJ9yw~o`*A~@
z^QDModNN&&SqCnJ^6JzG_8&ccz|FpthrRad0oQ!f4;O-YFTD`0XT3W0tnS*IJil1w
z{R9>)(`xOVt1>YmxFAKRqsDJ(0B4$ZNS7to)bb#%tA}h_vy%7CG3+|K>4ZVJ*iRQ9
zv0R_2uI!gnE*>q3{9@oNc6o;8Q@iNDylk$!yL=Y!_|y`2(MlkH=1a-9mc>`6K8lsr
zywkDm8k6FSb)C+Ip2F?=N9#?F9BTDzte)a}Y2Qk<!{L!uE(M|O8tY)2@mfE9UUBbY
z)9H_UzD{&)+PCS%`NYVS9Wrar%ba&Mzj?D^`&_2}-#?Oe|GFh+GfilP|HG|1LVG5;
z?z=JFwM~3e#>1T|W=l6Xh;fRYQaTzGnG&JYEhgrEblR+{Go50d-oC>1Vy^7-uRWV}
zY8uZn*7;UK<z+uvigzs$Jj0<j>v~XxdN<4K)QL&WIz~BDT)AhbTs)Sh=`Lcd+WW9!
z$;U9QOfx_4S<S|io;_N#q|!n?GjmRV)>+M*sU}TtFE$JN+uz{~ONvw3q1!F8J!Rs<
zWjawiG`h{!v5Fh*^VsNoO7*awXZ_|4(V$U>OT`}?F2&rLZo>avMYnhNTqb_Ak~1mM
zw~F4*`Vrq)xw%HX=9zj|<;y*scH)9h#o}gfXcuQYll^&b;`2pW_hv1MuE;zj7gx&Z
z!&DPv@oe|Oor#hHcewd97!NG&h`+DNaZr|bVZ|!X-iI^WB6h6xJbP^IgPK)78t>{?
z_qRRVXVuoq{z_uc+m(#*VXGRut6$YtRIKTncuZLJLGQzzQXhoErcJXrxnA4bd(O-2
zCM}Qls+qR&OC>#ArDiJqe#-IlyBnszTKv$q+p4WK{FQ`R-0H?=^Q;Gt+N$K`?yX8*
z@^M}911-PfZaloLvkljL-md*`i=p#sqtcpvI`wuJ=kR~1EV4ZCeA!cm!_%A;E=Npu
z>gQX^a4_|wb9+t0qY}#l1*;@Q>nHMW`T73wj%oeRe^}p}>L19$Q8t}fr1}(N+uqiO
z{g3Civiy;Kuf?vBHl3Mg^B>SDY{e%)r?AQBv1|01)NX%t{&VC1`5V9UY+f8CT>Ss^
zzU%LM-b&Wr7Pc||_T$!fyYH1{Pgl+NEoZLHEdBm6@8CgB{^t$)?0f|h)i%%P9KWvN
zt?^tY;GC0&B4_H9)_`+DLS7yk>=Rm4-mSVN`)%FVwOfC)_uqK``|7H#`=Yiwy}hu0
zWpqyc?9=DA>|NXO#^<qB{EnW#k+)X8aorf|ZhSky=lR7o6W-Lf9^QCPTyMEm{E91E
z_MSL*b^F?eH!<<)l4s&pEX{w;-9I_fYP0zIRQtA~RTVLYbJM$2#V%Lw{M>r_LXzUq
zlDaG3l&n9l+Qzg=c>SB2h12-v>f1a^*F6`vXWHMeGrecb#6Mf>Xd6~PG}!dHbyx1D
zs1*Gx_conPQGa(i%%SX2<J@}v7`D=VA70GgTd{P;&HPQ5Hi`3zTgC5)c~kSSaPeE$
zvU!!ctSQfT&bg{k{jg}o=howmI-75HU*9xe?^dYIx*0bQ9~J7JX?x}8p{EJYxkEi}
zcfUDOeVglq-=3|XTTgeL6PG)^`AnLAm%81@&Gs+9x%*x%-MT*1{=ZXbZgFmDacTY6
z?yXn#ubO>$!)sdb^bYq{-cvTUkM(!`_3S)ZH+9cW_u%aB)5N8!O7snW$YwVj7N~A`
z<?@Z8|NZ@KY(MVQ{JvlE`}CxSXUC42EZVhkXXM|-QNhvQi*kAW@BJvh`){l28c$uW
zS5k2v^-kY=|1Z8B|8nB_@U3B2r%(Iwqxb*j+wt|cA6a$RsI;4zm}M-rt9ktY-M4)0
zmNKzF&M&uCuiLrq8S7Tg5JBFW|Ht;f`u6<ovRxaizprYW^}K3J$@-w^oTr!HH+_E4
zTYvX${IevhPq)9d7V7Q$xcu%v3;8QI!Vc{<|F`D0{ppkMw%)z{=C1h_Gt>0jtIC&u
zxnjCiKiu4Gi<x=-wcVj-OV68|?GL&-r|$5hvX`dXyji(#;=*HpH{JTUEoHyeIo<4(
z;<x9ku87?}d)EBluG{e(6R-MyU0Zthvb;$k@AG5Qs&l=1s&=m0ci`)~7R#FJQw_Fy
zy!M)L{OYCMn^e}UTNdcLO06!NFJNW>W4PD)C{Ksl>{$y>w`Fa)W^P(<dfhJm`{$%n
z`g=c4zxywQ-+MY&@A{OLaeDD052wxk*cSEp^~9rjSMHoR&i4QFwQH-s{CK(ad-(F{
z?`CA?{|Vi0f7y9=_}@J%UtRrmOw06y-&&DJ21|oFPNyV$6z7Ka?h;^C3*{=D5ute`
zwdu}}Z-R@re0=<L-o*<yE?u~BV+qr-`jd>l`eCLktUXzKbY*3%nX8_xe=)=Sir!|G
zb$(Av?^stpGk7DrHC8X3weOExGoO3uiz1(Px#OiTik;dS)$1p(pXjwb%)7r+>hsJc
z8yikaanD?|v16rF_soBJ^Hjh5z5VIns-;_3ZFTp)%^MPYJ1Y9_s%1SFcS>)QxO(rW
z^&z(Ur+LSdB2FH;BzV*MI(OGZTPx;YQ~az=b+h6EgFhB;J<Gc9UvG}gImw>WmCYZ2
z980Ltlkhp<+RS+~+dwe>&$5)h)tR1~<702%Tauf$(o7<4rI}3HN;8GDmz!Uk*WY_P
zzx>qmYLk%d*G$c}glBH|wSKcPbNbr-Kb!OZZwNMDvA5o*Zw2q!{C^v6{}1!J^6pKu
zOwo&}?s~SLJ#;UAKJk2c%}2)bpERCi%DLK{Ts%Ymv&O^1b+%dl)3}ScZB8k-w^u&-
z<SbWt=Zy0F)0H9xs{Ky;&Mxj)T`5wm+b^{5^j7y=tzyS{Q9Vy49`3a%+BwBtZu_Sb
z&&%Xk@0?sbVRxlSVZDBT(!1w@hni!mYxtft{b73j=|rN7+|Hge%Kd?rPmHblnT|aP
zJaNOOOJcga;p5L5i52T?8QF^+9UD6<pBQNNU)iMCZ?o*l#Pe5dxMoaqSG@dLBgsMT
zXw3|FPTS(QoR(9#xwyZaQf|Lj`Q(y%zs;{_Ui}u6l?`j{HPkt4?KJ9V9=Mtl8hZDV
z!~XkI)}#bS-2SsDO8lzXb@NrSW%b>qKVJTw6lj#st@Gda<gDP!tnZfAzrFh6>Z1Fv
zV&x+C-ue->_dI`?{D%v#oaG{Gk0h@SKfLa$dd|-Slj7P%w|!alrGLBV`o8%IU-g97
z-b<cz^|#NqUk#dJ@<vx*DKCqxJ!4V7+W*ImX>rr1Zu_$8WPaP)3RB^8TdJl<hP^ku
z{i^m^MD2l?)%=oaUj_f>zWf*wy36TQ_WY}6vL9ypwy)XvvSX{6?B|uf>NR<imt)wX
z_`<?#_xf7rY{;D6YxZ{I$z`=>w@VI)&E|_txGZR#W0khdSN+VUCs!UTpFWs%)AaT-
z*=O}Pww#Wc{cT42WxLP0Ru7VA^F?M{7VOTkO55nGuCwvUmGlWu6SjVd&brJu|HhWn
zMzh0&SNr~s+1w+Ob+h8?va3S(mnpBiQF11Aw%?8~jh4$9n|0p?oj%_^ZPV4DFHOF?
zYmzU^J<q-Me2y=BP3~pI^xP<2xm>GfTYS}JHa#&(>8Mv-p2fN6c+j>ezV}-*r|ak5
zN}ligyC(HA<L_LnCk?*tGFx8GxNA1I;KroO9Sf(;abxX^IMpZ9cWcXO!`a^~@-Ew{
z=U6>h;@duF)60(KX0o5pEEBHV^u#1>hU)UdM*jcT7RgrK+TyK0``e4$%XYOnRu4Lt
z-YoR6zkM-lX8L7A=K36~#0U3h70)s_IO+0|1Mbr{3Aa0%y)C+b>CKVHyL^A&+3>Pq
zwwY|v>1E1!w@c2*&i32!+wtV)$8+*l=H@QQe`#Hx>G1FDo?Eln*q)jFzS?`1x8{rE
z$<Mb%%&vypUca&Cl+2fd^5wq|7hR6|oW=b2o<`;E-6w9}^UO{-!LE8fzPo;%yYt)g
zv$IxDmHBnh{;gZ5&wb(igo<|)-t4{9w(5)QS~j;QpDyWDo1|2wtm}HKEBn>)Wc8A+
z^7X6g_ifx+y~^!*XsLVMv6_H;8`|7Lj~<(zJv(&q@n@H=T#2}T>Ba;(Q`_+NWyhvn
zo3j3FukPBfzFN{pPlqMUJ!(}mFS~4}?uPoa%Vf9R-g266_O~0Em+f}vSS8H#Z9lX9
zWe2O7?B)y0gvBC@UTwNpwBgoK-EEoes%CFDU0G&pw=r{mrJ3x9mA>sd+h2Bwn#pdy
zv`jcGvgp;ugCM1Aw`I1U&$;z9>|J2e%x^b7&U5|!X3NWlSTos8x0fk@yHRo`Znoc#
zPqxK=^|fYEn--N7{?<ud9eby2cG=DR%W~{Fx1KNXWtZ9Xa>8LV*}@}}rZ)IatCQGt
zGfDYcM9!J8Zr>k|nkSi0nEG~M+T6wOr=B^M6}NJouYKF)8v%3uRC>?bpJ&ru@a_59
zt-PjNO?B6=>RjCz`u=a=c6$x(7x%6#dMD1g`nSs=y=*Vx`fDFK7q6N&?N-URxZiOn
zTS7nmR5tx8(KD-z$BlO$+vAOw5<=X~BuWi=-C{kOJ8vk>KF0Ld`@XrpAs;i(vD5_z
zD{4{<?Dq1gC2TmjV(q$vD|n+{F3-2}`M%A+QdA=CE8pR@_flKlM8CRa=VrF)%VUnE
z|DNYEF7~ot6ejkerhHrdp1@5nUQXb>Q?Wj!^y<OQsgIvsN=<I}vS+<`p#1$*o%q+U
zrQ)*w-wE~({=V(M?uL~mz1r-SIXZvu_+<w4Upw}El~h*T%GH^*hPKtr(q8rt1BGUB
zN5}t~xMtFZH+lJ9_WM3pzFf}at!(AadQDO*-pXLZwSdLq@t&*aU)B68bGKgV@Xt&C
zbN@FyOka9auQPL9UEkHV$FsAeXRm%G6?AA-ja;{UxTbfq;6|hP*GlKx*PcjU@V408
z_ENvzwrwU?uU!+F5ovki;pI!~ZcBy)$H#}>jrv}sd-@Zv4%?Fd)3<I|_mI_2^Y59^
zbRXxp+`Vf{L$g@tU)_7)lHSpktVz=!#ng+HYo1FEe0k#H-`00G@As|B&Cs~8yhKIE
zkgt~a*wXn2E56jPPYIqetE5X}fpdG~`>8qiZzVKNWGb!rv(7K}9oLn|^Bij5ahp77
zba37$o^gbqskc(&LW}$REZ(x89{ICwY23NU9q_wZp7qrymj!bEtgk-%EMT<fG<o8@
zFip_5o>j`&V*%&pui9@edh>^_{$a^fI%Bo?1LKHxM&}X<qmXvN!zB{NG3}hnCNt_(
zzw+{0=~O7k|Ne6PqW->>!R_2kr9a&k$USF$RqVHbF`nDx$r=aca~vkmwm1m;?Okbl
zBX!@sgsa*9uUJ>aRXZ)vJI?y5&}V_&Zx*Qyu?rNfS?Z-W1}`w&%`$64bpD3pHhT}Q
zy8iAWcfj7V^>Gd7WA@%>Idd`bM2@W6nM;XhYGu`8sy@#z{e3+3!_-IqEVW<vX~=v#
zeduX}jt$?bL(eDZF!7yMdeWhzv~P;*8N)~wnfm=H+>^V$tmfdIQ?Wl~XT*{9Cf7}t
z#ZUhE>FgcB^(M>R=hRp2PYI1W@-ls!wDha1LZ7!N#22nw9W^UH^TC<lOJx^5ZT+JE
zO7uq9HQ%l)4_9xRygktL^S^LL>o3zbc<Xakf0?r3e7jKC<NX2lTYJS<+}g_>l6#AF
z)wfqnq0uFctLE->Nbch@S@^zQ_bsEYen}1M^P96(=kL@PJo<N4R95)ME%iYsifvYk
z1fA`l>XcRSC+(!xW|bw&wk<L1*J8Ile)yE*)jt82E80%yPqUHL<Xm-j-sR5^&Q3DT
z-+9h1^W0x^?`wOWGQOSf_Wa&#)#^i&W-NObs>>UyU-<p(Bz3OTecQH9v~JT%+2-}O
zFi)C4T=7oT<|mUsscu`kZR^r~8%=-LdDqv*e%}?AClz#YvEps7yBfafzw%2%gHQh3
z@<Vmk`upo8o*3*bns9o`DMz_rZT6o&)%#QYr~SLV?@##czpwLW%{g4|_Pprr*-5=S
zZn1ASSsk<b;peQIhPiuk)xYJwEY;d&@-x?TXVOMK?fW{C8)xrI_g{RAZ%(w;jOkkK
zl}nk6SJr#AfBBi3`SM><Xl?ecrR(*#m~2?E=M3L-6N9&NjjqQ0-6ZuqyMEfL$fr|7
zR+;S;TRAIo-gLgFRlH{^Hm6LUVi`K`)eYX7n7pVf(`;XV<?X3lerC(Jfb!d)&zrAG
zmfIh@i7DgNKd;bVTQ7WmKZU3NrFiwN{WS$qVp*MP+*`dSx7J6kIC<##3LU1t6HaH@
zH+5Kq{ED6Z>`S#ND__=`hf9vd=w+OFyy#esUZ&22RmYsxW$HZIeJTIIvisk1{+|o|
ze(O>2+?V;&at?blMaB8<_rCcpb-|a}x%vNY=lwq=as8jFyr{+3v;SA$-fze@twzz~
zs9)vgC$Cq$d~p4r!HRv`qW;$3-n;GB(Oth@UHi36?yK%rAHCR~Enh19rp{Paeb}0*
zEaJD{$%YG!+&SVq5A#jjJ*P7Ik=*Vh74z>Z@!wDmy_~wV#Wu|2M*7Yc=4qZ5DZMSq
z+dM4NR<{W6(~ynpir^JB&3yKK)|A83-%sJuPhE9j(sti-i5oBO)G@N^Z*_aV`9S>(
zrf2(eGn!7@1;4i0XQVm*ubSwGUe8t^(@^E4F3+PrW-D7f^QZGftUVjbtNT*f(bUgh
zYwlGqm%{(17n5YwBG#O)=>95^zR@mO*DRsqXxo?C%_)Am8`rKkyLxGT$?}`G?p&Di
zZ27}hHo1SRo|x6{+_m-B(^v08eOZ4^U#D!fqy8|%#N9k1JCD07eV0`E)NoLV|7Gy5
z!2JCm*{1oee7pTl!*=g)in8XnTP{6MlihyPrE!;!(2C<JjlX;ZGY(w2biDnoc~Je{
zZF0LyUu<@7XRI!j__UNy`P)l_XVQDUI2Yf0`{Q1~U+>oIv*NWs+`V6VW}fSkN#7lk
z`R-?*dD^~TyI%9$$*$c$?7a>JE#bTS_qI*2^^N<N-pY$amT%n_BK`YZ;*M(X?AWi~
z>(hQXJb!ZUR!sbwPZ#%}H*PnS3f3;~UHLEaSXb%4pk%(^CeMmKy}vy>`g6>$bek))
z%5UAad}6R&WNXNE^Kiq5Yh|kXK30aD-C?sTF+uLCky`(}^b)zf^#@kiR85>%8FIYC
z=2yMMJa>J=H*3Gmo3cpm{L-^e?ydKF6c=`TE|ar2zwgAu6Sm*le4~$R&9xbawR%^I
zHXXb2$ssu_cfrO6e^aqdKFNZ{red2zk~zIU`4&sg;rF(8USinpDpef0!myuJ>hsL?
z8yhO6xM!~3*kLKvJ#+2GmdKy=%PM(JwO6w0Wt>U8yZ+Oe)V0TKu4SBgZrsbd=32xF
ztzOqPS0c`E^{VQe{~kEM|I^tI+%>c8uarkDGo0>k^32dV+0NTUY(qq{Vz-Id#(-o)
zZj)&S_V>3mZ2Y;5mG#+Ee%>oTmKaXAl=?hlt>OGgDef67H%?e8)orn6;|ymhZ;SdF
z`B5i!)m%Qr#Qv$hjqkVVvrkix*?fEIFSyaHUi!$IlZ#u<7rn4Ft$cE->Re*}yD2Zv
zi|1dRaagQ(XU?@5$JKg&=3I$5z}DNk=6b}Dh~A?-7b2Yg*IbVI_T=!D71#Zf`D#s{
zU2;#B+iUvlvUf70t*O|jg~ycYPJNy7{K|^&KFRf*{-4Ez)}HxvbY<ZCuzvxjul}wu
zoPJ#DbHx(F`R!8NKOB=4?`P{gYCM+oE?ehe+`de^`OjxBbKAT7r}Nbn<?hLP+fAQ+
zbV;_8&wkD^W8KCHnNr;|R&AW2Ddjz5&ie_B)}IrzuFPIyxb@TZ8K+r#D|@cbIB(I*
z%5x>+gha1v&$ar9Ga9|BJ!i~yQ{3%*daIO8o*Bd>>m4?EW@u91)$z7cWHYEId1B!!
zCg)El5)0l<xtevw`cu_BOPN~R@)f5S)MRGOo#$@X|L?v`P;uP8H4~UCMG6i2uN;}|
z&e<NHe}CeYE8^Sb_P^`M%+s<{zcJsPFaGJo)B9{b-I(caXZ@*OBcWQZwPvEbVDx8=
zXP4v4uk79FnY-ym4A&+9FF9K5eA4xI|3wS91|)>`S*$rDI9=58(MB8hnr%-!4$S>D
zd3TNmyWH#3jZ&LVIUd+mB(Q0ljLRBLcEkBon_I4?F4%Zr<GJj4Ozn@?PrqjScgcyL
zGKD|X++*~fbR0h_^Ks`CWybpHr~Tg*Et092qr+~u{FLK?mqh}fLM=NiqWO~c>9KP@
zkNfX_ch}bDTWs^DrmfuhEOgxzwmm_oFUN1ce|4+r+VgvFg<QRKXmb2^`+}e~zmBeI
zYrFMp+L!Y`{%CNUrk`^1owF%m^KzXlB3j)OsyB7K__|IbY1-b~|LXiYJ^s6}-``iS
zCp~>N+vCEs2{mTYJ_op(IU_R-1XuH@rIjAP>enkJIc?AFe;-U(dwI`a-+N*4POWOU
zT|e%A`yM+r=w`*jecLKZLpw`(bzc3@HC=kUF26qU_~Q*<zHfLHuh%!{ua%w2{C^j(
z9LoN>UUbH#j|nU0TKfEzf9o%1716lUs$|9j=jru7twMfh$o|r~l6XK#woT_+;t?U)
zV>(w852;6K$1ck}lf3KL6)vx2Mq?8(qu^x0%_hsreoJ}Jn4J9b@%mJ$;vXj0cf8s7
zz`uUEV>|y>kLmNQzPwoKJpY~*&x^%}C+xH8d9nQPjDJ=>5(~CoO5J(PCM@Gj`qE=e
zVVOEllzUxmUe;IS-8z>vFH7fPWMt9YFGZpf5!WX1tP=eC{?_}Qeh~>*{$E&mIHPe_
zkKl^q5sj;ku6JNQ#%+=^&q2A5%jDS%2jRZgykV!EWvh6uEj%wE%f@px@q~e_8_)H`
zGaRz3o>s{%Grz<sYc=Q0cH`Ys^*F9P>~M(H<Gk{ClSAz~4wDDb^^I*Yq8Ud_8;{kf
zUubz<)g0^h^1|aSe6{CZ7(7_R=e+M);t@;PV{@)29x{J=p;CA6_PiGt9;Q`V8%I1S
zZnRn>z9Q-t*OlbO4z*^SCJCDzoVRg=yk%vP`rPUuyzM1#+0LcT{kN^Y>|E@;HTh!V
ziFB)w)y1;M)?7_Iw9Cfl{o1SbRwXwk=BJnCzZG9`n6Yt}jL3@PijBWy#4-+i{dCb{
zv7#}Hlwt4!!_6$S40hMpY3yU(8hpO#xSs5<H`fvm$jP?7xte%nx>e83<%e6=pIZ1k
zsw)4i=K2~g*=N(X8#lAsiLE$n)VQlgD_|?L=!)}Pjcsd$GLB?5u6n!p9>?@bt1tC4
z);rJF|396LS?twB#qJV`jS=mf-k%NjnqGT#Au)EJ=gqZ-4h#60Szmpc=wQpnc_n>=
z19KaPNy-Wb<u*=}v>gt@ZZCMte#W%Ry)J#RS-(E<QgreXImt`z?Si$X5|{nkIscws
z_&usB`^&Y3hgW<mOWSZDrP0bqe8p*Xrmq&-^#SwOvq}}kEfBoVVe+i$9>?^HR$n9*
zJI|MW8m`dF`1s3-2_LO`W-K_|;#oQ4p49Y`7X_C0m%O>QLpPw^nyGZBc0hkM)7PE)
z7bdtf^;YNvn7(<{Td;GHbANv6i_OOK-)z|(e_7f2+zW$8ZG6IcQ=R{B-IAVvZQ<c*
zpVU$}9B^x_x2jPMnC{H<)j}s=zA{s<h2Di3!d0cQ+g@FG%q{!tjh25lmvh<ciGtOi
z4BpOMUh<;AD*ob{^ml*O`pK4YUU}N#5W0zFm6}FlTaC_z4u2LY<Imfplw+!1Us&AF
zcU4Mt{-G`D-!3aV|9WkZ)W9cfr`7Iw%{cw_g+#x~$wm<mjO!b%)(EaR9o1O1MtH^f
z=S;m4Kfhb`N`CzwRT?YyI`>1wBIo&=pJw_do+z?4N=lVmW?NkI?@i|UIWyL0Tr;lb
zy7DmYr<LVmz3;5AJ}!2soyTGFXq`iH)yu1;GnY8`pS1d7Io&_(*4<~XCJKH&l{n+;
zntN6yFM{Vy;}N@|dZ8hislL}j`9jO)UngsRy$rakZ`C6?rTyjAeG8o1xBk4G9{$_7
zaaFYQ0zGclRe8LPzt)In9AIm7%Mr;q!`G;mbA9%+O&;xX-lZ=-ck(%hy)sCe%9k8A
z{jkPsz39>v%TCz%tUqmTRbml-?;OvnpN<Ro*jZl{IV_O-&HC!|R0rj6TqbGt{c*9=
z*O$I1-0-K(d*OLS*|s^?`1>tF`5x=6-Tm3%>;wL%(w9Z7YU1tMQZ6KxRn9hg^=YGn
z?XuU;iz*k)KmFLFa&gd$;w>_2k$;a*n?5t;YkYv6#qtY@aX(EaIc_ZwT5(#gu}bDb
zcj?U4&ZcqCR?oUtf9k(hZOL4_4VoJkKmJ_5_wAX)>dMZeiXRdyt%FzSb@GKemA)v9
zZ?_XKl_+p;SKMB*V%m1Ao}Yg0oY$@F1n=<0Zp+mDeq)W{d|xSUiG>>{q~EesnwO>X
ztn-+V-1CTA?d!76JiHzAc#~m@&%2`3yIEWKV%=U|NM6EMTlLZ)VF#ac+e?F_6@1BU
zFANe3>h&gP_U~J>H756h$ur|nYqNx|V@_(>I!Tj`C8=fTB*sK8z57MBg{k?I!QMFb
z(`BrZB^CkOv^AXCWtmEE>IL-YR&CV#UHZas#hZEO7o30aKQt_3T4{Uc)8(gDoDONM
z^3k*p|0u)@BBgk*E<C@XD57SYaZJ0N@~OnaXdB1+c01wIiG{N_#kJc>pGqveyJK&T
zjL-aYqCYmQsZaG6{JAnG?L<T)@6(qa3lB%g?((^^@VKSys=|PF#_&>!qTqHx{!)p}
zp6#60r|<3YS$LXh?Nd47)Ax9MXP#H-W%aohaf0csjG(uP*yf;Q&g>$cz3a;|&m`ur
zD?IyhYGhPhc)eKluM*i^Yc4H3o+Z2L-(qLeU(<UJN%BZ8KirXM)iZPP;g+8}S5LT?
z5}&2}-C~vD{A4L^i<KKE>`Q$deM*TfODAppF`=}ns)tVh5`O+K{bfU73#;0+wXt0$
z39}rO^`@@9+-366E3(b#)n=!5#pJJ9M|;j6-g3HT?)vO&>F=JMub&_LK%PbFqsIbH
z`)8}C#!Y-}74m%@Uvl2`!(S>h8aMwBZstorB|YIFQ~Z?Wri&TdS)_^r76|@knYCe~
zP5aUG{qnC27A)s;PJ3aH*3Bop?O9^g`T6J674|kQ|HOG>-Gi>0ubZ`Z#4S*?pBjH~
zoBH;{tg{TP>Ju-$wu)b$bHGWqzD?&+;u#}ZwVEit?(@m|)3<MZEBNy8CfR_AY&Jp}
zCz2bF*@$Kw+PtY#Z*kT$U4Ms<Ctrrhu8NLs7c?%BFb;0d$~bv=3wzFa^#zu`2Nr(v
zo_ew2FcYt((uEn1nS3o4h96wKp0Aeeg+amsK4rGo0c#seXBcde5u0C~r|s|XdvX1V
zPp{HV5+*x1n{k^w<8D;TxxD&8VO+aicd5h%mv+I^B@&-k@(E9So_LG7>VN&tt~A?(
z2lbaT54bb&N~&C#agfPZ;?w;tS`{*XqIrLPxv0$i>y^Qx`FzR0UK=DjSnAl#uZgnb
z5S#CBr}<ti_q@9M)a@(Z{(O?r*w!PMaU`O#e%0OnIQ^~WR}v2x$hJYMZMB{=hqti*
znc?qX#cgv=U12tB-oLee%z2z9PqsNIzvD1@m{-ZKVHYd2KHTg<wXM+;YuRHl*Aox*
z7Dd!N`LV)=@u+(r|7qa~2buU!ubv-hYRVDf%gfaJBX9wy|Cg$tcP=L$sFiKAxsZ6q
zRJLC2&xH1y#RqDbF3EkUwPTFrmx#L8Xe{+%rGxV{Zj+=|2jQ@3@oyd(pI=^;$~^C7
zfUaeU#OH-^X-?;hB5JIDE!eYG(<i<0)2uX;gqaS`W*jDIiyef`UWR^%Sn53gsuj-<
zpLW6Q5{b=W?VPVa8N6lu{+TnwPk4iJ{NFc8=fyJWPq;K5^AXB8l(LsgZg%O5&93c?
z(WMd}Tltc=y);Oyw$!nU-xofwL1Y!n8J+cYZp>+%CMiu0SM@>`a29{ns_?nEFpBe4
zz*?443B%ZSL;2SRiEeVsY#+FRyb>{Kecd(YX<Q~xgd2~o5zjbu=F{)3KTK-p#p!Pq
zzmRx<N!G1i=St!kC0R9{fB8$T-#<z#ICuZq%)@s+MJ-!%Ku6X}=kmhS%dNgdtaIM_
z@>=2nFWI&=mlBU;$sVg&cDThfN;~#h=9%Pu$7;kfd~#2jEc=!s)g7^B;|x_P?}%B+
zndf6x8@Brw^`3sVEYYe&Vx9B!g;rlA);rJVwBnhu;_w7dtDgE9YYxxQwDOs;YU`!c
zmSZ-@vd*OU9J{hABw2B>iI`zfa@N~*hFgpDlaIdrY|?A#qn{mfj7#SF!o!@hyXIV3
zcwAHV*PLsK2P9?N=3GrYq9}W8&gH~Im20Q!$!DKQZaY?UEK}z}c5kc9wTL5vy+>uP
zMjYBGbNZh2^%;j-V;*m+POIO`Cu{cNLh52ZTeFuJ(l_%lZ+l^ovYPLz&pKz*$*%*>
zK8ezf)yq2bc+#;Ny=<KaUB{gEU5z*r+I#fPg@{AXQ`h`BkU004OxZM3y<}Ft7Z(z@
z@x{vhE9b4sxw7!Qnk-w+wZs!*vTiw76VHfS`P}$+|MS|Cn@hLeN#1^}=39nNLjC$<
zPW!Gz9I@*?TC;Rx%U{cBw%n($TJB9Rdm=UcuJP~E7X=ROdhDey3O(BG+Dlh#3$@~z
zx%zNNrB%<&^@m$nqqJkovd$z=KUQOwp_9;m%;{T}&Li*Mqi-%p912fe^P?ke;V~Jp
zsiy1RGM@F4wOVs&;pr^ds+wia^G&UIB32)+pHOPm^CPA`D{#%>mb+2fv0~Y0k~@#p
zOv}=FAl%!kb2;LOOz%;h>k)^#WKNgyN)_*znH^Jh{?7vE_SaS=l}nuak6V5D8Q9L~
zUn21-q+RfQiNxp0e8P4*)zkG`^|o)BR(<E%jPtU+taq+PoUrY6y>mU{40Eq)P5iMg
z{;gHhuGa7T;j=pY=I@=k{aemW*=o_umtOkf(^fv)b1yGE@8DzJcQNq<tE^khmBcfu
zvT8BcqTUt7CCj;+J}dS~W;|{xR^*f{sBS7&?3K*9yjW*%`nn8A<y-wM=;l4sX1?jA
zFN$2-<?g?_@O%{?^SYM?PpoC#=3GuZ!z-(HN9T5O{qhS>S6wUW&zAc9(=VBEvWZwx
zoVEKAbE)o~3pTcNr>^-S@nPCAnY`(s_$_;VA+et?_S>rqkG*Aoy}6utAl!=Q=K8}O
z%vL>?D-O3fM`_2t%Q*9R-LaZ?nK}>l9dnY)(0NqddsOCH#G!RE-tTA5l`4KQ7ZiYV
zE-sWhTe8Bf-dMIO=K8|(A2!W4Ze~<2kuVBs7hGH-VI0-YnSAP31y^iehHm)cna8eV
zEHgBnmFd%)CKU|lPTjbT|Jk&wmeu=C?$~rJb<KeiS*w~g&eJ8WzRXzZJU`NkXU5vY
z6Yg2{R78|*HJ147(azbwb=vNp>rw9v9h2>ZO~vXBe3BI>n~E8_CL0EuPBYLwzUlkd
zqEf&2Gu3w<Oa1P1KPGQe^|JYVvA<qlNN(V(WqW1tKv}kp=ThR41leOe7ZVQ!tbMBI
zzja!*&E*;A{iV2n1Ze9oS$<5ZDpThf``SG%cK`SO6+iV_D(dq8tl9I|uhMf{;rs9X
z*=rBayuV$a>ruafmGAbJ!-})Rs)M0ZV7XQa(|z0DY<Su6)=YNu^<~2QwmiA=bj#C(
z?FZ~;m;E@kEcV;&Eyv|%f3wWK%*dZ(^<<x~`<=}%XY4kcTX1|*aDVW$=DkeYb8kJI
z=DYjOmdt)>v$w@}moe|#{BlCJnQY<JWy$-tzHHH+Hs{xzEi(0Q#CPOe=9`{#>**rj
z>X;3g^Ye489_;aLpR@U8$9mmJPK(5iipO=$9?dv<OlIqi7Uli<|1T%*s$IKm+rC#<
znS!;BS@`^|{Vjjc=$OciXv-6caZeSd|D4)vBNoE<DKf%RBO&nVf(b{bHY-gF;p>_i
zY^jl$baeG2qqRwnS!pu@EbC7s);v|1UO2VcMlF=@Q)HB-Mnd${1rzkO*j;nh%7|=U
zS`={TSy%Yc<f@}hSMF?DSv2ABu~UwE(pv15Yu3v=`CR#QK||@(W~XCed|eUImKtfk
zPZzYD*NPLJ->)sUByIWCsm(HBp?pP=k(MWtU7sq<e>%09$!@KT$fh+#0Y}v9MT;d3
z7AN_fIMZb)xLNDiku&QgCL8?x{<i*4P{&IB4L{4x-hX)d{?`8`b6#-QB=Q&B$l@=!
znZ*C$#~HZ?o3F_UY&a$-u<4eZz{XQ@0-tx<DkSbTy{uQgmUYF=tY%w>-p+3f(|x}&
z^p}2Pm|y#?p~3rG!-Vc{4ISLy8fN6bVdSiTzIJ9<wOKY}7MoQ%f5DGiau15G$vr4M
zCikHDmYhJrDLH|nOL78*hvWo`@5oIkI5R7A{@rU#SJo6=k$X^ZMD9V+4Y>z}C*&R!
zUyu_hI3Opm>Asx6$El|NjJwy^r7XYd{$|6k8E?bpZ+Kf?fA_6^&pwOkA`+KcHQE>M
z|80Kv-=_MYkjBDT&fwMW*R|~QdEqtV_>pxjKYd<#MI3mtuBFxIrB}p}E9+X0_Pq6q
zIOHC?BTVn`u7DY{D%r|Z&m=m8ABy=^u6iapApB6xvQkx@gw*guPGKdgI!Tq`hm!0-
zdN%3BX!k#i)$lnRJHJHrOk!sEp_pkUs%Mf5!w=PnmDa23BqW9(a{5)Os*~gxekkc#
zxvEZLocOw_=R5R7mZk0A8OvEb<MLF4={I9JKhL;2)nNY2SkB<zbJw-B*4&x8q2p!j
ziM#_R^jundUO9yvd7<ZWwCAN$$e{=KBflkoU2pm=L$~1H_NhrS=l)*XB&mB$!~!&3
zSn*V0`n>(CC7yh&-%#Xf*RRFSYO_v8<Wus~1s&>Bo0H~+@p)B*eO$GpCU&EOY4E=g
z%M%X|cX5Vqe!@T1`_qfiohtM1O>JiS6~f2$GTKt(k=xU*<1s5_rW9;^6#CI3dZU7=
zdfY0RCk3FXo2jQ9<?e^^ecBmisqrA{>4FLGwb)%_*2^p@yEe61s6H=jkCt7!me`WC
z{n1mKW%9!LigpHDo_L)1RAGMg)Mlo4;e1?`0hSt%es}HUv4}NPdy;W<Mn|$}a*|(2
zkEg}g+xy>qTfS_@v68;spRKD~cCFg~dv#uYTDL~Q+MtfZ+ow9kxc%AZ)N#DQOVN&5
zyOpITL{lXtVCjU8gHxT7+Cu8NJSBcrSO1I63q3Js*2%U|i~d>1+rqg5tM_^-$|-BN
z{^(gHB4n^D$m7HeFGWFr?Wd~G;+9Tm`7Qcu|M9b2tt%{FJ1!Myf3MxTBGhH6z}8Kx
zMHXEvo$7RD)w-aLsLP>Tf!e#g6b;L@TUT6-Y5nv2&WeDJS##@Fi7dKSC~7rjR{hMX
zFs{Jt9bSrAtl^q2Ywv5duDBY#bV5g+s9RsdYtbU<qMc4IN2YQK8is4TsMLJlZU63D
zd!$S4-rq5yzP`1!!MVl8_y0fY-}Tp#Ghg=+`~Hmor`x%%UpL)z<x<quTZ@+-sExF^
zGr9G2Y-GiqiLK|~23@>z=f<swyAkuRy$V}dpRsQ3y0z6iw^@pspLU&mE6&V(*`qeS
zDC5GtAzQAPT?yH0a{Zr9E%W-v{f^r@Z<);Ydh@sRcf7xj@XPdDb+MBUUok0NzpAeD
z?tVSbO7H$@YN=9E@rmJ)6%)<(9VuUGnpL}R*Sdx4u4b<MD|wye;j%|p^l#Z`&+PiO
z?Afd7H?MuH4>u3~_IcN=Us3!1y8fQuv^KwD<*t8AZ?UcW^T>bK-#|greF5QfzfGKW
zeV+EeXBqRa`<z?&KD++b+y2z>gcXriJjUMBAEv!DJfu_WHY@dj#%3PL+-ZWzXN=O;
zM5^@|r=4Fq|6=7AbF2A`ZsG<PUO3qq?douJ6F0u`;!4WL`Ki<E>+V$TdAQ?C@^xVz
z&(n5wwLk5pmmU_~T=Mgpj_tZxOV68b=J|0>$9diCrMJ$_PCeth*~cRN%$n0K$!WG~
zl5@}NCeLJi-mCXSdU5xTgc3vXUcHBtZd9nh4P(ulz4Y+h|9Ac!bcq$K$l1teAGPMR
zaQD}Wtc`ZgamKeBO2wo<?L1oVwr2Z{8N6b%Hw5lEZ?yVZQt>p~cQcosuiea3kuXj1
z{_NBv{ArS(FX#x%%}lWp$Td<`l<hQ|{b@R{>u%j^iTy`+z1bSkudjP8eg09ln$(So
zzje(XH5@%Av*kvM^D~!SIfmZ-YtvqSZjEHiF+M%vZJOl9!#c@jvr-R5MK0SvGrs=8
zhDa-!iJzyRWHQ#hmN@O`t~1*r`Ypv?8(&XieioT?qNckyB4MN9WL>kwiIx-f+|OQ0
zUKshy$MAH+$}~x%vpUMlW~ZJxo;K6qu*&6)nHwXmbPS*BOV19AUUN8I?DeK&Nw$2a
zjAPTT{<@rWmFr5<RjDgUSGBGrUA4NBB;0nwxZdI8c{Ux>^qY@`H-Cvto5nbQR_ckO
z%{>u`(+suG8YNEExg4($Uae=zc{JWvUhVn%x7RNpk6shCK6*`5f7F_&iBW6Lr*!kq
zNZP0vtZSAu>*z6`?KfKb&vu6V4&ICn_q@!XmQ|lM>E#WR!#c8Q^|L-r@AcjOfAY;$
zqI-_6N?mz$Rq4v3t6EncT{U&((N#-V9$mF{<<Vm?TO+nw{q;ZQvg?dd`pw4+e|l_9
zH}yutr(2>o`P82b$USo==<Vqze^NI&$nRXAdTrtQqr1Lrj_BVgwpwhN*y^xlVymYu
z6I*?4nb>Nv`sHG?H+a7@SQ#1}Q9SJ`-!+}uc{5WF_@_yJTDa3m(Dtm+vgwg(U(8OH
z<lM@gmKC3ND&EXYc3Z^OrtJ}1b+$(ICyTu<zLUi47m;(qv%A+Kb)(^OU9*QhmJ_qM
zv!`XTXHUyw&YqU_KWkdn@2qKA-?OF}MxPCO8=E@wUs6ir=Ct~kAGXff@3V?^&rw_f
z;C5zP#8wMX0GO&@N^Ol?CA%ha74MqJRex7Uu6nyVa@F0{k;imQytkH{o-E0Ug1a(z
zTSU9A*y~N+_b#Vidwi_kFna!MG3kQSNzQrEIY(r>dv|7U<gEYxuiFsh)^nSVM{WKR
znLdqi{_NBfRhxTmBuq1uK5LXXS?6;6Go6BXKc{cI7uiezrPT8}*B+{Om;U^af9Bfa
z<wt*g*>t1fo|yEd<4MZDqH=ufyM15$H$UdGt0w>4rsMo+FF#+<VV0YjdLnsq&yKul
zhW=-a9<KCUmVB8ZSg8Ke#+N-S-BXe;8+Mmk<ZaYb*SYq1$<bePHr;5rEGE6_e3J6H
zsGKv`#by_ro0B?y{h3S2`y+qJ7@TfsPLnJ;p`*+<JN1m<W*-Z!(_h2`xaXLAx95Xm
z{y@3d>Tk_ruZ{fooi~1Mct5FDEF$NCQ#Ws9%0|I%U9+^#qiQ-+^H0}b*%f1ue)I97
z$X_u=ryEYENfsT|QQl{IddBLsnFVK6E^mA(94vHcW9CfF`kObl97~eD7PaQIRrl8)
zcamzaMdut~>*l?gu~Bfgj#=8~qiQ*Z>X)7dZ~hXIH_cA_j8VeU$hI@4r#nK^BsbsE
z5w@G0`pbJW>#^BO54#urGm1=@a<ty6XIsQ{L$TL}*OF?FMdloE=;oc7uu)K0*DP()
zQ8gbk^-HM}BCB}Jyyq*XNg7<yac-NLdZb`;k7VLBPGw&s+1blxEIk|&{BP67%*i&>
zQ?EU&uDm`ia=N_EwZ{vO{<7J0qv5!i^ryu~-E21An6X@J_6MK3|5{u1E}x0~HY0DE
zUA_G5)B`-5d1hu#6Wn~pC~aG$+L?)|f1<3+{;H@ePUVw7^;dN=D_hhWsp~pnch`um
zeizmqx_$N0Rllz$UFFT*_^NMfMCs|MHM`QEes#%;t(vveU4QeJm$}mz`Ok>!FIoTd
zL`Pkk<mLN1!fZ2AtjuD~LN#P(_nj_JR=dh{FX<{*{k^2Co312X-E}4D>Y*!1%zcq-
zqGm;{iJBd?CTdR9ny9(6gja6ckhZdHL)uES4QVUCtxuCII;L~=-Bq2d&#vlRy%##|
zrM~*=-kFhBG1Eb5v-U}~>1Mw9QEN^Yb$^XW+-Rq*YnCweXxo}?H#*Min5Fd|Ra-Ol
z{mm=8?tr3wS$*WMJ4UA)Zl_5W9oJEQH!Jmw?Pi}H`IBDW*m7=4OG(bJJ5%=O<lIWz
z$ah=!T59{zsyACA=EsUjZ@8W0{4FBqNL+XC&r3<dX)}ahZn~#qYc^x)dAH3x5oyyD
zXP-4n>W(~?V|uzp|6li)nIFGQE@5?(o_kng=Y=bxGqR@fT|RRuwSHG*RZY$`yTxaW
z5|%}_oiRGyaWhTQ__&VnGpWtbjjp}0IVL&xe8pn!83`qd!o7M)lP(_hG27Lm$u^zO
z`E$>?#C?jHHx*2t+Dk0G^?l~jTc>B>!GG9;zr~127{A_l;YE#@WbA>Y#r52gNhN~b
zy?W1t7kgW1*6lj#yFV%*Vcm?GK2~Rb^Iw_~JB4*x<eDgcyg28OK(SKnv)N0JGj0Ad
zGiMrO_Zg#<jgf9ThNovNO`G|l({mZ~#m)8kQ~2!vRy+UOv@oMVbN9^%lM6}pv38px
z`W?ky8{bG`o)`5>#^_2>&Jly|Udg<ToXTe+%YI(av8|f5)L(xy&x_n?inV8q7Ojsw
z_Qm*g%fC%N%l9xTpS_egWzK#dv51U~eCj&ao-R3BHD^=A{L5m}1;>({&qd`Nxh^JM
zd@f1&+-%{OMz?fqkIh(mK4LSEMEW$v$7kz}lA0oq`Iww;@l>1H=X-y~(!;Ev%=B{C
zQL8%}BBsw4dtG=ssWvY<=YVWC@6POvg5Pz_p6xrTW@Dg!>FJ`#su&~h`KQw)3-0JR
z?=wB!u{uq%_^gibzR9V-t~N34K65E?F352|4kgKMi(GTMto!SY#Eo{=x@HM$kG8$p
zcB8(-R!n;H^(5haChC`-7H|F%kw48&`ixP+;>flfqthK{(<C<^)e+t{E5*v}t+99e
zdY_-Ck1d=1iA!}epRw+>)M-bn&TNaAZz(2ia6QTSS!B+Un(p4ngpHisPgygvV!zGa
z{HE}LPA%W8)B}o}c`S3L3A&##dbT1`O$Oq^`tBzxDe)T;HiBFkk-Cv@weGd2#@$~d
zk~i9A>zXCZJ=#{2w^8u5u31|1QMDWs^-HOpkySdT-t+y>7$vktw$)@!6Fhy^D6KV8
zZH>vvk{qejX?(R{M=FZFHn@@$+ZMUzctQ79$;6F}%DQGtwjXut*>+=wq1bGLYjZrN
z*Y8VvdGWkX?XTIX2N*W<NM=kEl%JV;Mq#s$#Gm&|(*s}XL?q0)XxTHJ+c&nc|Lmp2
znUTBZ7<>1BPJ8*eH<B&J;Piy<G|9q~I>~yoQxAzIe~VF*n0wr1@#mQ-C5)4M^-{Vn
zy84*znvv-?-QeznONlR{7Z>ly@`y6yxNGX&UY%C|vgp2!t=x>I=f9^(erS$tvoSf{
z;hrY>`G$^gok`mHr?W0r)})u%UGLR<&~~x4CbvXzdyn3;Z5LJV2*z6N7OhAs;ro4b
zNvhx5k7q6=Zjapc#?ZTeZ`#YxOC#Cd7@wZ7{)|!5<j7+(2B%w^kG<KMV`kN_>-K!}
z)fY_r40cV(b*mTOc=tt8nMCX%zh>{_bDZ6t7u=YzCFhJ~@-)8mvzMNB?bvXBNz~Vl
z_fJxSbgRw;t*ty`lrkl9m1uwDD%SqURbS858>OCq+F`g^&b{~C^BEUeWz2U?5OxzU
zy!0Z;PcrsU=EbG=7lgc)+4%P6bq`5>HQnN@xyjS`jL%$3T^3n&rryYV{>?N=gX22R
z&t|0_vDw@+Gk+Q<chAk>*D{OIakoTTN!HwC5H5H*WAlX<GGdaGruAC#|6d$vWn<($
z{dn5TkBcLJ*%+Q~Se_>N>5PtYossue9)r^@^NzjQS(8yBSN?DD$)9&jcQsVIi5J~}
zp;Rv?5qsvl+w>33FD~V~iM>$WrvJN2WAD*<lXo&TpS_gW5xI-U)VqIW+RM$?b(r^=
zoSsmdCi!t{<gqvAr(2khz1jK2(5io*+w;rkUoicWjy=J!xLYEl#86%`_K*T7K%3p3
z7n}eGXznz=^fQ;9u8FLw$(?5R{EX3qJ&|oS^~uu&#m^W$TNJ4lW0ZFO>4uAyGKN<3
z7rTiUoO$8YCmnl)b8+|0<Py&1F4OBCZ#<B?xOj(>nr<;`*o>uzy*8IbrcRSvefH9G
z<IOw~$<q|G&l)AojXb7fcDm&&$j8|wa`UC<o)=oo9g$U{IA0?6h|%KipI2T8O_PqX
zdX{5gRo~v*wj?$AoZse>8>!RucAvfUc+ZYCCzc>eC`1AE$Vy?V-t3;4UvK1;*hTl~
zC9J;KT9a5Jc)Le0ZTCghHv+N0e2+0rKDsSE{&`B*j4fwGXCzPKD?WQEbzWrE8FTOX
ztZ9-PF6cOm%}hO#w7DlTX&R?@eeccUnOP-rmwV2o@4CoZlT)H-+@qJY?BdZL!(A;m
z*{0XB-hUx84IIt>N0%GTEU8GFre{BM>GAew#lOCooNkCqle~0WNBP%`RG;|GK0o6A
z%qh`|wKGk-ws`)<pMMf`j2|q#*lJ_6tK+zv_~*qJRc!=gfAJn=`g_zYCH`_seFMmy
z5{c9Jl+RvDof28qW9B_SGEK7JgpM=c?9?NIn|my?rg0wcxmhfkQ6eWVIrqH6Vs43?
z5=G}8y`&WvkMbDrYI(>uz0dM^@41I9ikUZOeD003iZSq>-ktWc@T5+y-t5!^qMLbs
zUei%tH!Jmw>1LlF=VsVWpX~O$@YIW%dOzvd1CoomEwf7m<E3NIST6Rq(Af25c021j
z>A8n_4KrtIZ#bqSdu`Uz(^i{9cJpoi5}7}ZQTmKg%Hl}39HY}S&Zf=WaCC<4^x1CD
z3s1kO*(McxfNL>#WM+xr>K?tctrt~m(m;9T&I_4+1}eJJ#rsUW+iTNaewrFt^~T(L
zem!%V<b~)z-*VNQt7fLU_;2p{c|k|GPU!zSf34VUlE$w$-hEM1CJ}qUZ!!1H)Dpqn
zy?SZ=7ggW<?f<_;dgkl6>wAAbKO1UldX`o1zteKQ=~n+z;y85+q7LzetG+S%G`;F#
z)-)l%7ln=s?Cx`zJlNyle2z1O_X1O|rS^puW6P-e;Hy!g5AOW7Dz*4uS2@`@>cOlQ
z*?p5YoG#_8zB6INd0)=ej2?#+<vlHuCbT58d0QlQTqv8K-;%KXKmeyT&*TlK3plHL
zCTuwGz{%b-so+Egr+d%Df-@1E>OI1@*8N&3@J3WZKe3%tsO+U?V!!))&Ku7+wlLRC
zDL5g_>25Q%p#F?8=jw$YZ1=vk6K~D>&1yGg!(r!z8TXe?7kPUz`jB0%ip+)ZLyCV@
zWG==ZGGtbnV-U3C9pm(t2MZ1;aa!w4+i+Tlvs!2BhV$w|d=Y97C-|$%Y>YW%$gMhO
zLr_NbiBv@koef@x_*SdF`82b|_L|3y^tCO_*E}s!mbECWajxyGx6r;YBcF9v!Pmdv
z_G?8g*~;6aG5<=O=D9_OSy#2~i=TFNwFmc?$r}#yKi!-mxciG>SDB<%K))i>S4o`<
z4USBqm)jha*|<XPIxzXZn7=qvjr)t(3g`7PYDQ5H0`-I?!<4&PV)sqiaGZ^EHE(wd
zv)%N96IPt=Yo->Qk>XsfT3!Ehm(t-ZA%R=#(k@<glFSl|RZW~eRp?uUV&eR#LVQ0$
z4!v48so;pZP+z3h!xmMi+qQNhAhk!qYE=@aw+elWP)MBrQ;2Vd`ojr3h5BYFKb&z>
z$Zv+~mb=f@6(i0rI<4}iAn1^uy2_ivm_v5QRb&c64k@~;$P`8#GHkC`nNtvuQGLJ|
zq>^3b&4&0xS*JA<`)h^1-PCy4uvduhrgGxer;`fK=y9sQ5xFJzDTE_z^9w#s>zwHu
zZnb;ec+AcDJ7;Ra0Wr?@oM{C|)E1fw`m;!VUhE(&SN<pWol@xLjQf{fF4&r^uNAOW
zTvt}-*2-JmUN;_2X^HjYa$Q}&cZI`MsrUt1U}@16x4OAP-nz1``nHHEwECiqukp$-
zzWmo$7QVmz)c0IQqxycK4Tt-M$|@BS`!@&OwEL|pQ{Zq&kzG}$(BqI{yXu?*myF#}
zvYZFA#B8+^+mnULEcFumg@wLZYCdcT7UHwieb{l_Y1dVqUsFZqe%N}UtbS{}$f<zM
zFDw`Gn0C*bzTwsx?})q1LSfMkoU89nY`J>O@sMD+%9?2pgu=257QVIH+mc|~9XENy
z;c}s}oqCD=zCzz3)e^T>O)5B1#Oc0fLctj?PW3fHx8yDagTg6`)4E12ak{C{w+QXT
z`K3Z(tJ65!*Gw-s!p3<#XG*~#^?Ik<&UPYAS9Nwp9^#8ud9x|>P?p%#4d=}`*>k2B
zoZ#bhe=}u6lp{xP&ySbkR=t&K7h0C@*P0m<y;=9wyiYq9=XO`cEXZ=vnXh+y`-<Nx
zZ$2z-iT%dqx?4tc#c@H%IyS||RX1H02(q(CZH`~S8UHk6)=4IHmRA=(_kL1KuitXu
zaEzMKnr|P3%6`Tjk_%UPQyhPY@w<vlQTQRjauu24=tG>_Tej`4(by8}yUx7T=*p8S
z=R;W&rfxW1F7$1u+QWv`LVQ2N4hg<imD%iah_kzOTlF6mmenUqC$`9ndEH2DY_Waj
zeItEd3-dECi<G_=<uq@Lv}rBEX<WJAE7j^jD%)CQ-8^rk?rO1J=6NH1RSWYn4~vvd
zEy`-17HNxGgw;55`75+Q3iq_g9`n4Bx~9eUn8%IuEiKG;(+W=Hak{^mRB*<RQ~iy=
zExAuF9AT4RmvLI>Ox|!>T<F^kt;G4dLVP!L9!~HT>bs%wa0aiFvT~hB#+l?sHJe}c
zsW+d@dKWYO-zWZEe-HDRUNyZMc76YmOXdIf+_rzOaMoTwWPilffa^h_@!y@Cb9uS%
z{e6D-pQoSs^{snC680UmI8(iSuVb#-TEE7fLS-{PzmqTj?aV9w<6*c^`H#3Kdf#2&
z8NSc${Ou#Y^VJU7?72ekjG|ZVJ+8y~`%R7e0mjSqOI}RqUlQ!bGpXQA0;l?yI_q-V
ztk>DAUuV6_p84_H{ukf;y?rltuiv&MZ0om-#I%14mL01q6^)L6`Z@mJ=Dhzqu88z&
z7`)C6v^X=Z_4J&Y*7&f!tJ`kBpYq*i`JU%@tCsJ{TB-MK&uq5EY9C{6%}qMLTQ9Nw
z@%yRY8<g}ueEcm`Up_;xXu7u2_Z_-L^R1QmcijHy7Qk8lbIIeiCxqT?jO+SVsqnDD
zQiyM+?!%5qp|IeIEy8Jg=I@r1wS9l@_fy;RFW*#t|IA+cWl!nOdC#|e-}C;ycx%-5
zQ-_`=?mKjJ-uD-OKh1kS?fahNJ6A2;b>nlLiA1~lPUD?N=Y0=3#CN{Pwthheca2_A
zyS~f1ZB0twZzvVbKdZ!lLnSo$ZKKMYjp2vvs#Rn*L?2Szt+J+UmQdeK#fL3#f4;tW
z@w3^ps(H6>el~l;|LR3$cCqdG-1n8Yi)7dMTFjZ!dU{_?Yq#zD+uu)B&kMizIc;Bf
z+}05B>U%uRg+DCJZ7Pn=GmkyQceyCJK2A_vLc6HlP^nx}x2XT5()UQkPYq9lOk<aM
z-AL|gsde+TNSM^(tmb8r)YOuE%-bR{=3iCGmp%UXwcl69rJs+UX1`+b^R*|x+N|IE
zT({O=gwH$Wp^<j{!=&@kQ(jlrRi2NYu{vs1w{3poL5nlz=bqI6wx?34?8V&IjIJ>c
zX4IdM-6yv5bmYY9If6USXHI0FGilY!O%afc7<q_sx{6Fu;2}YA6`9YyEyCxXuXlGp
zZ$0yMWnKDt>xm$nYp1=gtgAk6J^gh;?xBaPbYj*$)sA^ndA-<HU*mnHd2y(B>Bi@G
zjU?J{?=)sTI<MUQ5MOz*?Sc;O9MPSJAMn?!yfbomB9~sTTsZ%*62HY?>4mfOzAw2|
zeP{ZHsOO#*52`ua|0q1{Sg#_p+4m4<{1dPPzRvzMPrT&Yo{c+?R$aLGIqZ2=+=Y9e
z-S#VUwFho0kenI)fc@w^bEWNvIZjvK`w(+ADE3X|V_vtj+jbha9-U|Ie2CBZzUF&I
z*O-L*i6>;my6>cRp0J(Pb!Ykh6U_6Tl_g1r2e*Y(_umxyHdFaw!%iW-nd%QaehT$P
zDm-jy1;_rpC*QUurl0?9xP3dXb=AF9G3U8&SKnKFM{Jv~h0T=K)BHbs#H#Q0Za-Ze
z7jo~j+>=$)UL~F1oo~SRy(qn_%l7@c7TI@C=H-dh7dGzOIWO=DU#-i#OVLl{_PV^g
z9Q=e){+V9RvDiHCt>1U3CeC*ZQe>3(v`AUdqRi%D@oZ+vsg}B(^TbQP?3rI=tN-dv
z<z;XVm<kd*vu)?XwZ1D-C+J+U(zxH3bly6+?A^6V>}A?@n$qo0_vie-=ej%d&ApKL
z@T&o9r`2zk^;f?gv+=g><PC?__v`Ha^LEF94cArQ8H7C1b9Q-W81ZCPdPhuY@AcNK
zT{aW4-WR^hXL)1nrlpdwrN!CJ(;{g}OR}4XMdFXwJF~A|d|UTt_MNR+Sv5<y?OgV8
zY8w-CoVjKDznwzX^2@&(OSJFX`DxCix{K=JAGf*Z?p1wrp<cVZ_LlrsUE!Ut+?C2B
zwTk*xmA*&nd}?qFGL5bBy7AbV^Y@=Auk~{KZ_V?xc(SrZd7g(w+Jcf(E&iXcuUZ_h
zb0&WEq`Hj<UR+Vr3r~7}cwHsiV*~T>dC`~jpFPpK_e~*ZPK#{X({=v8n>TnWmCw*9
zn$D^8T|&QT{!JzR8`E~zOXcz(O7q(KeTHJ<{C-s#gV;lgmsMm8Lk}4StIRR5tY2&O
z>*&lo6%VI<>Avjtyx>*-DepHmBBhrSWc;3-`t0@V=*O6}+j7COlQ$f`xL<R>-P`_^
z`$Tpgj+?mqjo{AX|L%OvulLy+-NS!FHT3e+juu-!?;Fo2v@r8|Sv+ZIQT|r%ZShQ-
zQ~k~9_|<)N8%^uidi^>o?)H3xZFti2=0&n>k2e^H&x^mLpZ7#-p6yeO4-tp>`irhF
z@8G^8w)3#=#NBs9b{@a3^nIt^r-t`SvUb@_*>Id+=-bb@LyY_?GDY!+1i!1u6o((;
zEdK-wt(eoG&?>z8LT2CDsW*N~JnyPMXc2R6s`!*I-QGL9($6b!#Z1|7xb@Cg%|}do
z>l6#y=P8x{40s}E|MXb5()UWWqOBLt@m`iJ|LL}B@9|2`-*cuG9GKDPziaw~33Wn!
zFSH-duoLoo@wfZV)>n5jo<IAuU`hO+j1s>7V&mZF&ePuWxV_z0{Ipf|@1u55s2!-$
zuTN}e-}(CTKZa+!PF($=R5V?3XWhkr49}7${`L{CJg_C^)@`{1ccy2(FZ4fTSFS2k
z;C@JPyQ)l~_aQ@b)j0*q;P|-`|72aL=l&T<C47^MZP$JlJfYGYxO#s~WuWK&852(D
z+<rXqqfnW|^xbxE``z2R?j&}ch-K@(lRV)>ZM~gP<pGD4v-FZx-&~A8WVcvV#=z^4
zqOz)tq0=G5!>V%(bih_L{MoAYQarurd-?L>8`EDmm~P#AcXr&9(|3Ar?p^h>)hhH#
z+(hd)f;&&YyZ+yEdws~E*{h?nZ%*aTTUWPp=dx{6=dRygzh+hM^=%rv9)JBd|6#_e
z^6Xc$vRBtfXJ@~<eB5X&tK0tUDSXLif?~5bf00O^X7~7h?*BQ{Cw*;wc5D8|zhCR`
zysdxlP|B<SH6!WvYgzwEuVO=;a_^qrdUosCvo#lQp7Al!`ll6bJ$ccK^VWKArWM`0
zvUly%)2{C}to+<`ZMUv%)T}J&EUEaU<mas`)>po3eb;Ncs;9sH{?!GCJ!DtO-rPNx
zH{`?9Td$a;y`*AO_+Fp(pKN5Rw|A*y`^x;M{bfI6v@a&lJyvrqODCcCn3LV*h$Aj<
z_ZUt#S+=brztkpZUH*H<tdyLJh1-^GTsSQ=f9rqI<$iPHeyoyP+sms{`#Zkuw|JAT
zSU|#>tJXZV(R#75XKzWhmDbz6)!V%O%GE9VA`*7^TK7yim6x0O@?OAiuf~aDuMJKm
z#kxhWIWE!tRWfNK<KrbYTW?N(oh_knYWelmvK8yo58bZ6`u6;-ty15jqGo5W-X-3?
zYuYinvsretBZ~g*YTI?qsx&6uYx(q;w|h!|+(^0_wd}~XaMKlr4tL+{hJHO7{h?mC
zyVT-a*mK``Vn3a7o1<f|9{ITaU+MPx$V0PN&5FLdab@1ii&w7PSaa)q=XNXh1&?Ng
z{QblI@}j!8ckbyRt0i-Ub{@{0xO<M^&f}32f7j@KYADVx<?Ha(-xoDMY-{7^{8F0_
z>+|*a=9}u9Z8O`x<<r^dlHcraS1sMzm^+vM`RjV`V<#5pbKZEE#F@8pZTR!k%a(ep
z{?vN3ZFPO57+<*8svEaru3osbciq;tUGt}1S+RAq+x=;Cb}?JWOx<vL>-K+(ZqMKH
zP|o*g-0dqdzxT}A(|!5Ns&Xs0Ywz^0U)dWoy=>)GtCAmUM6Q{I*oMd7KA`@-<jKb0
zr+aOqey%HC^6p<zy|)$nR<VfistiG^nsv_8zrNp6{_Gp~x0?R8SHGrri@&Too|?BZ
z%y#cuKI!|J^3}n!%6_w%?Y;bO<I8E`(VLRZ_kUi$>u;r7)a$#e#HKEMbSCU>Omy(|
zsJ}_MU%l^CK3~84Z>z1FZ;Sc8tazTO?n^KKINSG3d-<|g&sWdBlBLRCAMdkYersGi
zpR|?K4NmSi0xJ&tHLl_eSRl8X_0?wg1&r0KQlFMOD1YNLd8XZ{_U5|un@xfIrQ9+C
zD-Jt0?vfE&aXhi{myBS>fwg`5yGk!jC}!%lP`WT9n90}TxAxkDa<ji^Rb<s%;Qk=<
zj^oPHZ4S2k#8#Y_Y-F1wmT|(f(XD=tc*YslMzxqL(r-3L=5L69Fe$F#{fAzM*gUQ)
zkJmZW_Hmg!nBm~u$8GYc#UVM5!{p(vdk-3PtD2h+{l9;s($%wYY5AQwkBj%ri(UUe
z`~6M!+xq8rZ!6!P_q0kTt?Giz*%E$R-Zk@{c{)}1-BjQIR>j4SNl<j6fRJUg%cK^L
zdM*}D0ZR=ACzpvT4xAstL+{4M#@Cid>08~o|9<uCRllzN&D!O?W766Kfje{pgr~D)
zJzV6F8zZoy`7TrG#upoPzHW$EU^k7!<iMrlM$Ba!jja=|AJ~+`y6#X><E#k90B-Hg
zy_Gkn#=6$*ncT{F?{Bi|<=({V<Y@1OH~enT3hl2C&D*t6^Pi&6f~Z?u${T)doYic#
z%G-MFuk0*-FP|MR?n{L3<ec{C_m!`K|F3>{Z>UjHa&g|uiBXy&USIAnbJ{3j7P)Wt
zL&x*==6~8{ZaHyQN9X3$zke@P7p!k~XV=yst_jC8YAg0lIDh4z%lfPz^W_ESnH21Q
zZZG|B>9>w$PSy3*$@?llY&iGrn4guwKIi0|_v@wqg?410ZJ)~jTEldEnOo!ID(&5(
zVi6kGP4<1CFa6JxBjfzm>B?#!w#_O&Je%uh&_;*vuadN58I`5FAMzz8z0a4fTg$B@
zdMkQ%k#pD1bLS4`vfh&PStac&erQ9CO@`0AzrKg}XD*tZQGe~$y!yp^g?goet8PwJ
za^uU-F*f*myiLpJ_r`@=c6|GDB7pmPwU(x{*_N$49WI)Dk!EJUs1tbMtX!E?c2kD5
zkKpzyE$P21i?-f8aQv>&a+bxrHy!jVWPX3zDY0M2=grjC*4j-QJ}%Uec_Ut({b<gK
z%uey0y}?S$=XRfnl@Z$6UheX){&DO?_KNkpCxwJmq}%OD_PBBUvk>2hriPdQ4B`)Q
z>3iQe;=~zUv2=E<$Ch~)Hhm3Px6v{@N1JEi?SQ!)yHrcVgQ|U78q;65YSaWQm)&*p
zYUcv!xVaqC(yv@BW*o4O(m8b0xb)SB4J-Uw`o&+nuvDzuJxe82`Os98>vN93dv~gS
z^Nb@;Gc!BpCqLWhJ>y+aG`p@rA@dgN|9j#(+WlX({`j#b&ZEJ&)G6b0-L|-nLqDq&
z)%L9rPkQLIS3r9D>sE^$LHb9IUaeA;%e%t;v2b&oM?-U|lSc556>%Mhu2m^c5xdU4
z@k7{N0qNVXS}iJe$8~hS{pw`%=EXW2HqG`cIrUR{_8ha14xN4cT;8_-opM~qzhC<h
z>H2d%S9;ZrcN@$KB32sA*cN)xdDVtbHs?OoI{8{~+g=Z@?V7}y%XPhh@79`!#rrDP
z^e&2xRg^#asHVTpVW)jS_1T@z&bfc`wXk&HmzNUc(|nb@<Ml2BhuaVBwSQmiw#`|%
zs#DvH_t;X#`d6CIypA5bR^w5ybNQ^$BZY6BZ+V|(efp^DSjCQ2hIaR^&tTY{nk;o=
zu_0Hr>9Hery&FF?9=j0cku0-kxuG6kMo)XQ)Z@p>y_^LeW?TH^pQRiBDX*wI)gRE$
z^TJo=ZKAyOFOyQSmr2W3|BQHcV-t73?6uCvf40f|{;+<<)91VD)nB=2ym`O!Pv;`3
zz#OT2H$1CfnYq4<o4=&^;U$4r*MAw=uF1N5D%n{+x=6{t)ZEN=&hcOSPR%zyZB`X=
z_eMyT?vst{`b+n^9n)`)idJ_@^qtEgy!y3EL`10ip<{=v1o^_QbQWyf)33VHRB)-g
zRZ+yX<Lf3r^j*5_q3_#XS+@FVS#u8wtu@{l@mfdcl9lxOthvqMTh1LlWjF28g{hZh
z)DpOZq(8p8-x&X>qGH|3iwCT0nZj;d4WFGKvY}B|Dg1^`k??Gnyu`&Pa?gnGY<}+Y
z?osB%>KW=q^2M%l34JEKYGqyzw?v#5+j%Hur4+ZdN>1|bmds|QMDg1yZyuI%*8i?>
zKE$|<OIF_Jd1;!}o*RPuo!_4Q;wqdhAH8d}|K}u`ca>)83lA-O_p11?mPtYM0<L)O
zD@Veawib9VFk8oY<>2(2eKT*&Xcdb)?<5_(>B7M$Iwge`+_o|&oaEg%8623)qa!in
z>h#7bP2WEjie>!s<GgajvoXp-F+ln_>#GO#5!<-c#8MWvPTzFlSa;-$gm|%C7H1PT
z{uGq)i`}^M*`){WR#Mj18~5%0mgY65tW<ZcbDOC2W9ztAjhrtNWzRm8Z@6A|{hr$G
zTW9>D%ubhQteKed^Iy-^m9q6-m)f#J%fCJ>PO`rB@><W*l_?4#ADds+B(hBVAm**>
z`|vt<NP2yq2H&#0mz^fgl?tZ%3v*sCc*_v?cHQ0Bt?lo__4fNz*3Zuov3tMzZB4J?
zhn0R8_k{${?<|%|e!S+`4~xZyjCNwX1Zt=ES52SwRcdKL$Z{W<%bMKBOHUo+oLf{7
zv^*x}k|zKCms9%Rmuem0mvX)RyxMAMLHu$bn+uxUe{H2w>yx+mSynhLkLkIn$)8+$
z>bS*RE`zwmIn4L7j-_h*T#-#pn&cNbV@+^L{{>C<;L=k^P3C_3u*C1@jCH|`X&F<J
zA85`Enz1riE$!u$)|*zTkEPF^vF3ZX%uHk>*M6Q$vwwb=9`C+;t$A?xQ`OC{P1$Ew
zcqhy3(VVd%n{8WG&mpn;h1?SjKP>XoJab)BSpUV8*5{>N+YVSgeHb~H>qAdkgqm44
z-=fs7o^yj{EDu(D_G(J2rB!P3Hb2W5OM~^&UQKCVUUKR<&s;8pSYv-NvrIm&|LXBp
zOE>r}_gQmQlRLZQ)Um9&MH{>>mrF~VvR{na7*sr=`MN3l#h{H&#S^-(o75jW%+~vH
zqfau^o-4B>)eeQ{>b+lEt0!I8xaUOtI!n{7-ri1+?mPN;uk}flHuJo`$4}Gex~8yk
z$*DuZR;kI${4ScV57tY2J*C}p<=KMBt9uOGtc>cmAKY_g@w<qP-y>{weKJ1@AHC>w
z_o=+hy}z5?G;0<ItL?k0$$kIjl-~EH^`{Q<TRnX=&#!XF|L1R}T-)>aWn)Q-&C<Us
z|JJRTbU#ez#Z}AJrBUfgp0BFKXUgkH?D+hgky&Kk3ps-WM`koK>-5N495}Wk<W=DB
z!|g{`s;Yin-0He_Yo(9-*WbU^FB5COl|Q-9e`(oCb1(Ih>vpg8U+$Cd>Rie1{cN|>
z<RE^*dat838*g%c@XBx7#U{oz<x+9utP1A^V(+-F9FuLd+MydD9?yN{a5&S~Lhl8P
zd1))tAGA4$?h{zina#BI!%~Ob7||8Y+DxT|kqhMXIIkRcZDiXqVd=ic*G!=^rd0hA
z3>Fq<%}Q)?$n6nd(Ok$>Y8bXa?ik0F<HAh5AAHM18tWVX<>V~*;Lu@O7{5U59p{x}
z{7j`E_BzDYs0Z-h=elzI_};DlmP)s-iP^2;{O;BM<<cSds?5g=;;bwdOxOGNdTBfV
z*GtFQt0WWVhXrlg6{|1$?Zwj0yH%MFYxi#XI4{nsB3wUq&*JHFWiOZZ&#jV7Xb%fw
z+8wShdhYeo&huX{)gQ9lyQMHpUv|z#Z}Isrm$v(Vy>wi?O7g*muuErOY>CsFbIDs+
z{p+Peo%e3Njdv{*o85J`U&6w!A=KiS{l}#rr0ZW-Zwg6lcJSS!7Qnrpd&(x+Mynet
z0phb+UnO=s)aHn0G?;Ii67<}hb=8My4!$}fD_VClZ8h{?z&B59MR$5V%d3ZxjlU}V
z7BJ2`ALLaYFR<cJP2;SJzy)G)LMwV%nMyxwa)>=6xS~Cr#VgvQku74%(k%@7Vk-_!
zns;lzhs%}YyL5OqY-_J=@tWE%5Ub_Ab<(;SsZ}dC#OV93x!}#M{q@o@t-U21qV!|e
zT=eF@{pHf}+f|YYyTdM(Zg<ufEvxS`ifh=Q{WWy5N7*!w2#Z-!pZ;CAWu|jK<ivCC
z!(LaeD(}5&9CK#7iD_V1QbO*h<aVx*i0Oay7IE?Bh^{y!eUr;)&3Pwo=}i}ot&V*0
z;Hyr_Mni7foKsHnvo{+Yn8s7nac!&4l2l!8-<;D<-1+GXd*4RBNN^Y1bz^4hmWvf@
z>OZYM#PQ7fh058J2CX+=Han*!l!yiO&9S_7WDU<|1LMOvHd7P%(o$x0zm$CT@FdUY
zj}HRRF@5=LVP%+X<nz$LewyFug*=OSOWH2(vpk%!drsmO?{fyXWbC6Vvz?9{68^;K
z{-x7bUUF_<t>m(T7Wu3seRr{M1u4nq?tJ&2pEz1yEmK)BU0MEpfk=Y5bBR!2kxR6E
zpO4J*4zqBXn-(W0HV4b>v^YDlz5jCYjhWfTA6&mq-!8hWK=H6o%%A(SUM-SOe>~Ye
zTWsT_2ge>cuT^E9&lU2}xN(++asYQaYu4jA4zhPv#(JE-a&*)2%~uY8I=*`UN3QaP
zY+*|p51l%$ZC`KM*VuSne!XOw2hW@59uFDZ)%c2B<kk9eWFDP3nAjI1^YFysz`h!p
z#~KF;&E#5pbvoL1%vNrlEHl&M=)~5`GB<zBP-dTB@Z?c&pH0oNiT%bhtQMz_B_|1U
zFM4~)vQNbB`H3TyeL6J<C-!p7SnfD6u{+<*=t<(q6D9t-I;pkw+c&lfvPVl6eq>XY
zyO(n0cytfTjcNNf_^$D4zo4`CY{#K8H=j2NM-KV9<-AEca?rYGN5ynO{`ZED9@n}t
z*-VyeX}$V#!iV$OJsM|{j~t0{(>arP<XDcI%$cMkM}6FEd{T}a=W%25@#jBuY*q<}
zs+hmgB7<H*;b6&)2Cah9lj|i54LSwI{SBQw<&~#=FmyT@S0%uAZJD#osXr16*YpdX
z`XR9}r(f{Y7m0;B{et4$k_+GT37&c*v2cx^Er<B)ONx3+D|!N|j(2pP?TOfNrlVK8
z$708+j&6O)j|IJg^4BFf3zTg+_+MXEoYy$JN8?V?kt5+f5kF=LN^h53Sgl*HtoP37
z(L-ssnwTV&1LZFrf83bB_mQ{ee8(aFo*6riceMWQxlwVTqxqlxvd->{JrxyAg7V1{
zoCe!|eVf_AQlWb{Bq1T@*8+z`8)Y>$gQP?yWi!7Gvt-{S9yuE4X7eWb$Z_@_mLDHJ
zzLG!X6m)JTua2x{VQZ@L>UhodPuZ@Wu6Igo*74b+#?8I{^r2%(krp>pxW#9uK1}S^
zsmYn@+F*V}(r{ypjY7>Cb#AZDRL9JXIvS?aSeG8(v_K?MY>L|Hrl8cwfGXu~hWA~@
zNr|l$40qQ&Kk1aXPRHlW#MaiEn>HAFi}9tMYI@hP>4Sl@nBB3{N(UxC>bVi2Dd$lB
zFSCAAzzi*J;oy|S#7#OmK9gFTJ2w>=I*Q3HJALT*<xLB8=U6E7g>|xDFnbgksbQne
zEqwm;p+nr8HW<c>@x@JP?Vg_UFtJgmW{*xc1NTwM!i`Zj4c}DOpKy8@8aX3Eg_~RY
z=r-Fmf;;=SD)Dc~OZhr8b7uIzYsH?)B6|I6Drbe&_srjzbasB$<u5V88#Tjq9(ie%
zo)V2JFfBDWx}_)M*mTVsm#ze!nfl@Did#N9_X8^=w!2@oIiny_*ZkN|Yt4*o^8($e
zhXsRn%zNdbUi!psm9JEEak_9`kp$n{FkKy9xoej!?i>h@T=Ah)Y}Ssstzz#|7WVFr
z^svn0GTX`{IjLU%;Xw_y!!v)BrJOZeb|q)Qe}Byd2EY7GHw*kN%`5tPb$M#igR8eV
zc_$uH>hsZgcH)pwUyjbx69<j@VsxILILy^ov*wA$fmMMoKWg|SK3=G}F70^W6{$X-
zHBV0*5|+97W7W5lksUHMXD4>wmZ{uvcw+x<8P*@me$Cn=5xD8ZG3WZ-6Z3BtZsGsg
zr!0KGIOQRGU(TJ!Cl1#3#n?PJ;cP#<lKb+Ft*MVa-DG^yj~wN3v#~kKp?jc*#bV07
z4Ze50%G=Xk7W`6}wM4mRhQ+Cl*5sZW7Uw#eg?n~boa|^1?)hPH)}x{Sa`OfQHCd<I
zYy2ewe@qb+o^P1+kVo0fF6qd@dP}#MnsXiPpL>4%Xqw0%eyMqbp_#0c_2TXxjhLh(
zM^fE%ViJ!W3w4vJIoZ*j-BYpSTt~lj56g{-axKk;F9mL$S(DzfNzqM%H>u^5yjxCA
zN{f-4o5t0|mLeH9jh%@tn`V7ci0Scfzwqy|ubW0=VvCWun?`D4OOdFX%$nqu`c1-a
z8oG%sp9I}B1U>l=9d0S<NPl4KCUP(R$dNoZoi~X`j>Wmjyh%QCl)b0o#{@z7-;$gK
z3bq{b!j~2IH8%HX%<)~Hu&*<+Ct%f)j$X?iiy5aox+{AsDrO1FpEnSCaPx_3l_IBs
z=G~_U4ivv^W@>#dY50*zS?rl{(qmUQnfg6PI=WeVDrTJQ=x^;|sYneuyKeh0TPEhk
zuIma`|N776Q^*|b*4eblI&#JgHEwSIQ-_X~L|V*H<UUoN`tV_D<PQs#Zie=wl7@zE
zHVyCAyQeHH2oY23J9+3(VdM=9MQ(QW)Q69z=-AAe*4p2nD)>OS&`qaDlz+kOugf<D
z{P3w?bMlzQL~9BCBCltz?-CcCsPz%5Y&dzd@0jJC@~t=1R8C1=m>Fn#GWKV*Dce5z
znM=9sIj$VBZH(G6e^KHkiG9aEExz*H!bj@-(p$@yY}^^~;y`mqT8N*jy?^el#U&qX
z+_~bH%;LCwkb7m%syTddPYmYtc)v{cc8ys#DRJ)3q~QA7hj#?!Zu0SoSv#-SXwjRg
zK{hp(t0tu{w>W!vYl!N_**?El^H*l?KjOkY>xAgMiakYc!E@~PT@9Wq#MPdf_-KNT
zPK^q;G<)j92ey$rZs>FGdVA{7arR9d8`l3ip!~H|zeg~=MQqF7B;PFy-cDqFYm}1s
zur~6Bg#z~}%@oI#t@Sz@hbOSAN^M$D<2*+&>cSC8&dvNAml<$QWPPf%X~7g1F|%{0
znuJ6m1Li4ldxfVsMt19HRD#S*`*_)fd-I9RM6sRikCXn|^>x}DxcFjXbhNbSt_;N&
zS(?w<%6R4Oq$bQ(l)iN^yQkCK^w=S3w;#9Ht?3k-_n|#IyWT#bq5jaWMrNNik`@OJ
zwcT&mFT2Dda>(@UiG23H1#8{ratOD-b_qE4|Mmy%x4F5Yb6*|4a{uMpLsJ;nbe?36
z;GSG39yi5w+OE`+r`CnRsjXZ`c^zjg+@Z&zEpk2NN8iRRFS@K9ZXF0qR#?9w)geFW
zbHlwuYLDMb*Tv=N^1RE^e-iCo-<lLI7yqU3TI1*1{}(=ZC#?+&&n{lK_M_n9)mxYD
z?A<1@`8WGXuf#sTnH5%xLzW&&FY$U9IoIf8%zuSj4K1ry?_9Zc^%d9Mytg81r2qZs
z3T%CU$b80;EnAnWHpQ;}85I7*?AgBgrhh`0MDfP>aB)pv6}okn^P!hIqE<XH@0^|M
zoqaN+cy(UNhj5FCedk}abP3n4Q~6#d1*$cPK5g1DYkf*f&mFQ=oNLgtp3i>fxeHsL
zeY6Q*RdwaYnpHAio&GO~cUwLyBs$R}TX<{h&&2lniESoFD^}KDSg$1<@T_&(!3x7}
z@0R5sSFLoOae8%F;nmF*vRbRE(!|<B?XTLu5U=O8?=4i<EoDnHSY%-9d#GH)V3C2o
zioN#af~^bw7RArH5NGMTVo`OE#vT6nslpT6i+A?LY^>3}==;#SH*iPT-Yr~g>(p6W
zxvzeX*Ep{pn{#&U;phz-GyA)^mS<*Fy!@Xl#eZ~4PJu<`<lpRnlMk=Ac$cMZV!G{N
zn*90|CL)vTC%sl*w(8cx@Ji{Am9tM+FFC~L>tVN0RI2QS;}1Vuztewr9{siW(7zsU
zzZ6Bgzv~SaZ7}eCv1ad?jzdlV9P7jFCboWhDSucn_q^nyRc^&gF3j&)yV%n5Z+z(V
zo))c|xfc>W-{dxG-B}qYS+aWfY!Q)toZNFQiwp8P8f#m#924vFgA6vBYDV0M)qC>k
zESq0R2shXANll%}o{dHAJ07^bebc4Q!Odkoxv5ju^WdRxMT%MJ$1h|#CRPU-Y&6o0
zh!7OL6>{a=?LgMR#<K682akk%9z3+RNHOdA&LY-8>+|ZY!ul$#+@BXa8Ls=47UCFU
zP^hdKu_NwB<>C0_t$Mra`Qx>BulO|csn()X=_;(;-R+AWE-zfDoqy5pT|kQU<IN?e
zt5mc!Vm!Ij^we3or=M!g2uWZ3vY(49eNt2BWzU0$eyn)7;=)w(6%QvD9{!lQTjILJ
z{B7Kx2akMQlJKx*r-137vYkyU9_D%;JhEy@LSpirf`|_3eIhpnKX#mVF?FkFZ9PA!
zsndUQQ>*`}))fz}FYZ{>bm;C9hv=hA5)zB96ohn0|I^5`ko)*@$FYhd(UY1wCwm?|
z)LEpM_2X#~YvAGR$xWT5o(B)j+wm~v$ny4ozK8wW%uP#sGjyMAuz&NU<5TG#!!`DL
z8aZBETMW6^uixPi&b4exf0xy#oEtGQ0reGXnisZdYDQFOzwwB9aZ3BuiGwGm>A$@l
znEllIw_nhP0`sh0Rhj<-$^tKQpAL2L_4naYTc*m&9lUti<JWtFFTN^O*z|OBo&Bnf
zHP_y&vI-Y3PMmiB*Bp@h9D^1--4YXh<wNh0h#NAdF~!%+k1i~`@?ndGiH?SkFPEB{
zDys|k{o}El7Pr~!gbSGN(b1d{F;7HCr$d^L+a%NE(O>8KOCP4st^c#%{iFDQ{s3=g
z77+#z5NfH3)GA5eEU3f4AgD8)Uy((jUbNREU1O{M-v#CJj10O7j8zLKMurMxxE-}(
zh_^W)&i~;k^TgGXPvkUYq};q8HYF@v{$$ycXI0Z%CqA2$H$h%&N%ha#TWc!Re_c=B
zqI%c!>hT2rH=>(!p6*!H!B?@WKD7R4q>S@9J+|8_Q&<gHTUd>azey#kybax_nY#MG
zj~Dd|zWyygdo`#2e%#@A=l_NsSCjvJocr5-(RtOp$1fyh*?$*1S9?2q+x3q+_HU<c
z{8;(O{N;vd^@2CowDbBZ6TY`U+xqU^okOqpuZ}*vx3u!Xj9RI(>r#C;m*0*4wM@~P
zU3l_#cU8k&_F2|<pD+Jkd%QpK;r0C&=9V^A{rq@l*T(#MYwq<9Z+|3lwEaKyD1l@1
zql6z{*B+nS{$$1<`8O|pUCn%tY;!Wq|MgZ$Xk*3Vyl$8IUCWP}H!aM*5&L{rTk@y-
zQ%heil;%oabkoUimw)ERh&}$TQ<^p<*692Bc)2B8O;dGov#MD0jz#)pcrVYgN$aNX
zaz5#r()>dB;)BeOy$9;Is4ZT&@MmSDl$6wjw*LZ>FE4D|C49E0cX<`F^3_X6D$kw?
zYCV~f$vb`B(&U$R{9G5+KSjvinCm0w)*a3!ww~vHbySVA4DS!W-)>^}Gq)Q&u+Ej{
z{^7TqP3(T=<sFZLZyJkyn7gfqrDl1o(}D6^hHHNK&0gB|eoOd@AAZrPUG@H{lleZ(
zE$b4Qf6DD6&xg6+^bRcFl<4wt?l<<M%QwZ_d|dfPSlw>w-MPEIN9~&A-oT%FJ0Sbf
z?v2$`d}_?i_`}zJ4f5QvZRM0bj5X%l`mYMb_tgezetdevKFG7i(o8@EB+y)OWP0-c
z7PlHpGamg%r#B||G+3{T@?N&>Z)&|}rAv)v*^R@KH}BMHzdL`ruV$rljoG$N^&`tS
zd3(hP+~@4jKPLD0!^CYXrabxl@NoDoxk>$7qJR9{)i_aosj~d(3)?ppYB+@j3H=Id
zYACwjBYUJnT0(M_f!9~_=6TgW!pwLjPkjmvnzVoFkL;TczAtVpc=a<VT`hNuqo?YR
z?E0H4XRf}crTNfq>i6Tv_kR8GW!l!YHY=D6gc@WSUc8ym9PFLBS!u<aKPhh}YlS57
zlsP|14SsCoy~*^|1ZF<x7M6uG6W4}lPLU}3FnOb|R>GzoXTBEIs?PL3CdR}X;i>s7
z`led&f~BjMuzX&dqv+W%bxPd6skxt8Jg2Rjbcy#qSN#Neb$PQd4-$)Rel)$XAh}J(
zB5%u$6^@T5Z!DHz3U|I*Gx0_EtdA#eIL>M~8x)k@GV^Kn^pq({H74H#)RIzkwt4Hw
z_sXU;PyVi@Yw>hNn;K8sA&s>)#%1N7>|CEJzy0*&ulp~L*=v^8XzdPNRHId`^6*wz
z(5?BW%)$-qro9cSua!T&&U5dNh;6*Do+RJYzxX8irpuv8{i*jqTs*!hQT_dXG35t-
zx9?A$^dkA@%1M*Hugtk}^7kaM1!bX!|Lxo4weok=Ha?~bz6VX*dKTgj!~z?l3-lH-
zY|lt!TxNQcx2GoX)_tz$rFvnC4OQz^*Pe`8CoS=F>bK)gj~nl4ir=oNuZs&i{!Qt^
z#|v*SEPR@AF5}kL^RgLB?bj}R-o0#Ia^wp8_JuDu{H_yH`R|mu%O*tm=M46;(6VKb
zN>8hG?e(p-YUR0l*~`?|xr#g5?ppV{s>QrSaLHorzkA+fR0rk=i(00wFKX*DS^K+q
z{Yz6e;qTXuHZE*$`6ZdBoW=XS@?8B!>&@YnvrIMkXZ1xtj1-&o{M_Nmn^*4q8MeB}
z=5+k!4cf|&FJ0Lpv-!*A+77m|tJ^N`yxw@$ZF1VXw=(w2Gw=3yPVDTQY0r6^>*$ux
zQny3aHN6rv_xM{G8CsUQgX{Z_lKj-D**xJd&z;*jV_IXie^2l6ZC|HO%=~y`+o6<W
zQdjD~ewowlDY@&N-N&9&S4!4bKbq}x{HVF4ipk&7$_N$x;<@@)TlU6iSuXw?U|ViE
zFLmp?FYPUVbq{7sZk%HCpjxr$P_@om>H9D2?g#CP%eH!!`uftoK&_u89WDi|ToH*p
z{f?~(KNvf2i=H@YSs2+V-?jeHd9L}N-CGkhnBA|J*6U}K#Fje+P1Kd1CF^&Ck8y*v
z&!&=#7qlKtO*1IkVh&B7g~rd`h+XbI^K{bO%~7wEyw6HR%~Q*DJ<fN|>F{NT2%e?A
znmJoK1D$!FXI^5M7T4~1E@j=N^8(KK9+EwKEaNvwiDxc$=aaN9xHDm~j%oIX9f`*-
zNJP!D(666tl5V;sqPKg>#>K5u4zr!@Il5vYo5x{}`-Ybdm!3T%BWbmGqT!Zfu9pnX
z78K4+tJ-%)f@|{GOTli-jBc(u=6X$|)!d*c?NZkLXNu0t=kx}7J=bRAmlm12bc=|T
zj!jNjN|;lg?fNX;FJFF_g>Bure&w>ICixzcZ<b8Cl(u;9rTVEZ3;~HB9o|1#XcrhR
zv{&|}@LQqtC(fU?i#@+_&9%Mh=|`g%eQtZvS5Z{{I>$<Om*1DDJ)-9)Hyr;}c~h`&
zok`vGiAg0(C;J}P-_|oH#P3<9_1`VOH)x;M=KdZX5M%#yS<&u*$7)CGtpn=g`?7ER
z&C9OlEtk<tJACf*uNju&_58KRcYZikr+4kA#I4%k?CE>n7O#K!ujKq*?SS)J@4u|`
zwr|TzE`Rsw|AvpJ>*h{=czyOU-zFmy<8NM<Kl<J{{dCga%;RQ9d*Ww3&-OWcbM{*Q
z%&j-h`%JF6d1X(U)ry{)Tc_1pD;po*I=b`y_XB!1<qLK^e`$XG@^k55+1;<@tLh*A
z$lmt*M$wo343#(DmgwDCA#cCMy1qVn*1H`tzU%GFV`45_eoC&}(W8EC?cJFrKc_F;
z&b-sm`pdrTb)}7WzP0f_W@bOWnVaSN{Kt0X3;g)cTFOh`6YYDs^Ys6s)z|OU#nkWq
z_WicG_~!Wa+jp_w-Fz!e{N0X)Kc-fDe_pUVdUyT$)9Y;P*Z)l|F1THGXMf!K<uAXg
z{%<|@b(Wmb*^k@pL(1n(otGSW?xMlm<F)hSp6l)Yu%?9n&AGgF?RJOfp8G5F`NNto
zeb-|v_ubytTFtwA@A=o4O=o96j{Ik2_RnKqf`*k{j!OK?Y`1+UkL?R&<~5Yve(C+C
z5}o~=$LBd7Ut3o{@5gPw-41Vm6};b;fBm-1cfaqJ&wehvzU`3V-g5#b_ixDjwRv|~
zcE$hxg;jA+^V7eF?f1O1Qf6nxwzXepFaG`K_2t*w=hn%@%B{M8oj2?8j_2_&ZawWx
z{~3R+DtG?#FKUX??b18ttay*V*}ZS|>9U!1le0EQC46sxur=-Xn{E1L^)KpH{}0>k
z$bUTVY?;No#@JhPuh*}<zWscD)Z3X-#p~i8ij~z@JbG`dotInRH-Fh<^JhQhowxqp
zwe9NCgTntW$b66f>-@p$`2S0hJHGG8_ix|NUUvJ==|k^k2Sl4bUOMmbujfCq%jW*p
zeevb**0t|`-~G1lb?-mHc_~{y{Brb}#Zy1`>V^5e8>D`Er7Qokdj7+B=Icz8&pz?%
zzL+GZo&7m$=eh8gQ|A6(G<jdb`fD@hE#|c}PJTaWug>2~KJgpH-vr<MnYmVU@w1w#
zrV^F={<)^Bd1t?zaj8!2_w-9O2QS=s9iL%R-1aWvANSiSdoP7op7IEuVB6A~w(nB$
zoU_MdX8F~ho-@<zspUUz-PWsa*AFK=zP)7q9P^7girP;t_i?}dy5-_i--zs%y>4?p
zo-OLxYbiaSO*-D#ST;HTlF#ff^&c)@W?8%5t3Frz^}bDe{!Ms$JMOw(-n&oN3)Z*(
zdR$}?{$goQugdM`j~4d7&aduUcK2Fs_=0%(vi)z@a*Le*RDP?T*Jz`eFW25W-SV&#
ze`Z9#W&67G{=T=R=boK2eY?$n|7z>+RYz<0UzNYE;r!v3>7H}8wt3dP<=1NK|5~-p
zFROoNQC=H)D>uDtg6tmCM<-Uy3HC0WdnNT;L5M-9|J5~ISC#k#ZVNY=txnr$mi>BL
zUaxCPh~LFumA+-4q)hvsPp=m`o?xCRajm1p;GDPHQyFQ#b}LgOt=BseB4pe*b6;I?
zqcy8AY@KfHI^DZUk+Y8dJblT^K1aXfn$~@neW$jENPN0xacV`+VrH+umGL5>QIg*B
zQy=qgv{fz=aJ&~8nh_QoQ|;aR_<~#0;r&TV&k86y?wj(B&25rl@KRC1+hQE`>L=Nn
z(zY^QUCqnEbFt#P!R1Rz`k_%1i`mMeqmH-#Wq$2l8Ck`q6FP6zi&xK6U&<`3O)*`5
zAc!^itINgOXNkNS+$#fOSSxonZCrcno4MFg{=;*snCF(PT=;cr=Jr3AT22Ne?eJQg
z6eHU9n5TWEOP%t2NuQ-5b2!fLJGr2&=|#Or*3sNLGd(w(qXKF!ts6ExYkkjhapi>1
z7p`u;D}Cq!#|~ka_QZ^R(snN!zDP&!cHCk<Gr;WS@ssXeA)ZBI!Ii7#DYn1%`yP4r
zWX`KVQ}=EB>T`Kb!`Hfd@n2st<<er#>lw?h7`!xWUfH!OO+?>Xt{_*sC^>Weqg69j
zX-FIjslR#pi(`+<Pw{oRivRhYFWt3QpSx1WTU=y%QHWDv;-V78hqsP3aaBre^VqR%
zWsah?)4uYS#O#n;)@%Z8YcKk(Ox&cr?sd#--(`D)_qFx4O+8T>W|i<lVCT6NEY8P;
zl&)V~cq;JhhqDumwQ7wuCK@|ysZV|E+BYZgrE8x`QPG0>f&dG@f(Jcb>J}3Y98|n^
zL|V-}Yw@I$y~<hUPN6q~E-li^G<3RpDEQ8?6K+{4i#w)t_DZe^IdM5qNM-3-=iU&F
zRR=EzdVX1WMWJc=;<-VGm$+pfx|dS5G4a9-#peHea_%-Km+LQFCz0vvqnfNYVfQv(
zZmy=po{p}-Mtgnj>-Dy;;=%)_pPHVea&mFzS>?*9Kl`6#U&~GOd93Cc-=+PZx2I(0
z<}GU9UiZenp0(`y$DeA!I|4Q1PYKSxvHXxkXczz9|Ci2b|CTL#`1Qm@x!;P>G4*#}
zzTdX~Wz3r|U+y&CId|!U)pGx1G5JP!xAA*zpS(oQ<<kz!XD=PH1<o`l@YJ7>f0h`&
ztUY5!{%z4`)qB6qG(B5Z#pKM=G^?{=%I1wW$ET=O=T86Jx+?8#g>#=q*Xb2YL5;h~
zX1<-?*Oj_VB72TB)~a4Qu2gn^>w>gJLN9NdTjh7WkFpZG;<F_%YetR9C*NgLWgj2y
z<h)y`{pUT`_Z?qkHoy60U-!=R{4f1_^Rl=6yVdV-eXqP%dU86`?oZoIWlopOe?Fsj
z*Wq{E&*j**hA!4$nzq~D=5+k-!oIc6UzXPJ1kC^YfB)+{5AS_`eR-Yd6{Dn&`$T3*
zwOA?KV`j_>S1>P&d;acTLdk;{UeRW=PR6L6oAFt&G4NDU)~l+U7s6t!<QGX^^Xhos
znK-ZBuF_zlI%9vThj{FnhAq1<-FbcMYhduUliMz?+M}@VqS?ouKMX80uBA9mm@;RV
zKhqPJLrtF?JNVu6D#9ctPF$E6zU<?LbH}WeT(6hTz20KpqJE_`cKYW$IsSWma<@uj
z&5u}@+Pj1Yg?>BvZilGeO=q*x7gO*4I{PrdOfQw)@KSxHn!U^CZ|W+azlpmrpPHGK
z70kOly*R=pWYJfH&t^P3b>dC7R0sxkKFgKZ*gtz#@tmD0nZ9n?L6fo$*yR-%hp$zw
zuCIx?#QWx^QN@9C(S1hEyt$9M9w{ze#j?TZ5Z8e-eOwF<b9Iy14cZ?UOp)AbAey-%
za#aUc?8%7dK0d1Ts}2OD-C1H1$zvvT(evVrpG^m|)(K7W?LDn?^4bb#J_{brbf;4@
zJG}&^NSer`9y`O+y)|lO$Vw3|)vm-7mNVv=N?M-2X_OKZvw5cFX~V$I#)Au%o}RN%
zpD~VklSB{;t4_iJrVTrqSeG`48~9CNP*7)Tn6pwbAc1wlW-f*20`>CB(;QeQ6n8N{
zSP(RcS(E3%BNk3B0oDn^?DEDbj7Np%KA+nrbFxv`Mt$E!vqVSU%>4qF4`(mDIMv0%
zq~U?`L=`_y-sPc>mHZ289w;B}SUk(H^<=@7S<_!nnW&`~>wWxDt+k61|DN~UyvOr&
zj~A~y{?u^kH?J#<g6w7rMHiRWGfwPY$8%!EoJUF`&V}r9+b0AHGsn0Ter7V#>K0>g
z71elmKy*T&<kF)(S!ybp3X6>_8O6Fq-yK-Q@+6EUY}Hi`M#-tM`~j`Ym{UT^Ocg{|
z9g>YO-8>=C@+gCdR*tTN+fy;IMH250JQg+5n$5*=c!N(v&nY#g5Ox0Y2bZ`c>!-$s
zHYiH$S}`GTrs+|h`;Et$Tb7Efi8!I;$`-KIg*D)y_Ps7Ax2ID%xJ3O|R-6^y#4|Nf
zNj>P!nZl2<KiU|Kx=y*wa%g?J!|A4h;^I%e84NK`tPLirri6qkJ+U^>;jC;uWgsY2
z^Q4BoO;&O7iZiZm1si1?_c7lWQCz$tN4Z`pi7n-9gLT1K0hy`6P8ZU23fRLsn<g9-
zn3|j#(P;gFC;s4x1zs!98Lo)Z^0QoZK-R(}soJx{(JjzYtWD(kQ;v5Bw0t_=iZZfI
z`NKNpgwxSI3ai2%-E0-z!Ms{sJVi|F__hsP2W2B#GacPh4Y&>3Ed_N>tT?mFsVmg6
zpf<mLjlyE1)m#SQ2j?tl>N(}IY(kRroKue~a+U}byE1b53xv)uV|B7@+<r{$#}@4g
zQRP)KGXpML&M*6OZ}YYMp3N+(Di4)cTJQ<k1!=Kwf2`cH-h8ItxAYbz0Y+06L!Hob
z$7cyRiA5}PWH>Ft5tExaN4`L2^|meihk{}ol~->oslUZ6vU*zyAHUZvzLE*dfi_1a
z`3?!}Wwei9ddlB!^TBSl?v)A=pX8#pu$f#sx@w)z_X(F4oZ#6t;h=kvbJVk?T1}5v
z9{Dz-qcLKYrro?OkMDP4?4z0wX1%EA6S^JE#P;;`GgiHfgECvJo-dH(wiB8k=~$jR
zkITE1O(u5cyp;;|I-#N#^L8psck8@yPf$}}*3X(n3+5i&G}p4Ksb6G&MoLd|Q~wcf
zQ<mdbBb)j~rfG4@+?M1F(vDjt<GWD#!BVcK$386bozny(6IZs?h=lJEW4d+pWJ}`8
zWd{s>8XqWMH1um*eJF_4@)u(io6FS+Z&c(Q{_a_GOZfaIKXyAIuX^p&IL)YiC#P%*
zwb;PO`=BXBG_EpB@`uMpnJ*8o9z7~1A&?n!Wv4?&+Z`qwu}^cju9TiA-Lm4MR6A4Y
zDz}e?a~gIC?PNJ*oa5TCxT33H;D<-<4X(3m*=v^kS{u1g@`)wi505n$bT&B|`!NS8
z+Fsw}VC|Q7z`f|=joiXjmDjoJe|T8Ph?#9|i~Sl_K3Cf=sQr1}YF}0r2F4iCRhE6C
zo97fw>keHQx%RoK`QhUmJKib=9(DIhPwwr~<YSnxo}<i~rKT!m*l>c&Ih4^QOyZi0
zVAE5M>kfiVPfz5|Yd9~wt&r*I(-oJxraaPWdE~<JVPeiao#V2z5|S7+3YJDas#jha
zwl=UcWLC=#r?V3{4hN)c?fc=Oc$(wKlU0Q}kCZps>6}~D@x$YZfK$y91v{adbzu%C
zR;)<+%dos;Qpbv!GV(cg%R5xI{QSf9oTH}b+Jv+2KA}_E&E`wWR6TEra&)ld>ss37
z6#h8kd_f57r8N_#uPmGsE<eSOf3<(aDkb^)aHa0&WfOF^g-G^>T%M&P+LWlx7pU?4
z!>hxmuP!%z)bZ!e?XCCs^%lOE?PIvD=lgN?_EiO|<jmgA`y9P{;mWO{H$$gh7G9>+
z^~h{$to_ta3l4?Yy6(G{?Y%l@_o_Fu*f!cKRY^~l-<_LRGubnB^OiNbPo8TnHagMV
zbIRU$mGPYV{>2OtPCG+ISB36m?LA}oi}3~{gLh7^)f%guUh6BXXZ0uh?d9s8w_*v$
z{G-aYD@BZrjSY;YSQrjVFfbe`jOhPtveaCJf!QE$f{#y3j88^Ih(xQiqmhRQ8!MB8
zfrJ2ubEA*MvPQW%?#zc6@1)!ReypDMu%V<TMdJBIU-q7QC$1ZQ=977OR%?8;TdlOe
z;rjJ-*7ozWenzY;dgd~F#*_ck5~BEC?A+VM+a-{)@BMqPlKx$ltIu!jx=?&$Rm?w8
z$)|nqVvknWF>qa2z<Kexz{~yv;W|$lKCdnh3&<{?`2B`eN^r{2t4qBNih`^1T9$V!
zPLz+8wY#jk?fy)m7oPPd|E2s*ovyA~?bqbjkQcL!e>ZzfmgnNi-1TB}lzhzj70aDp
zo_OnI)VllI(e0nvCcOUXHF3TDx9W#$MgA`faTRmoKe8>1`DjH|)&I|Pe;;BvA}F_g
z>m1R$cV{1edUD0R^*@6@osXNBzw+Mc2A!pR=W`ivblPh?);;{y=DS(h_r>+8FSEBi
zm0xy4=bhf))UA47Z}IVOU3+Kck~v#y+@@D+l(A|z$SG)UJ-9TP@p1K*{Xe;c)OKBe
z_>*DDS>CMslQnpleg90&vfgajXW()@R6=z+@7u#dnvYU8B<}LEQ?hAh?z=F1-IO5D
z4+b}ac73^b;Y@($Nwc2YT8`~`=1)FW#ne}n+E%W3<YXuQ<cDs^^~8;dUsPg?Vx6vb
zJ`fHR++*#(`KCgR>^x!B;6uvlpM@oEz0xj=n#88hlYd;1)nl^f)!;>2*xA~f<5q8S
z=$L!4ET|?<Ann>7xl_?I=6$#DJTvht*P;azukN14ZdaGI{2Kcv-KvLPl9RGjba=km
zbZ*V9zcShAp;~Is>n`=C9h0Li1CN%iFj@REU#xabmFvuhQ=j~rySUJYKjK;82geV&
zn;Gt;K6(&6jkEu1f^^eTp+g4J-<B;*Ro!#(#J&sRhow!W?_~aDlfUyw>3i^($!bi}
zGX%~qj&)8J<e4L%@bZLuW_0E8x1|Ro*sgr~P{N^XaiX}s_wK=Cf5ioBQyan)zwY4?
zk`~$9dM)gqMdZwBDh*Epwxlxs{OzWwqa*!d=dLG342;e<nhHv9X6|Ns5aWJawd}L8
zMt0+tii^z!KSYwBzn4m%S$|VnS}4Ol)1`N*A{(dHG5x!hm7BwxHqExZ9H}R%9-!*U
zDy!e@^;%h}<yp5+y}Yg5J&kY`wmag5^|x$yKMmCXHDjlk$A+>QlCSM{wEs2g3OvMh
z?p=`g!2@lRdjlsw%zDx3{Dkqu$Cj;$cFK0wjs&e@<gLA)B)+$+&hS6m>79mkdk<zd
z+Ryo8!>1$QRXw4vu=H_9;{T&xgL<1^PWIVcR`0m_;Xj76!Qa=G6t~rX+*$BsXM5Yn
zS<jur-%ixAJa$$1r(fa2klLNu^S_@`V|LVu365+PIh3t8S<&##yY=4BnGBtBR?mrP
zdb0d|*y=w?+1psk8JgULKB&yf->>yo#N>iPM2Xrzi~bdg?K0vv|3mjq+q959OZ~n}
zii+mduqWN&x8fR}xWD@DtM>kwW&Ko<fIIr;u|LBO?cU1bTX|thv8_Ja!YMXhaoc-R
zeiqlgnJQM_CdOUjuyOOl{xp%N;?sM1!mVz`bj-fCGhpi3))~hOr$i*kef^*{Wg(k`
zMy2Tl_Bj)tO0c#_E$whQw(&Xd$D84bSJzE?dhg?h=ug}0m+<B-mu}IDKDqlEyR5!L
zeM`jijA}M6Z6){1{=0s%$>p26G~R6GP&yR-G<Qme$ElVt4MzE;-e0+=Y+5(*|NSEO
z@NfD(Gv4kI*WpnyoPKyq3w!-S(`$2dZP$KKFh0Dc{8}A*XyN<<mzx{?eLICVhkT!;
z*K<-~dmG#Rx{Sk{dMpHPsQ5_lNZQxksA*B)oma25!Rh~u2}v75k9$q?ca&MhWX&03
zZ*nc8WVWQZwZM}c)1BH~vh9b2_C#4<zR?o2f5U9?Jmvn!g$_nNUcL|AZ}TcH-MFVA
z{^WkmYpoqTUzB^NoM6}Eb;?W*?9pZUXtBrpaKxLWop+J~=4f=6uaV<1lm6tC!d%0+
z>@!1_b#Ht9yyS?Q<q==4tv7z$d^_FXN@fwKME2q&r?jG@E=9aAcRXS&oOxSyu4+=U
zPTaw7M{Q4;9a(tiX>gB2o>^p^)<@B&4uxCSewsVS^<*xS<FU}%O_y1}X|wlyUo@e<
z#ntWbygH|(jgp7%PcJ$BdVWOEp#`(&ikKTDDNVgR&uQkOxc2&)Sr=BWYC3g4GjnIq
zw%%%k6whT6=d1s%Jf`}slufQq?!w!(&Z#Vmqb>!q=N>zfG<6L#TkGRzH$04sdzxKm
z-xGJt-d1cRt{8vlLfYdw3J%LYZdc(r|LdVjQas<^^=upCl~yd9Z)KT#x!xhlXiAUF
zrwGTIptA3&zSYki+51vo)Ng1@nyPet&9%y)6*m&ZPK6wv5GiR`UG&S!e7XEt#)ete
zYgaByX}VE*(cx|WQL95?DGOF?n`Y{;=A_FRp@fjEch+YTdgTsmV&DFERo3gc#!D=W
zX;yPC>nB%8b-4W6>A~jIXZ%&*qCr=?d&eUI(|V_q$A9kSTlBq9@mckyVyV0Jaqlhq
zB;K!du3j=vMsL})>UX;xqn<5tug|b%-@c@W%V6dn5izShr+!>L@jWR}a%R`>t`*_&
ze_q#d@$O>3YB{Bw@&9F$xy-Kr=lMzRTP~84^4h{xv;W%^p6@FZG#4`ZCRyGTxT+m!
z_04hrhW9th*gMYg{7;(jd~@%H{rgVvmFm`W#aO(VGt20o?CC={3OLdt<-L;b`5J5s
zoiw9LO!duy{0G-Ii$8Nxw|}{#Y`$9Z?%YK-E*c@r8T-$Rykn^-P&HW6wb-~Z=PmQi
z#)!;zL#v;P9F-Z(6a1~C<C!G>Znvzn;rVQ_bw*8J590xi`wKM#CZFnh;^1VrvDiJ#
zSX^OxeM0*Ef)c^Q?ZW(R8jO?WUTghZ#{bKTv-jTgoXfk6jkan3ahT|~E6bKkep$mY
z5$B3~i*CQ~{9&zX^7fHU^zF!qYbjH8o0)XdI)7bWC^D<X?A48Op<7Lc0V(^K^c<(B
z%;%iDgJpxKbdjX2;Y4SKIlnT5mrcFU_^{WEZPS50E`{|qhyJ)OGEF&n!q<r}c^(6|
z|CR+W&vP?e*Y(PZn`}{cS)}T~+?*Tw3vDvJmU)$j=GBQG({7jhoYAl4^T6cn6g~D0
zo_~0zZC|s1%{r8AvS!|0_oc1M)!g%fxbkLRjz4%XG5?!InCN2mU0P;BQmM9+tv;*X
zZTkGTQ~&qcZ*uXG^@)}8uh#w7xO7HLV6WB$w_OhF)pA#eu>Coqy8D>Dm)!cstV(X_
z8Bca6zMCdGwab!QzV6SjNgexUY@O%f<Frzb`IOF_6ybY5i(gF7vJAhHr!nQ#q)#&}
zCMljQRO2bOl<Qt~tlA|uL(`8babnl$4VgRd#WGmREjyX<YSUw06Who2{!J4%6vg>V
z>1xe?r=%KtU{cc-5y|ANs<azN)c@@$-0v-ueB<&3eio~#GuXGyugI{x@+2hT_vP9X
z7V0ndK9&4?IroKo{VVrW>yU*8*)`YnI%Dh(@6G4-7W&2(A#dQn-QM%7(hnJf^z8|A
z7(V|_KUSHkF{?>w{noU){$};(IraMzr;6&V*0{U9O2bLy*)($>!;kwM0t_o_19&Xo
zOD;~y^a~Z-Uz%d=KVj~XDaH+-m_()i{$;p(#`)}JqXhrp!~*FXzdH}fpW!h+TdO(E
zP>b2&tHFhM6PArnml-kXez+~5`MO^|bY|Q~6|F|W=-|*x6>Dd_nLm5$l|@byZ*woG
zKcuP`P~dZf?^%eO%|_w8go2-`5^`x=+fT;Ka=L0i;}LuH>>oUPp1riPsu0d>+qEHD
z#LoYr)SlzZ&Zw4@rv(KYe`674pL5~E54{{U{(2j?vePG&3kug{E1CAh+*+uq#Z=o?
zVtl~xd1c{e(YL30*QkgVJ#A(AB)8t$>R`I@oBG!=6VEBG)(cP1UCBQ?I*&`oHE!?8
z#AaVd3(+=FcI_RE>t*_FWlktAm=$tsNByBAPS4K;b7oEyjEKJD;-nGk?fqk#nBvJ`
zd(+p-)!dveES*)RObhJQ8cSY2@aufKvqXKtA(1O5Ew?c_IA5Fl@_lC9_55flt-N&o
zxQ;tksgLVjPGy`B3|XyF&$3u+`(ndITn3jCUB7r18U9k{-O5~Uer!v}w}U({uC#J0
zz2}P&Y`pZDX@T~fj;m{T9_%}nl`!GeQQgxCeKA_`k55eReAlIQ_r>j;J&F&ex%lqT
zSaCj9p?hVD*?-P^Z^XD4B^6q{`kA@XV@0E1wCKx%jUocy8S7d28M<y7?T}kjYhug9
zsU@rV!2F`pUx6(*A0C*r%c@7wJC0#Tj~m}~%g+<_LgvY)rS%0B?meM>!A|_$Pwh7!
zy?eEbC2q6^JvCyFOXWKC@AE-+6&<~R&}9K;{8v{wYKL8V-<_y)&MIfmg|I8Hj~EEd
zQhjmLQ|4NCRjh<tQ)Ns2UC#F|k+L(A6KB~ZRb86i{QG43i>X`KUcXg7vi9@08`ov6
zs*UP9moI5^552Od`u2})j{~;xRdq_0{!_dr<^Ih6>bWzY)9(0w-}az!`OKL{xBoLS
zoOa%-SK+*hZR({1*6I#YGndaj`NQ-}-A090*Q)5fXCr>CKAiEF`;GIT-^wTJ#ox_P
zYKmU@n_a|k_3>P9b=R-O@yVRe?wqo@ec``Ui`>04N(baC=Xkv~+nD5&bx-hSLa4)`
z`9dao3x4XGp5$DRaYAF~hN29fpUYWPJJPaK=RY`>aOKC+Lt4j-LTcW8jh)7P^gxcP
z?`q>dW(z}(!&VR1AH6WI-SO+Rr<Yt)=X|XfEsl&-$hF$q^ZfO@1*RutrYf#v?<tBG
zdlsg@kbO;Psf=~_fm;hs%-4VNrY%a$bA`f;75fi7KW&=)#$Q*2$Ct@iwWz4)v|zJs
z=Wpqrdh-KE8Y7Q9HY#!Z!qIJJ^|XB9<|jXo*U$R6V)sRkYjWmoO^YkKxw`q5ow(gU
zYeRX_;`*-O?xq7fI6hi^dAj=XwZ06;75WS=hs=}I_H~pTG0SyHy2TuBdR;Zrx!uO^
zXGrvV+tr4#vcWsd<b%&VR=&&YKD)j9?u~}@v-1^wZb-jBFweK`#>)8RM<*;P%Ms68
z9%H<D6T|GSdrDv4pET`UNy!vu&Zwnrk89OAI_!C0&9mjI-_dS=I@*JE*NdO5yM4=r
zOe35oyqz4B`1M=JEXk>DD_@-mJi{juF=Ly2p@NuO>CBB%g}#aZ=gw11d)gBDIA`y&
zJ;idz_U(IBpdQ^@yWv6qi$?EtYmdmtrM~o>p8L3T;iV&srZ1XOv$8ZPNA77Pr{|SW
zZSU9(QTZEt<1B6UPD<2IwB9T&yD1^U_ITl($g^3?ECh_NuKUq#A~4Nz`fc^w^Njy(
z-kCfxW9BDq&4?*KJDc31SN=)3J<Tb#=z)G~ub9xsrkts*Mc0}xPyV0TRaW+>H9Nv2
zv*TtBN1a`5^_17*eYewYJmDydI_`CS=L7Nody-w7?=hwv+!FKe@A1S#i|QH9IX~y|
zjof?YeCxZQ@H?{_V<x_juaIDkU8l5SVcNgf#VPN<HRax}Ke6pC<LPy^in+J=PcE}f
zxLvl7@5Hx?k|VWxwQ)(^eg+p0@?7WGc7JZG?6r-TO4NR6*I)a5sYLBo_y2o^FQwLd
zxUctB(mLxQX0YwBz?5jE6C6+D<~)DWSMR0z<mjuX%j5agbp<je*!#@=womoqvF#5<
zjy}`%(D(3vu{PuDoZl@T{%a@AI}lM*rf|mn!^x{G^2S}Sl&>s(HYI=Mi4ChSJ$@Vd
z?eya7wLGRv0!#WItqv<q)9OlY-n~YGOXU47A8FSJ(Xv*ZT^03<oIL9GYCczY-pF$8
zP~SD(`WxFc3d>{9dux84no@l?VY$PUfKI6`xeCG>?p8m8P9#rh<36|LjL`)Vvjv9@
zZGL^aEH&F%@MBdDm-DITmhx97EXd#JvBBdl|I9lRu3cIwaZ}E+ys`HOi%`%Rt#@G_
z&Pm&Dl)U@SC$Y?HM}ma(`aDlwE7mE>%`G<CpV!$1c$?I7hPUM`Z2!DV|C&;vx$ta(
z^=mFpI47FcP^t1%n>{0G`I!v!$x#zNya)-@`nrC4Q%HS^?cT#H*~)ihSXPI2D%SG!
zwZFRg->;v=F?O5B&-w*dni#YnIakDeoqxA5i0fMWg(W&a>~<zbul-mk#K=%MQ&U`g
zPtol+VRzU*PCR5?P|tYQv6m&U=sowT2emsMFPXDn<EGpd^9%n%S6yHel5EtTacc{+
z<<r&AR#tesPM%Quv_gqpojYe$spQ2s4=$aIxyyTk`;GV74V4aIk6&_d9%4!~nVPUA
z-*uOx!s6zZi1%+I-*G+LT0Ey#u<ziCb9M6<RXbet$eGNz#VS>5>V|_+^^-TWPZN0e
zZ3SP`t5df`4%{-dV11OZ(DVUUU#`wBMiYl9&xffYA=R(vOj1?0W|*}5nD{%9SZ$X0
zgMNkqX8ZTQyvgel;y%G3HSP7ZuAggj4dmZkx-Z}my_U0yQFM-Pj9cwgE4zFpJw~_s
z%6IuwY%DULcCNHeyFT-$gUPw8lPA?zFfEhZA)+CZa^8f0;l7xYvc4Cp%?mp<oxQ~l
zyp(@;+IG#```;GNPuVMS>wBL*=M43k-sW=cvqPqFy%y>dzq+*N6346ijgO~mZwXZu
zSA4n8Go3S6L+Y6A%u8;;hI{`f=4MS?!xO%u%dzdYr}n{hLGJxRnP>QX^#z_~RY=5y
z*7HQNhCQ>Mw|cJ38r7DPbN+MW7!LQI+ZU$d8TIQ?2TQ3C$A-T<1Q*^HY1Xbd)3p1E
z=MtGhrevR%J4wE6yfJlp6BI1wznbLpX=&}Dvs-m$|J!q3bUCk<`h!hJ*BLaNXLMTJ
zTC5haJzY=3q)m@=m1pPdLxQa{)>|?kyVmd~{2EvNYeU`2ppfrUt7Eh$cZ6K1I=-60
zbZPGMkA0$3md@~Aey8cdLzdlBDh*X<*dAq><NW3%qg<@xEu{;^lW*_x-?7xZXqGI4
z_j(Bxho@VX@GM+CxlO{Uqy6v_<JA`;#j8D=-a77aVQZVE#^$rn)x~_9RNv-I;{~qn
z-RDo2ZP{+tJiWfU_?FZ4%^f;b99o%^g<BtQocqb@f#E~1w=?eKC5Q;?XUJ~;%=Fms
z;G}z!ve#QqM>ToGY*<jzdrn)TyK{QU^(Q+RK6q?dR<bSeqr}Fp7w7+e$rrdNzWgk|
zf?yNt+WZ~w6jYSrF4t~m-eE1|vM_SZ9;0%uLemfPCRCffln=gPUjN@u;?bgXx41`P
zZ0%nXoeEg_{tEalS$0ZY^=y2{4ED?1H+Amr?|PY(!D+l|y4TrAjR^M3!P_sH%u$fn
zU3Gp&@9(FoY0@mSR&V*|vU2v{Gb;*jr71O7SA6if{#-~(!`ptc)tpz?<7M(re7X8T
zaYDksWlz3+U8PWD&te&U;RpZk`r0#d<5$d5UgZ9WtH|QkS(aj3uhxQ^1pRu36)yk7
z^*ev1<*nZJH@^6CspjIQ8IIQR_D>F~WIbN7=fC0i`;mLr8aX|`W%hUVY1Ok!E3~(G
z`sOa*+Wl~w#U8zoy!n=^jSW9IzW?|Av~0;)#nym&*ivK;2GCOEzrSW>vVCD-U|7Zo
zT8k`RpP83j6dJ<HzzkZHoIAnS|F8j1+xtIU_XAg3yga^3J9Uw`)zqSOi}a>+m^emS
z3GA0E4>iwxaKiG2|DSz@tKD<k*G+LOvwpt&)VH|aQ*4=K$7<(JId1V>rtegC=9hk+
zTQ}c^{rVR>UnGH#$H+^d<gabEsJ`gDgZoyVzTUoVRsDR%WW5ICJ1#oi1<U@|>n-?J
zbs=n)xbkoQ6Uh^waHdAB=y>=hnRVVAOC5n@(mEOzdFKMSjw`Sm$!<2iVjCS|(0c0C
z1*=~_9)DlHh27(%v_8vB;cm7=zShq3E=>4x=NXUJS2cBEDRb7Rvrn?wY9*Lwy|!BS
zXWpH-%BYhzQw;Y=e<<G%3n2z@2%VL-W>ey0U{K<l+!rriUzCyv4<68(?F4npqXi7N
zdZx$(J}BrY{?Ngwy5^AXla@+hE{<aoEL)mqeqy^J!oTj;cHOCaHib{y#M>I(nci5)
z^X+K;?@*p)Yx`GT_@AeA>B-eu+LO*0yEUu|Yzt8Et+O-qj#$sQj&UvH%s2Zv+h$J5
zNd0`kz_i|0?>Fm#+=Ca(_N_FSDXU*Cq9hXJ#ongzCNj<I^3)k?7Wiy#{(H*Bcm3&k
zM^j=A7H}O7t=k`))hGGhYmT&jwOyom(3N;zU;f`B!aSan52wx#x_Y(4^^UQQ@#WO_
z2U21y&V65fv+wse!SB5f=N|d}Skt)Kw)3;Yyh$tO`2{VRT7RkC+OpDT@ulUf=Bzp6
zJKdIf1>g2XhnKo&EBowUo%{Q)gkI9Rq_Vhgyl1AKtB4QFoK|vky^q-b1iKHbm0lj2
zU~%-zo;RUB3qoWo{nVD9EWEj1K|8&)aB`d{XZu5~w*II4R&3&29vas2als6yDer^o
z4fe!yd@;Ma@?=WAy2K{E`lXkT8?Tz*pU%QmX;4)kb|ks;r`hoogOtRa?FwzXQ+BOA
zoN-~(1}>iSQRO|^M<mxDD5?AH)jmV}mB3;n%Nwg_{OOujV$9cCTl2A3J%O!vb@6JU
z2VuDr?RoxHusjQU9`^oJ+V9v6>)suEF!8=mU2N3VN1Jc5TuC~jG-0{J?ddA@Z}URe
z^=Y5czwvPnn{NEIvs13f9?2I!yKl|3bBg>gLbmgT-qzKS`_LA@UFrCuPJ73Dvk$c}
zrPg%tuivsFzRth$^G_w2J$g2e{!X%s+r2mB;oaTpZ)T)EvD{j6&g_X|eAD-}z7Oth
zFW$4OI!4g@(((Q&PK#>`UpzGSvb{CobYE1xRk6xVmdv1YsVlNCJ^l8(BKH&j`?{mQ
zK1Q-fvCV$)RQ2hCz1BXdH61sNlKA9`Z|mJY`sbVJ-QJIKlZ#Yl`lT&>RD0X%^v{?n
z-*P>Lyo@EngkCXJ6mtL5JDGi5nVD1NLUCrap2z!+Xg!anN`s$Sx4Vx!o!HhNzUQ}e
zu*J0>mi5z?^M2k`@c-R0g^uepq|LlPJ$`7McJ@cNc**G*k1naL>Ul5a^>j-q$DX@+
zYd8I5dE#txFW3EVdd8a5Sw(x^9PQiwG{sCN{NOq7J(GOYrY-52KlycpkKgp#xd}TS
zZc1<b8FB67RY%W6CqwHu?kZv{{Mg&1r`s}1zlqeDA<5(6Umsc)x4xr#TlwSyDgDC}
zQ}#S+iZw8e`zFCI%oAMWwW$BZW~Nn31H3YhUMaX~ZxA^>B;%+{<I00_CI>exzEzO$
z{OjT0T~Alcic$MrkQe(+N2>h#TfQ@TJ6sR%Gu3RcKdA5BA-+-StNZ&??@n*pk}ta`
z>-jg8C!S|+eU#A4klf@_Z!PBfcj4S@;o~2@Zwa^tep$D!BS`C01oy1=-2Dssjt5<^
z5bk<bBt0ucwJXodSuWYpxYg>qis{k?K2t9B9{0OZE|aUa{hFnV=F<uuR^8iz?FMq%
zj?7C}cs`X^c6{xiDP+2m;lm6LDfi8xFMB3!PJL)L{VmhtEA!XincJ@^%U`dwXz?*w
zex^RFtx3Of3VNj0zw2z;{&~05*Y++|&L>+>J`MY}|76Y{zqZK_t$I%$7Hj`MWAU-O
zUJaX%%JyrP%yy8Ac6_|y<oCClNuExbf9@2_5o_n0+&}kldde>Da{JjQd)yV+dYigg
z%kQ4x|6(@Vr>la=DvOWt<c{3c_xLxztIv)O)Tz8{#U!-r>%HyUO7d2kuhu!Pz<D%b
zM)?s*5qtjrlLnU0*5|lKZ&!OS_A!z*O8slz%y~L*Z(n+HZ1Ri8$5TJsUKBZAf2RNO
z#iQyAa(@Jrz1j6&W^V57ZF<Eo?R@^liX3Y%3HqO%`^0kXhEs>LpY)!(*(GzNxJCAe
zPIUS1di}_tsTu-2d!A3aAMCnvjzOIB>yjNCS{_%%9anz5QPAXl^5sP3^%K+|R_%;i
zC#XODc>j(#Ir~SqrmLI|UpD((-}?`Z$8HwL^k;9);}&6c_l`G<zrb;J+2t=neb+Bm
zEaqGp%*ndyaI(~b30{l3j~l(XGcU&GuEF{YcdM*lAC)%OALd@rX``kp$i>i~yC7Gc
z*`{4;OO$KeWviK|za302n|0dlQsMO8C8teh|5Q|;a6IIKmxb!Ay2`V=>rI(#rb*c!
znwZ4-sC@d}dlNFQd{od~>NPcdc0`}_d%-?!ndTq8I}`tG+Y<9?)8a{f?T2}OXYKi!
zcjp)X#fN&)?CYmjrT?j4<8a#`@CtWU5Uc+4^2v+-wfyN|jEgSMbGU9B@{h~2ue?yI
z@ACGydnPFy>%U=jRxSBi80+z9Pk|%-XU;|US{&&Q+4oVdG&DBs$&dcEzuOP)K6%Tj
zORB$dL90zC&oiTqsRq|Od7f!8eu`o%p8BCeaDuo(^G=_Z3PI_9@tHIG>*XIBh%+kH
z9l7IX$1p)Wq3%SQ(-ZHE`~$}?pDf%oz0>~UM+N<f=8T`BIJbS2lY0L#G0*A|{|ssM
z>Zwwq2gD7+7mKcBGuwVmzVzZWw&P9zS1?WCQA(X6C?zQ9Ico{C5vKsl;{X$`ZEqNb
z7JM||TWrv?*uc%uc}mi$juSl{EK2o`Dqfcu83Ua3p4_dSCT=fL!{501srr)apDmN#
zO<V5qV{&!aavR5Wc}6emzBtc}T=jb4*@O0mr?o%t>#Ue?V*Q_OSL|oST3VV-njg4g
zLu83|qxcFnk3}j)pBh-4gc2m)+*<pN^LDbh)tvHqIg4j;+-kKt_<D)I?`di2pORL3
z;q`$!Uy8OL^XB~gVE)<EJKfvNT*c=|N6iVjP$%{%<K;uM2{Rcrwx=BW>U+Z1I;x~A
zQ!V53OZM>U6PLvJJykXt#hrS-E%WM+I|n%mTbE3IGG*emj__SIybdiEYKnSWlUiEW
zKF+_xq4R3D{*N_=?<Bwe{Ce<FB){X`RK_0jtN(gF)jy7y%6%evhl`>5>nWd1a&PM|
zo*fvjbM30aUIFeG+}*uvQ!e~^S>?6s=;^a7d>%L03Te)L(wW-irZ|5q=kgWL+G?Mb
zwgg}4l{+`(#`hTGoVmgh@%7EUA3GoNRJ;m$$tt&V;epfg(Hl4p#vJp%w$;qPv_f!B
z<CkCADsy6bU4?_{m0OlXHk|f-u%q8-`gP}=4O5ubtohk>`9+RthimeJTubEywp;7|
zAG>vEe^iCS%?tek#r^^{(^l?z;~QMMw{D}nLTSgf{8Zioqi-{9YAn`#>E72Nuxx3G
zB=4n*vLQE9E*0JQ@$lok_cnDuHaX}=Rd#8qE-t(H?|EdvjN(e~-Qo4xb9OMW)G+ST
z;_K~nv^b)ACUw@AZU+|Ym+5ynzHr8eN6xh|V#|L1d5+(KGt+V|2)urNjdlH`RlHiS
z<>qO5%yw6^+cn9NCul3fhY4PLK6)p`aTfA4Pk*5&CEhHyx60jLH6UxZXWG;g@9!Pk
z+%0t4t>4*JaEbFdjnGTW1GxUosh2v_VfHe#hb4-&GdhZG_QCu2BiE&Q&AIfmoHaTi
zPiJ4tFRAuRGxt1A_jlOYbMfE@v9o;@Q{K*6>SwFr!<iv+eV*AX^96@_)=zu7O5}vg
z>6$fLov+w$-z4{YnQ3XVT;wU8?F+k3xwTmJ*VXN1uX%K2qrPt1>IGWGH;<P8Et$$(
zzkt`oY)0~9*J$xcbBZTu&cC|cXqi`dl-AOJt+qRNsEF;I@wxxGpHSH^#hZB=GTa7J
z&+|r`6iPneIOwp0@9?rqmrk6W=Mtsd9^_y;(PhrWTHm#1kI%ikA<^{a2-`MGvy&DJ
z6&bodhD?tNT_>P=>-ehr4@W)YKitsdd>K8%>+i1m!~2B%W-C_7m{^{v`hRiPOaG-^
z(?cEJZL~j7?emUf-WtaEr70^`?wN1c@_K4$o^MRILEV=(?LT(-&9yTB&S9{9iG5_~
zc9nehOKj?^rwTmtYVOyUFyWX|=K3sykMrnBIs4U89?7djFS5?y)3WmQt<h`K$=+_J
zo%*t{aK2UivwF73&5M28YGyqCHRs;81-E@7A9uYg`0|I5N1eYuAjP>nO?{f$@q00O
zhm8E4cs_ElmE=dp_WIsvnEkIY@iDt{P)^KKKfUusOE<8jT6Z~2e*1~1f0vWSyEUyQ
z)*AW0x`H0R^KW9^uKIF&@ub2-tZP&M$T9cLzvyaPpAmENk7!?ghJ)23_xz1B^WL_d
zRnB}k$H%YZx1YcM?%5te503h-(pa@9^@_DH%Zpo$WtR35^Dhf;_$uMbuxsk3<1QL9
zkNp|#H#=PuJuN$1y8DIyy<SdEuI{<(9M32GR#$kMy6h(Vj)vc<7FH~xQT}seK4sbP
z-mtu}bB6nqBb6o3_x!)BBwxS&e<6eV*6y20-k#qZ&h5`+xWK_|*%!QfPNUk?rY&=(
zc<*nlXwi0Av1H~7J*T|Jkoym&2>e?%%~m_5eYUt2^W|AyT<lw0Juivh`7<TGAa%zv
zj(Hs|Q>7+}IUNj^kkR}l&i&8(>GiLte_vmd_kWTXlVZa0qfdXPpFI67xBk_o?e$I5
z<Mb5&FMXgj@yaWPLrZ4WPL?`<<MJ+#(tDpC*JRq8y11}spR{>&#QX8ze$R>Fw|~Y;
z{6Di<hqI|M;x<R%%1oW^ygmQV9u-h1vD8;}TqG<m7QcVtO7|Ng>G?9Ben>{F_;AEP
z^Y<3}Euzf&770;1KRsINFT20Fb570kvad;V>U|m_J=!uF7ZkZmcCXXe?6g~SqT_t;
z(D^&x{&=mGbahrdi{THIu<9#UH#SJc%XpS}G`uSh-=y^ax#2zk{W}`2?dx0=qqlUj
zsBP8Zn1{C)E6P<Kmo$BSK-TAp`gT{DSnjNx=WHVLt#2*hI=}y+Bj1g6N8Q)`a}`sG
z4gJEqU&=03#)a*uW_8gVC8yVI$=fYYY&2TeEmLg~u68>|;%-}u_oJ!pt1pJFGVb4A
zAJ(dI&}o9^+wv=S&cFYuJyDAB=$X&U?0>YCoQyY*mxgsSAsumzM}89Lm>3uq@G&q*
zPM#PqJUt+ag%7+n`0ccK|Jw#4NAG{vT&LyB)cGgd`^B%Y7i^OkG;eG2<Z#V<W5#y;
zT#ouur@!yIFE47FvdyPDVb%%nDRb`I?%U>n$F8>N&#JGUN=w?_*XX45n27GV*0$V9
z`-||zGb(dktm^kxF}(Laz5P|*UOs!x#eY63lyl_1Fkq`?)_74b?3&`Xfp@i5aKfrt
z7Y<53Qao7T=&ZTG+ek`i>(QlU8(w8<ury~YU0rnhmg!jmu?aVi-TL;%>djNzpJ!_=
z{4@_2y!d%`T0O^MjaEia2EK#Y+obDu-)riAcVWv_o!{jX)s9{NU^~&Vud;4;V7__Z
zwHw9@yOwqyaS>kXxNJ_a9b^6e%-$7kQ|t>2pFZsp7ChdO9IVoPl;0q*<Ph@_!P%Ot
z4jc+uD5%WnCe=H02AhkQ;=y04DGM&`i8<#Lu(9l*$jPLH*KwSD{(dS+k92ky=`G!%
z9%ZSnG?i;#?7Xr$#U?`cHg1lvZlAgQ;-|FdlLPpAg~clR=H`Exv}w<>_vUNT>w{&~
zc++q07WYy05>jIBoR}LmV}HcOCZphWpY%12g`I8N+*iEYRdtt{t!k%r?Zm96Y*vH#
zg7aslzBrt)(a*AScULhZ@5HJGxh`&T)5lg(?;J9>F#VZ0<GrKgnL{Z)mW_Yff|lKW
z8?9HeKuGA4q3RF5H9CiMZa9XrzX<Yl@~t-x>In?}t$E!<t=jNu)_sP&sXJcsew!8*
zDwQ`a@0^*};j=;iSAD+qI#|uE<LUhhxxK}c1lep~uQuI~S9Z|()QRW0A9gOh_iVRQ
z`7S=C;yZiKZaebs%iE<NJ3IuEFHBV75VyV+v*dEj=jKZ*qeAv{EcBSy-?*w!vnBMU
zvR(9p|Md!XYt4_VCu*4NXL&EKaNX|HpH*>Q6SH@}tNQzFPmN0^^X|*v^B0Sp4f$~I
z_(k_wS}**r*PHlW`r}#sUc)ph^e_LSC%59VZM#zcPW{y%ko)zn<f`5NpYNll{Xg<A
zveQHv7^KP>8AKR38B$V<Gt=|p4dcrv&x>cD9uv#L0m%rlw<oQ(np8V~y&;Fn<NY^}
zn+g6kk~NgQc;9|!(4l9OZalc8`f*+Ca=)7jQ?&KHy*L&BRsY}r|Ih3D|EpL2J05lY
zmi6B)->M$=&o$n*dHc5V-qpFO@4wu=HP7yT&QmF?>$j_`OVzC|1+6@LJtoJu)$_s8
zE7LD`{yVLGH>!S4p8hhUP|lxyU1s8!r%bq8d0Tu^UFq8F6E9NVyjwcEyYT6f+fKW_
zH{U<cx@7m{y_fv6Uu|3N)T^Dsn!a}9llsraH%rd!NSpp;#oBx7pB`_kp0Chx{Zp-y
zZQAYcoquMmz5o2v<1O{`Pxl}G>;L3<4zvA)`71>Ku$){YyTt2UpPp)cjIHVFkeRtT
zr$YskS8iG^@FL*iRoyE$YXhs7{{P<clr2Z>dd$i{vTt{+KdM~vEa*Om1=rV(Sr5Ok
z8Wl8c*&Af=*RcLp{SJXA5|ge(DQx(1JLs?ZT`8#|pX2R3qWgmcn>il%*SGEq32a}r
zKk)YR)Q~wRey$DlvCEySykBYi53TyM1x>56W6w@qTw1nIa;cbz_yn#JmiaH_V?Tx7
z+8oboB^1=I{mFG-fVa$!+So7ibo~|!FqS>nzr1cyS4>CX=Y%KauWLSM-B{<ktYGVp
z|Mt!Y^)GK_d?L0|JL)dq)P<`5f^xI{u20<YU-Vay-~aNL*(*|&HpCo_U%1irT=n9^
zTkBu%Ip^H>WA3k@|HW!6zQ4b8s;*MMc}-)|CO6ag>Hi%?=lywjYJG@hX7>8{WvP#&
zMSB8j#C~k7%UH~C{B}0e<i?PY*!E<V3jc?(zbuX@xv7La+-T~kSpH1OzITtTz~on#
z3svtg>Tl#L`FQbhk7RRF%#lDr8B6B_2ThsRyuKJ+Z?f-;`etqG2GuKz7BM$?u=cOz
z48QY%<ITB(!qpu!i*xqY1h$(jQvFuGB)q(!w;=q&eO@OqzsKs<JM)Wj-f}1$JIE)>
zr_Q%7u+>Xa<Ji6o<BTuWng0X(=V)2AM<1Kh6D{-cZBrrpd)J+Jp9SiK-!9zpaMS#r
zA7u@`%O%D4*4K+Re`x*u=J0nnTVBCm8}067J%0D_=Zl_AccO0SpJ)x>NWPN)ktg<1
z@$SnTze>D&EF079e(l)NLu(kjUtCDC{<7Zm{I?p%+kgFTzfYX`bXxz{mw_Ft>(5M)
zkF&nm?|%K1?X%FE#n&8nn?3P;!TRIO#!{9GV%Z8&T0L2>lV2YewXaXt+GjldP;KHq
zX}|sd^geD76LAXo@i|n-+F|+S3yfwZHWs^;?rPs*)L*FAvE^Rkx;d*jwEJH!ncJi4
zDfopsMDkRZ&tdalJk1%G&Tkjaj<T&bbyS}0DBQj|;=r{_t$o+oqW3dhobyU}&B@Dp
zl7$t)l^WBhR<1c-Y+S6Eu~TePePO};^8%9<e2?&G@fm%)DB4u=D0#cvp2I?%S6>_y
zE<K_3>y&@w5$V#S2ak!W?6nqVSATRi@u{x4{40rv$@)q5vh3ntmy1;1`JVBZrC)a5
zj}=O}c5ipgPf3fIuedmW|FPYtr?V|LD&c&WeB7}u(mU?r`Q&h|ggN`n&acmK`uC>Z
zpqYVTPt*5_yEUBu%nq*YIREciNcF_O&q7W&?=DcfcPHO(tMK)Fzpu<Kn`2mA-{kvk
z6~CMB_tnrz#45t?>*-f67E>3V7N5E2R^N3S6R`<ZldhjN72tk*S>s=Z1Ittf%T+55
zyO@T3Pnvsrg@<hR-fj-lM!Q0Hais+;D<1dTxzq=EPB<<<w`0Sj<mX($eQ_=wAHP1(
zdeooilE8W4xZK~436CE88CVH99(B)qoPJel#g7xR*P0#%hS`|*R-f&tIw!N^kyaS@
zk@*LfRxLaH`H0;e&M=E3$1)CANiyE`2=P-XyMJo#)ZH&GUc6g5rO7uakoDG`i(wty
zAyPKKt#%g})W5o!x=AkN_~hx<^X_)`HtN~!EcRqE%bF%7Ez5e?Q`anHl0kZRpZFt{
zYnlhrD%XeTL_F$JI-7XJ{iRa6_C-OrS@AbpvbP*MD^*kIv1~%r)#=+ZUp-@g`=fhT
z^nSkmPRB$;`<6ykubG~bxhF~{+-};G<CB`cO{<b~u@t*I@&BFrpBv*pN2y9@yBi3+
z+HBf3i7|Ng<b@myKW{BvW|)5Y%J$=ZN3uinCO@4}81T=!M)qV!@P7U<qdm1}^5P#Y
z<lnq&o{jjn5Z`M%XLr@rPl)x?ZL!GBIZ>rIZ|AXNn*<JhYLorqCRVjYEM(TqKF{kK
zmn_b2k$BorS@GrGL%~wNj>q*L3^Diqf0%iE+n&?P&oj@;vai`wR=!>*#Kmaci{gMY
z_4$cbC%$c7!Fw`C>F8}un^N0*x!mh^wVz=u4lkdU=_k^tYxwd>{i9Rrnom4a^!`O=
zmWc76{Ceuti@TRT2(nlIKE81Okuy~tVICWoJX~pa_4%<Yu_B#fYqdVD-@yGjBC1|G
z_LhhJ4Sr+&J>O*3a-BYQ&ZL6rZ~t1oV-sqGSKf7+6L9cC_{K8F?b*q*qgDP|{L+4B
zAt3!f=EA%M#fs_oB5pmYem%R*;fjaEJhQr6!s_~Wyly`@KhNvP#CA);k`o>q6(m<x
ztS{m>+}^PA#JjEB^}-1c!X~84uh^=pSu*$S%ldrjCD%=h_V2U%nS6Fdg^I}}c8x`^
zPqzeqv@uA!dPCfErp*@r^Z(Qet-of}M+$wt^QHM-uWeVE{gz)7Z`GcDnH|=7h3k6a
z`F%b@>Q;-`7YLV5;NU+tt-Vg|#q`*3A#z2XT(;)M%G-2Gaw2VB9P&FD{Ci<~_xh^}
zrxnwW&DNS!@3|?lK701u<~x06rRQ6J8h2T3S#?3~WA_>H-RVm&);u|WeUkOBPR+`k
zyJEk77uGZ<e*b0MvwNw6e$g7`vR7VethRdJefAg~jW*mhMQUf<i7ErGjT==L#IOB(
zYD(Yt>iW3zh5^Am6WYFn*U1%ax9QUR#``R?XL{homaa`7titN$=2^b4zt-!#vgko4
z+sRKhr{4!viV9x5z4+rfS$@v8$9j`k_I3X0G4J2!mM6Eg&OEE|nAIE~XZyl5e%WWM
z*D=RueVF*~*v!?7=1ll?ka4@>nPYR5Z?bKcxbgP!%_u%AiM&w1jn6*+n42}{;Nl6Y
z{qZxkEoQ3Rp6$70U+>e8oBz~@@Z?YV&|l2H+f3x*1#>@ZtEqOmq1OdMo?N}P!$fTU
zm-bCH&iiBcgk3+q{)Ir9fUr%S-{X&tkD~hz-=CrBv+%uH|Kj-8^N+vq8viw~+S4mr
zxYzOa#t%E*Uz}V~GvUXz$C^v?KUa9MMBB{ssLP#j_^i#mloGS4KdwEVdQf)yiM91r
z6ZV~be1a`V@y4@{51My;TKO=l$|iBOokz{<k5evfue4EZ%9Zn1iGN^WGq2}yT#{vf
z{<8`%7FXN6Yc+ir9fM{*-E-~}_wT#67c6;R_}}q^_4+PP^^J3`7uqjcbN+8%QmTzz
zPn1=hsO}Hj!1Z=hqmTU-=3z}v$m$N7$e{U8uD)M@^<-*Z7sHw<-BxM!C-h(ZUCwJ(
zWj9aMBl@_Jq^I0@{gk{<HC8`WZS0S4xcXUBU`Jaw=e+*AkM&c;KJS?xf9>-@wwt#0
zUo5vpCfBTzv-hZZ{nh=B>V&vM&yD|@SJ<p$^)L&2^=Y!s1n2vP=MH|JzUR9BnxsIR
zoc7-iH{zt~ZT38WV=3PE(JH>~vCw_hZ`$%j$sZ#hG9AruO}0}huQ+U5t{b`FRF3q%
z@(zw?#udx?y&lPB=c!~IOqkjA{?NYfeD5y*zG_m>#J$n4)O(>=w)F>{LkvkfN^Na>
zx-0!fRvdhNI5$ycgZ#Z;Cs#k=PUd`FD4>!kD;ZGOA1opHt3Ks)b>fZZQ7(7l0vq#I
zw5)8ZaL-v7%JKJ`=@%L8$4r8U&KFeW<d{diFI?pFWc^}I;lHmdObila=ZcA~QI^pP
zI?YnzXufWhbn(I&Z`CS7HY8>#PUN@R;F*)5G5z_U7}i4zSDBi%eDpC}^Z4)zj`m6$
z#~aHc1E)@0_&#82;!*4R5F_IaYT=XJRr{{AT+}@LvrqHq?_SGO?1w+jQCk1uslV;|
zueyh4%W`lRX0SV{*4%20;N4!9U?*_?kMQSXNu|DxHo4q-scWR7eavScGrMwbQKn4M
z)a*AW=bn%B3HW{^o+;4c>;tbw8i%`8`DU{2;dXTl2|98)_20^aO*`7SMCx75Z?%8A
zXzLuPv+MaafyLIJUz9g`b>;oZ-e9y*VckL|)r)r@E#oOS<Kq|Tk6&)L?|`jRUFzIj
z8Yb+Ulyq)%_%a%sDTN-K)*I38bzNdg?VAmp=8r>9DeZG#HO+m`E8Y73-IBA-PVe2L
zEwpCF+j+O$E%;LcH@2Ry5`Uw7CoJQAJ@=i1zd3#@FkRbz>vp{XU-n6ZV=sT66kPXb
z<7oj=CEwF`n0uP4kA$|>$~;jj=U>)*Ah}oSMk()(CG+NF80S4L{Ll0=@zK9lKK9P)
z7_%JRbH6@RuD!U=VYSUM@d|$7o7_*L9{)VMYLdX|TNAb9{WGFoeo@R)toyva@t44F
z1(t2~+YePZo$q<$)1A6;+IK0Lc_LTNoKM}zS(e+A8l89QL}_HL^*iP-U$svh75kiE
zbtCon%D`rENz3V1q`vDHX!rjXKfy2jUBAS_<IdwvQw#41UeN0Q$a?Rv%QvQ-*DB_v
z#h=@se|Zy!P{--QnNPo_tyw(l#=Yi0=Gh`#sz+-Zrlr=i{#<x#hN$e8ZDz*2abNz&
z1ZCgZe_*Z6yLbhApF8_6JX9#$e}eDFo@*KL3qs`{bMI;Ie8XC_^`p%$2Bl*+!y-;g
zJ+gfKInDmSYCZd!=J1{ucl^))Vc0KlU3K2dpN(wI3O|^R2L!#1S}a*7;;PslTks=y
zy^QalI=w!%BU9_O@7K@#Du4UY!8cQFt6VO)U+{@tzb(@Iw3BSrq_(X>TdIHg9{ts{
zVprzpJIQHH$+|C!o-5Z@?4Ex;F|+mDcHI!GAK7m#d2>Bu?(Vx&9HjrZwdz)C{y)_y
zJ<A=Rj!6q{s@uD-zrLRN`<Bmr_ut*w+4b*{(7o1)-CyVE2fMzwIDgiQg!-#tS8iEs
z7Ttb=!}RrrZCQ#(_N;p@X#UEOqb2@8UWda;p2x>ODw*#Im(Kb)Z|A$6v+eYprN1O(
zFx`H4U}8mG8oSU;*Hpukb2en>{}N$6=KfXh(B!rA<|KUCp%b@SDsA0M@%JZBh~3QQ
zC_45<s?F%)p0;HnGV31(iXHrCoA>kawfg-B*STz|>OFmI#r3!jANPlo#9en*ESYk2
z?uWkeU&d$e6nl8fRu@<PRLawf?Axr?{if*R)z)y;AJX&tPD?dxnDNcEIA=ok7Y&B^
zEfUt>%Qh*k^)hVx!?>yNOxEFP`upsUYZxw!tFPY6p<sKed`@Q89(K*65_!VU4o)-Q
z^~JEe-lbJ*!#r*NH=1cz%{kn4k{YB8yK;iM!ybeduCY1E6l1e5&Mm#kHdE=rWs%uN
zPID(}CWnen-@GlZ%d_^zG0Aqjk|Z6iBbrAPpXN83brtYkJ+vw8giT25>!j7|_-8w@
z?ae+b`e=&8l!Uc6_yb=(<FB>-T=nhe-^GvKL^>~Pw64#-QDT_RyY$FxFIlg@dlq){
zOt_f2YDRd-iiER5)5Xr|OnjMqMrg6!J{`kXY28mx*UH@DDR;F$yrfapJ7kx{rX%Vb
zmCx3;od3Xk_249~nS$4z^<J3v>?qGfuCOy}mvjpkcfU+Ja;NOpPlo)Yd+QGGKD;tZ
zbg`i1bfG+s6ITpf4%BOWToMzfnjCU|+O5EU%hQf!H@S0K9}~NDtZU;|7PBi6lNU10
zEt0#CC}XrkzVmNoz#l%d;-gC=KQhhb>f3W*d5@v~1g}4u$L5M#lp3G(O%vA%KjsnM
zr*_{_T{4UJOtia(eC%D@=K)d?oh#cfB?z2w-%@<zz4L}5AJzK%N?|AJ?{1$F`uUq~
z)#{m>^Dn-BwpzB|ruN(ho2Er46y%OaW+dL@vY779@<i%~wnD6&;D*<aHa1jNx?DKK
zRg>3$l2MFn|B94v6)o=tbT7ED7Q3c+&CpB=K5iqp^vIed|I{@JA?IgZWd5MF!>CRn
zp4}PbukMXoRm`T;>`If1juFkNUonAwa<78OhlE{*t!#2Wx=Xs*gR?UqAGF!FL+gft
zKL3Xfw){5<6L0PGezAT|$$N8G{a<l)uPo~O72;#|A6GiMQS-^n^1$q$Gf#-{L?1kM
zr21I48-L}g>760#OJ7QS6%$xwd{*bGw#fTOM#<S#i{+bp<^G&#ImUQ0Tr>ah1l#&B
zR}t35VMmmY=Fbu}y#3)tXUeW8T-7HE=RDf^U6-TCePheQ7#{D8Q?46Yp2hwNlT^0&
zB4FO1B(qm_$@9KDJO2~~TDvQ`=ESm`+?{b&ZuRq~iBc)zU6&m{UkbE}aO}9Kl|RKU
zxl#YJ(Bd7}qm}G_$`wz%k<!b3Ts2$&NXog5^<f`u;wRZkJ^!33!=vkEaUi4d;)P6~
zLreER-Y)I?=)~P#^=O;-Zfb5jnPQw>xs>MzOtL>5E_zX4LF*g?<76c<ju&eVw6HvW
z*S~>xs%DC_bI)3d>zh|iiivojd9H<3$<-zzVRKZ(1_!f{qsL1M8E#6s+lD7idj3XU
zQR^Ht<K%iJHI5fo3LFoZg|JC$B{SbU+`M<2;W~H6#Y*l`j-hizwReaZCbKS-DqHhF
zS}Wv)fR#tQzgC6e!Js+3!KYt5JaEO!>4|!T;wIs%0loVwmS48;{KMkcyk=d-8U2q_
z`hKe_*>2o_B(3#_{>4xF6L~{5pD>gOtPkjPF6D}<;|wgUm*bcrV(93Qz7_0-WfOSQ
z4_$cBqJ6rNz3<V)|64ldRn$khdr#?q+_w6J{OtmN_rtt9+Tu6A<LPzOx%Q^*<Ie99
zQ;#^UUz$Acz`c!4H{u<xDR4)obap5ozgj;1SkT#&9lt_u|GE<MbIV4~Z_}oI{PvIU
z`HiTbsrg$&uYcLOq5k9Vp0i6rOKzs-Z#}&=_qIqmmutygqohx#?`6&G5qx}o-Hwg1
zK|L<<r|)G=KW^3T!!dVHQc=cy?nM`}HeTQo*?2klTiENA+(w&SC-1nXo&I3s8hHA`
z{oV2z1*@*IZY*JSJZQRusb636&i>}PR)<RXlRrywMDO_^ttqGYeW%pidfT7(mrVOB
zSjMgN=<XT5t87PBCNtJY+uS@cLzn-(he+#*llJe=@z*asQ5deGtn*U4?D~#fAI{X~
z@qGCzrgC}znn@d-R(6~dV>J*uq&a6#TlvefZKt~)zgg;B6t;8HKZ)$Ck%0=&KC%eT
zeI!0H?a80ZOcVMm8Fz_1-pi)Ee@>W2{j0{nKbai|)^IGlI6ZvZq8X<(!@um(6!`w6
z+RMkIE^lS(uib~PxiKDm9ckEe^IWChv3<*~J^DFk()_sRac$*B-}ctH@g%R`{M5Lr
zcGdsI(^IwQY>rA)+28O*)H>y;!Q0oOFX9jIKaGg6_;)v_XL_jF`V|iS%^yp{PNuqV
z)7a3V`^$*q*|ds9JO1z9e<k+%oSfuy-~KbA4&%Oyu078r&A>2Qdh)?$@#)#cEL`AW
z+tNx_ADMff-YsJ75{<YM+qK(TB)zaJx^Vi@g&7|oy~}GonpoJCU8sLFvGGyRo3G#Z
zzgPW!@6Y|2KF>~=Po8{c=93h=QdJfg8wQ33rURUxub1zflBe0o%<$_WBg2*bNzB`}
z{5taN?TZC#TUi_!j@54XcEzA*-6MrJ=U!{pTU8yKXP<RJIb)J6?_tN&pW_zn*{0~=
zR4{}4RpghiyL?TUWaI93Y<$mierBTN>AaqhlV==c-m&&2bz9ebtiAUtKp;Wc?8*(r
zQ^~X0R=#QtcvfM-yKtITX>f7e&3nOra=tiAwEwskUVMmGsco%CkdR)^@~3^(h63wT
zB(~Hzxz^Xt(liUbqp;+n^vc-&OpmQax1xW9c7(sPOGt7J{n{qlJ1hSA(mVD~k1@VF
zvHjZjJxi0HZrsdtKm75Q^k}B66o%DFpBWk~P5o!?`(vX~WfU*<Ol{37fnw%(^XL`l
zTq}OZR6OI)@t+Wwr+n~Y@RjD2(_eIh^-_+1x-qZx_p`<i_5YmBcT8fIb(y$r@6^NE
zG4p+b{e2JJc(-D@_@e(;=4)Rucrp9U-q?tYn@4$8<=tUCt2{r{&3i|u;rG1cnOg#<
zKHdA0@oLVR#I`BEKON-sW^Zh^(Nz1SJfE#jeR-B@^pmR>GK4QYPu={mi+9=a#@Xwm
z1Wo<pUYUOkdnM*;WAY@XehO!3S;rZ>hhFANeD+(I<m~rNJC(WI&co=5ALr`-XEn7M
ze*S-UC3r@vo-7B0O&SBk3kI8prBipW-8z|5eKzk6HogYlAMY>bY<alt+O#XSYa<t4
zUGw%_+vaN@?%n$9@{?WngV(YZD~|-_+Aj*xkCMwUn7!k>Nnov&hS}}A@*EuXOe>Bb
z`e;(Sx@S@I!8sLHDvalku0Ov&{`UL%?d3}nv!C_*ww2~&^PKyby|wwc-y*g9=Wbko
zCK8u_ZBN3sKWvk)MQmt&+qSNMEt{>a<GlA1G@o7R%kYf;wLS0U)b!jBJN}+F-;}~;
zQM8v`Z_OXQ>31S~n%~%(M~BqbbKm`+7bQ?{^EqPmSv#33ZT3I6z6u2X*nGVF;JJ+L
zOLq9)Ij63rk)V2B(8jN@VAl?VMIuIZuisyOygvM~>AnpUdH3FI)XU-bpFV%h&eGRk
z*W{Y={m)xG^~<r^AE{qo?AOrPb~A_J;s3b58**P?HeR+XirbQ7m)Px9y7Twl&iH%E
zM=Z0<uavR=e&zS&DhjTg-&=)ED`%>N)lD&Dc-=VZ)kba&<1E!PH6lAAU;qEwJ%cCs
zSc~{~?yrm&Pa9=>f9*1vztK3N_r+B=(XB5zU8H_CdHmUTNVd4zncd%N{^XP^r%mf8
zG2D^Z`N_vN;l#<4+om~7rKuVy_ZTnU=vRE(U7_1lvHR%4miiFgRaqQ6eu$NFm_OH>
z>F_)K-iKc&e9YoCv_8D6alHGsEF>_F>(@ouzPB5HpLF0qdwx-^_1TTTm{^{=R<3!T
zZCpI-c-P0qWv2pab}uMzSQC?V+(*c*;NcRj+xL#ArN&82(kl^q)a-cofaCPf@0vYs
z$BQ#7@NL>~Y4zP*{rWvC>UWs-G)qmac72rcBJb7`uE@JT3^upi`c$_+NayC<i$#7x
z@j<`jzdN0pTaY9<|BPRc!MUFwBxCaKNw}Mz-m@Uzug)!LttRK*`}q~yDpr`+-0S-J
zwCwBKI`@hd>DRg+Z>ni%)}8x!P0{)F*P7i^CI4leTzQrKP2t}^702Z_{Z4*d|MSzc
zg!ea=?Y`~*V)5mlU-ApAf{FqZzGN2K{0rTXUOV?u-l>Y`B`f#Mwg26C;J9a?Y3U`4
zZQO##P9|S6-La#<@}~V4lZk7!wkrvUer(>xJoWO8naX<-76iz=pQU0b@Oxjs;N;y;
z<93Vx`tU>~e=etb!>=rVM$;;z3g>6_NkZZEZPmY9SGtsFG;-=X#(Hws2i!OK`Ab}<
z_SVy52Upp@om;gkEI;P{lby}2N(#?><7{87oN&W_mO=?z>aApFg&)tdykzyl)I=f|
zh3h|Ql5L$ZQ_H+8qy2E(uT3Wp%zPh|eDa}=3FDLbU(QUr8h!7<uZ!P`zRwd-ySV0;
z)1Ekqs2lakiSNrDG9T1ASk7I4M|G!!<Vxd$O9|6dJ#r>5j#IMgGMb&f(x`gnftCH&
z!;~d2tuZUyap8-WW}L<gwJ#GsO?ZEQ*~PfY&Qm%S`mc(Lwgj%JS@**9=sk&(1zl<-
z_DQ=%pR}C5UAx**bymLR1#^WUHGyQV2{|f#sS^VJZ+;M@Tp!(XG|BYBduPdDyMVKS
zp6fU7`eI||B`T2l&p~W2`?<uoo@^U~YBbV+<yKz%RQ$!Ptl&k+j0{INjm>f4)5Tp4
z?Y_j`c-RpAd9v=uvc|^X*fkGxPnktq%0*nbtR1_Vk;~#%s&@V3N$XDXi!EvHlmEK*
z^t89{HWdbjIu_em&fQs`x;I)sw8%GI+T_&?tJzDN_x)W}Wu`5~^YzA$-S4N?eao-l
z-_%>b;LgPhG8cZ`JJNOW$_dFDPa~7|HP<t;YHRhswVeIcYweg8WK^QE=Fyql{}awi
z@z=0K=}#^9J)hkY_n*72cjr;HQ~Y*bcQjaw8-!=F+)kddUuJXXssGG(PuCZ6OcXeE
zr>Y^?E`Gkt9J!_6`JNo`O%yt#6Ywudyhy<R{n}GE%L{}1^iDpKV!7wJ!>-`a|6hp<
z@-KTS9CK@#DkJNf)cf#a>feSV2A#rI8^oIrYj17cF6y7+tL1$u`rpYbiA_tccg$Yg
z%9-3fdp7fbk(;Sfva&h+C)7F1R1e42-%zW+xk=*J&KvswjouXao32`Z^~y#e)vkkO
za!e17T)baZAn>~~XVn=to^s9ON1{!x@8L>MR+(|XP*(EaL#d}OnGsy#S|1pzo!vg2
zR#d9t4fxvNBhbols<gsBvs&x?zqtJ6(rg!s4i+#Pa8H=Xy7=SKy_yBq!93zyuO>xG
zJgetfy7#(MfsMfTJ)g`fe&_CD4^v@1-Y&Cb>;8(U|EA_~o%&_cx-C<tPmNkzk-{A<
ztLe|Q!aRnxJ~Opdeg2$|Aa{vJS+m8?oc8!>P!M-@-_f82g)%zoZ<e1^N&X!+W%_~H
z-#@ObOVRl9SwYqN&Ebdh`IQ4!>O3}S<63)q_Jvn1_21t3U0P~f$x_o(a_I4`Pxtuu
zeHOdnDqMA!tAsVU%2jfa*Jk${6Ih!MG0sk#fA-n(6SH|^ZykQ^(tgvv@80?HM^(D3
z^w&DBc_3)jp1yC#^g}+6KYWnvG&w%)<HM=Z*>lBhPM-4K<<4|LDbG~2EBWoCqKj_1
zO5#%cgyQdc*37Y~Kdt%DV&A$&YI}PQE&RLOgy+IMc9jE0=TA(V9Dl?l{ONV=uM1}g
zW+%B{dBIb4vOVrV)RxHSSC+n=bHq!~gZJE;tUUV(ixtc-+UhjBuRpueIpCG@Ra391
zj|<p7a8~O&P3+hw%jm28SbDwKFCN3qb7b4r%+-8y#-Zw0LE9W1E7#Eam&MB5*2{u7
zuADt_$)48K1vLVt@8_Rh()o7_`+OUze==v*KaqORVi~?c`-7hl+l-SN&9XEUY$okb
zU_5tFb4TyGuc|tku1yx5)jLjZSt)g~$N5)r0q2_Fb(#B*zfAeuAv;Ml@a_e<_b%;o
zm(N<|yu|5fLtBUU%!VT=ns@#&m1NhSOH`CtaK>c&v~8bk&TuF#@HhTaIZt83${!mV
zE*EBqO}^N!${DOt#P)7dhRcaGr9E|WeSIE9VtlK)cWIrhziO&~eq~DQA#=Z;KCYsy
z1Bwf8`UdN8u3oTsH_y72$11Noa%(@Z*|^pvAcp<yAytzt^2~Y?(gvNnUoN+B2~5sB
zS${5lQIf&*@Be>%O7L&Kd$iNA?QBs^_N`U=zmKv;I;&5dwQSjvkKfw57YE(&Of=ZE
z?cI{9$&(&PT)Y<8T9s0>VlpfHt*T2a+|RY;D?MgvcUv6&&qn9;LH(x_%_N&2UOM>P
zHcI*G+v$C;BF#U}neP!&=j6q+xFXP?Rp04n@)5bi^*N@@{;%3zSKM=${@Rb*;8N$G
z`DypWf8SOMPJfb_VfXs=LAECO_owCWUvs$V|1#rVZIY`t3-{?`xA-0hT#;V?_FDT}
zhZXZqxcYoKKmEdW%V$<;`z8mAuef+ltERtEyY9$|!a3ee{*$JbMFuQzmH&Us`R?zu
zi{aPYx2oSiYy0hN{T?|-`QJs^oBwUoyll_jf9C7N<gL3|4$G_X2R~xFw2v!ep{L}+
zz@2r+xo0Qw{Qegy{9B?$Xlt<F%ZFbWTi;o)vecUB$YFf)(3JF$|HUgGu-8V1DyH{r
zS#zZACy(>u)v}rKo21!xKYi`0@hW*^&pT21o#yYjp9DGC_|>ea@I7C@sF3a977sp2
z-|5@`e#=h!Kg&6({(AgbcmE?-RMppfyUQr}bY7rn;5@Y@iceb}-}<~*nO~B{Sugng
zy=^n(SF9JSyA!S|?y%;4UArvz^O>_=yE%47=$oBdv~KsIk_Wz`HO*N+b|(9T8obbr
z;SDptwP)LP2^aCBCI>=xKZtHltEn%waG1{#w${0XY47spJ%7Av11f`WOMX|>HTWf&
z);T>OjMII4l!S1&#E$&%-5jz$ZNWvhE4vDciWU6|s<wC4y<6B_Fuk_e{KWLw>&(TI
zi<`p}dj7Rt)Zge_{kB<j*^1&*y>>q`))<~k<NB(3bm~@#JA8Kpj<24Z9MfhI@aTSh
zc=Wqp0vm(32ya~8c=|)bP3{d>BqwIa`rTXeUQuU*&RGS^mR;)>G)w*7$GwNw{*BD!
zS$`$ui}s(~?630Vt9@?4;<gzr=Oy|xHc2vFdd6ZlXZGvb9XYcsLK68G<ggu|P<e=V
zhx)1P8PS5G-(L0cRz+BIo;=sx^EW-ZG4M$e^SOFPOOcyrwjQ+9ESRy$q@O?Q*q1Y$
zEBMXB7W+>Q6_z%cqJQV?v=xFqsk_snUE`kKSGn8%Sla#EbWziZ70E{qyS-Jk=d_=6
zD56;=!n*E*ZOu)i)vwI9FSnWb{MA$L@O$UG6thkFXB>}sl>U!fZ-IYkneJ9&;Tf8R
zi{?A%uH*I9u5aJ8tw8#y7<0y7$6IO!xd$fy`EC?CbK8!vBkM|qxUH{Fo8Yjb&3k80
zVigBBU&S9?ue^*}gTp0K-jDChlhFHnP3+y;AHNT;;jWIEmbUKu_B%&&MYwKGS!kzT
z=f1_uWZE0i2kuor=9b+NUlx_}OSp96%jga__a7l;%L;j{+&%Q_|DBLJwRuO}HVN;y
z`_>wL*xkdH`$PSL_5QAeUxt#_()*Ntus?a4p{zceziR!B-edBO-P1NcvprUOsz@^N
z^t>pI9Ys^UOaGnWyc(sqL(oU}`>}ZL&Mu**!}3`(rEhP$A1P~ZsMI`X>))CJ%|}yW
zjDia0i!si7**a_YiTSS^_XX8Ye!{Y{E4eX#`b-Wpz5QBu&NkUSzhpS6S8q3Wodi=<
z%deBx`EOZ-kMPWj-?H|GnaPCGCkJ~U3Riz~VY#*LS>${XpSxkE>c*dLoNd3}5_<gD
z&$_GgPR?3xJ)`HMOsU$L8(CEwPY9l|o^fa5Z>{|z0nWCEA71ND%-kC{(^`ii?p6J^
z113^s)?YYoMI<eFkk%scGWpU@-%ZOcoMIbq-d)Z0UieD?cjG&@e5qT{E!W@)yd*Ng
z;6-fxfz7FRKT5cnhK0-zG&|`oR9oDfuK#Mn@8-R0#XEb{el8VjIp+M%(>Lj+)CLV>
z^^R%(9Jo_wz4=l)DZ)9dWV7*Ax5({JG^6E@)MsDsj+Ng!+iZXNTp7#lPabtzdPyFO
z{hcO%j;H70mwhGbCh1Fj#qLBLRy)~q^@#3tqqh>WiM|FQ)3k3JK7FKgO6u>g6MSnH
zopqUhX{oQ>tWddCJ~i%%f8Ukl#MxE0&Rq8O=G4g=#&#toM=rI+{qhM~t#oqIYwpBJ
zpX%PI>s|G%|6koG;WaDp^j+6Fv#ME^;e3mHzIdLV$dGUHR^@5O%&i@6H~RN0a)<sh
ziu0L#t?HIh($x0fI{)t(&wRD6!_&L5+oNl4#VeiX`35}FTNL}B9NGHpKf}@g{274}
zeN)s@7rbcKm|B_M>)<p&w`xI&tJ8rMThjle+If6j=@cRO&b$8a?c=^mOmn`wr0rKe
zrnM>b)1M^Q9k;#)E)2atKmFMIpnLp@BF%4(No_Fsd-hR{!nIW&W-gO>y=Q^loEKi-
zB+6!&w(|Dxuh%q6nDZgmvh8TljLpAiD#`fGDM;OSYl`6I;)UAG`i~;4yLIf(M|$p=
zqEN9pYt8$L?oZXTe3mCA$kt!&7oLC3Vg4bn;+T$Q&L{pDb#eAT`%$~>XuAA`G{?B$
zjeBM1o!QKhb0XC2Z9qe5%|uh)f8RW<b(j3rQOb9lyzt)^r^~C=F6uwrpcgBs?~x&M
z_-(Bt@8-$#Y8SEo+OQ>ZE|c1wY8gwB%PxAF4n8NXS*EvE@4UP4DHFS8?JxPw^+$di
zi5J}by8C|cw`QLWqJP>sF6{RFogMW?X4;knX^Y>oM(^|4^0nMIWzLFy5XD!2_8jvB
z`O}x3*(OYCSifIx{;I6Y9U{xWJbF~qUZ(xA-&!Z#LYYIxglA8zg;0^yi<sAT{KsXQ
z6?Ct?Z&=g!KGG#oI#hMix9kvO&D4~VBm3%gyvlZGrW8d!o**)@p&;??>^bY+)Smpu
z=Js=m%-$zSrQ%L2qS>~}-JCG_pG_}Q+DX6XQNK(dd%ip9#{8JQIJAAEQ|WY`4{}SL
zzTMp{{<4mzAZbNoTw??SQ_qxFlfTF$pVAPPI=?8qz_`9!QqE|@oKw0FDkhno^tYA@
zJ>B2lR-d`>HH(GhySPshGt5sUz1yAkbpQH<EHlNc$@TNTzE6_*7j%^G<;3`Fb3QjI
zFt6oYnyb@gxa&>8Ns9~ezI?S(^ULOSHs6~RCS3SE=Iy1`SHH>S<Q8A6^J;y2QFo5%
zH*fjVPiHP=`!Rjmvg;q2i~TM-99*Z&`zB#pRlUw`)&(|m>W{6p62J3p`sHiu1k|pp
zirrySJzi$Kpy<ltGPMII^7d>y6H<7$jOSy(;RkPo0*hzI^~NdHUe)ceV_trB7WcZ0
zf4k@Y4%wZ%WahrVR~GIP=iF8LyGzq7Wu?;D-<API`*uC@(|nY+UbSYonr3i!oz@SF
z+5<@~wVS6r-d%X*@40hr^{nw_7i7NfHn+O-QfvAvyPa|Kdd=?Shfds9>{qXOOM~a%
zFT2-Dsn^uj>N6yYI9_eP9mII^<*E%i@0gdq;^$CXJZ;Y{UCuJW;8(%Bs$K6H{5`|5
zGI@*Myo(dNUKVs5OSSoPLRw5?mEq-(r;3`aN<muJf2Y}`_Sza>=)3K6@92s8HK$Yc
zQqHdHHfjE*eCpMQdlCz+*H*M=opGNQaBN95yWr1|Opyo$h9=F@Kc-o1A2ul&YgB#z
zr{?#i^-&0K|E|Vm*;g0+aqbT7)mo=sliD-0bHlNdYv-+!wEKCV+wA6~Z!5c<S@&%{
ztNVAN)~R<B(iUY-HferiSG`1aXRe*>;hg%8T3_q<l&Rk?sW-m+_%iy&_8lB)``TDr
zuHJanzpw9p;=|S7?o`@_-mNhT*A4l>{DNZ(*T<Ey3>j7vJyS%QlLf2)O!#Ql_GMkw
z&HTyS+uCkz-~M9ju?ga$?|F+Q*4@f_vpH(l<KG?ZrZ4Q@s!Y1*aZd2?H0{c5uF-3K
zEtf4gxuE`K_@n7@AF9r(uMvH}?%<dFuY9VWI@XNy9~;Je=FrrCHP5@T`QE<fB+03}
zSFibE7A%!fy-;02YH`G-nx^G%76vZd_qpctoNCKBzpHyri3)z*)N%i@ZLiod#^6Ui
zE!q)s7q-2WY<R27e&xs~=J^lGJKO!<$}U^7J=xx+tNl5rO?~pQWYwa?f1fhaP8_q)
zT6VyD`LRjM8kTH~`5qEmZXX_Zv$5!vLfy5$lXItd?Xo<{Bhh2^;`q`%PHqzQ%S|r2
z+&#AO*6e`#XFnca{r2vl=k^Rip86b>?MwDme)QQdsLj0HV(yehJKPNN3l60BJauRJ
zR<P^iAG;SW#<!)WFZs@xT3^2V@alOts$)N%Wx8hf;=@^CLCGzuZGXS7i`w2=`D*Ry
z-8a<Lsucx_H=M7U_iTo--QR_KZ%41L=zITiZD+pEjjbl~R=jnb=B}TEK5o7nwlgdL
z>1MO+UHf|~b?n`OHB8o(?<>#T@y(=IEb+)=<?!J7UxGGf?@cg~bS;QkSNQ#Q{mDNP
z*?*r;wO+reI9fl>&LJo9<j04O+Io>D20R|`Y=7mtEc|us#>({m4~oZgrr78>JV`hf
z@k8%_Z+(+ocZza(`jvZ<jeYwV)gC_F`6}S(tK?%VT^H{6SwDZH;L4j9XKa3ZexCiK
z|2MCkU31<%znPt3%g2AKS7zsBe%o(a%Ko*k{+LK{0{cI+g+hxZ@;rB3)||_kE}5BF
zVeAvTGU~S1nkgpJqJI5au_^a@*3svCEvw@{9r$Vd_u*vAT3J1@R*Qq4f3;t4{+zZ|
z*IkCK>z|G0$<G%r&A$He60=I^{mHxXZnn(ptek8wu5D$rZ{OU1e=b~Re60Cw<~seO
zo{XIe-9MG<FDu$OUts&P`0VX-EAPo=eSi0hHQ!Es+Qwpq|5H~lwmR9nVYXYy2}iGI
zU&68#cb#P2-tqA0?b>|~%vD;(wZFJLKO8-O(=dH<?EY)ZC+O#CJuMZtw_p6Kq3e8^
zN`y+eN|az}>7mFMjT%P<CRXj4!+w4ClL?zQ97w92?&b91#ZvA1ZIg~)3{m|q)YSWk
z!`o3nv%<tZTIEL2XQQSY-3o{9#Z~HbeqYUSEyGxUZNVjxvupDA^zQ51_3h-eRg3@T
z2+zBC@JN=J`RW?WVC5$kV!4^ts>#<cPp*2qvSHV=kL;RvE9A59zju{#|65eHoLyz^
zfvtS9%h|8Zvb8zgb>DI2tkv~@wVJqDc3plcTJw;tQ@2+0^A!%ajS@K-3*#?7yP&&z
zij|6zy|9jylT%myQ`Y*|Jr`L2dmLL}svop|=Q-nA=6c?Z%R|dJ`m4l0dSBS|<k9I}
zt0&ZmUz9cd#?)af!=c)H&|B(X&&iO93P~Gd7yW&`I+oMr(B5Cy=4z_3hxsU_)~9it
zS^3A%+ECzJSBJbw^-RT`_hTmTyLS|B{VP)Au6O=r_P1^~`@@&}L@yOA&Im5><<;UB
zyPcgcYW(=>Es02(W!^FIOx|zTUt;;DbjdO^clj!*y6O8pl5Xw(w(WwzyEm^36IRvQ
zRTa;ES1o;7xyiTYYRV_MNfq^lpEeg5y`E5C5-?}->tMFeDQ{acWNzqh?yC=;bRbUI
znN@x3TeI&<^2=?N*41p3WWVR_{xD?4u8B*2MQY_2ojtDmp3^gylTVKS;eYpl#6Rb6
z|J%(nrRHDyxBL>(SNmVAs{iu;=#TrH6YG2HkIwj?{co<^&;0ZM*X*kQzDDJT`T6~(
zE7rBN{5fB*c_=>fclPH_Mg}tm_UY5sZffn`xvX{3?$uKz_itLiYOA(6d-pEo?(Vt0
zlkcdX=KoW4%IMpiUGjSz7yV}s@MdHZVFq0r$N@fyA|&7_TQd^_!wgOa26hHs&~1VY
zj0_A6OBzAUl;i@virk#g5KhS19iqJ+=>dGGMojPOW07Xw$iy&tEt8ZKvO+X<cR=dc
zSU~C|tTR&3Ohr{3nQmb_^(+Ge!wCik1}Uh!KrUL+STx<YpGC<O<P;D__fV0{>a|e}
z3=DjX3=9e=8XMRcCTFrK<8$J}eimsaMfU0c`dJjg(~Q_`*lqRW!U-`3hLD#G3?fh)
zAVJp1&w#Ygq$shVAhk%ZA|)9${Lp-=`IO_RBO?PtEHlEVAmdn<G`6TQOg^D1tAIOD
zBcFbbxp{Q@g9$96Ol%s{zfWM1#o}%Ta8zHKA#mJ{hk*fvVeW<mDTgT*cNe52;dOVz
zs(*dFatsU{atsW@&|m-=SHGmO(-Dh#6?r&}gSakLJUIRXF9QPzqq^=wJQmZ6OA>Jy
zR}V?pe-1pKV<^VJpd*HeC6M!$H2%)TVqR)W8V>Uy&U@wk;JYOQ0|N+)A^CPmV|oj!
zaW3FkPf4pF5PlM&3zY>K7#R2&7#L7ZyxYPs*``IZ9&5BioTIn+#{!lM3=ANQ8d}<|
zsLlbqrU<87AjUoGI3Q%j!oUE+aJO)Pi-D|A#_9jRGvaU!B&?STzFNQ-$jH#r#)jsV
zhmnk!IU*&sEUy@EXls?ELoYLBU=W3bwi77w7?w23uV%tDu_B2;CIcNw^kusL6c$nD
zoa;=}&wH^*;0s>F`8?=}XU+{Q)`QRQ!5UkT@Il#!3GogCBP4i#K4Y40AH^bLfk!*)
zRyO1^n*9~i^r)#UQaDRP#PSK$ME16E#eb&hE2px^_<)Ky5DxHWWdnt=1cL;_6m|xN
z=TgiJdJL{6=9Q7T?jA{nM&5-P-UazZ{?4w&&h9?GX(5)Lh1sF$mZepRMI{CyzUIER
E0NO1=od5s;

delta 298645
zcmdmglxN}^q51%CW)=|!1_lm>1vz<<x(ENPOJZVRh~s2nU}xZDNJ%cxtH{j>4Pj+q
ze*4Ze{pdB{{MiN)>>oaV($w+0z0l->O7E&Iq6y0vK2~M=bZPR_hRYSI4LnOu+WpON
zzWVjSiJdv@dUgMPeZ28(qQu?z+cj?l#%;3M9cr?5^_}~rZr9rCkLkOf+r)LoK5N%H
zhUux6`$Kn~ihX|j#GIRaNA8_54P>y{v~;^yy!4m0=6yv!!{pD)u<vibm9>K*?d&C%
zErt`)6>eQoSi;IsyWnfyIyUoP0y_+ts>0vP&dhvpc&6yqV{_hmOlrOx9eXuIwnqMo
zUf7yn7uz`3-+E%V@88|4yL9~O=a=rSUuc;dJ|)C-x#HgwwR#EKs;MU*C0}qp{erQw
zqOa*f!L5St5#65_#d>6%oM*h|VYpvc)2C$FO_SCHWnBr)`<=Eo&b<9c=!e&*;-f3W
zF8*~_&ONGXcwTnb;>_LaWUs3i%$k%n_w(E9e`YKdacO__wjdzE`X4jj;+ZQsq>j|5
zhOWO7TRgo|Xk+>RUtX{I*SA;wUbO!pXGzKy-$|z$gOv^LEPc(fx2a%L=<NPa|0@`0
zSsj}kkbL><!Y|!kPq%oSbUYX1#I3Aca70Jd#&*STm1%6QS0XvgYLy$5xYidP60S6u
zBN41}=t_|@mlnIO?ZQpxpK!3e-6*i|WR%}bzxsJj8A%<_wzY0Z-?3sQtGz(J(Zbzp
zY)<FxGxL5Udh(Ty?uYb=5s%Ze3icei(7~fPW6k&UNz)!j+xssr{8gm(YN6|Amp)lH
zqv=ypSH4lzJsUiE+oX9t!nbv`mD+F26Xg({b~L7sbHNVrc^=<BN&J$tQ+((aR6lj+
zlP!7+Dk9=jmD)=;HF%uKi4*?Czu@B2=kqVeF8|Dqk|-zdW6}jBzRmBLlo**8<m62j
zW-$N@xUrZq@_~}Ai|Y9cyBHW4b}&qqV-cUuFT>1T4^6S%CsuM8Zz(7ZVrUjA=9rsd
z@G<=M(%k>$T6@dFZxknbm(=a4f46vc(#`evzQ?UGKaux3s8T@thjP%&r#%lQr|kS7
zJ(d0RlWqDx(>_kM|Mafy==C@6;$Qj9Roi-SU)J<Tf!UweJrz3_ZPy-^n^pIvD6s$6
zl)q=#Z_33^am}#3pz%CvVxh(BFI|)B-#wMB6<f7jx;8y~oj=!wZw5C`>{@cw&)D$&
z*3-U*Q{TGVf6!SkHqC1DnW9~fzDzxHn*k*hBIQ-eGb0!n82A_&7!)QevWQGx&n5y2
zhRMg+T$C7>itzM0Zd&G+Aj!(MPBCSZ;gu9r119USLqaW)U5f)8YQc<u?N!AX7*0H#
ztY9iWc>_O3Jt&Xwog16~*kV)L`|sfbC*tO?F0=mC@%bYAbEl1uRPJtkTq={^e9)ml
zr}Vh(#<g3gy!&GEY`^@ZpqxpQ+^Z~CFm%s7IK%MgjuTbgs+*>$R!&(Lp1J8<{f60r
z=C5Mo=DJRAzGwQ|^0!UBm))N`^)b3a|LWsEshs}a{`%^5mGkxAcCUV3daUa3=Y;=R
zzcv*4e`h~=y8q4H6gl<P<$p7$slWcN|M89gwrTO7|NZ^<tp2O+O`CrYHhuNp73U{@
zvb~<Kees8)FVpKkssAqhwkJ;gv`yFKoPVi5Z`&J*YDAx3^z+l_uD@sMmz-?>-x90F
z{&>^!pFw|=D?P(s|2h0Lp~AX8?dF&0pntEeW*(DwOLvU8eE8lyIcfWI#y>-Q{uiIx
zr*b;Qwl+!V@#n0wpN_YjR5Z3sG4tBDH=WVM;(T3!_P047CqB*D_oUgo^=R5p_7&y#
zcYQB^Q)wBkEB0sq>G_T|vB%Q1?-_ZApRU;V=TMR5p&3g*r?T<Bevr@8{a@W~{ge6`
zUFUv&PkB@SBY%oddjF^2^@*3y*JKq|e^Tu1|9^CuvE7&Jj@|puhHF-P?Njylsj)QQ
z_2Zh)pDT|pe>&c_z$z>tK)n4+tW0F-LEX!>QD^JY%|9J)sj}Jly6~9&*~uAdyKBvR
zlfU`-^}KOE_W$vF!TD3($jTq65jDPE`QGBq-TLx>S8F2mZ}_*D{wU9T#{RgT;g`(u
ztSb@n+xmLH{)#n=^PhfGXJ-9lZpVKfH50$g-#o|m!+njDN3DLC)mBX_{ww`G&#?N(
z!Cxok>wTv0DK?wE?s0s?&%6HiasQ9JmD%^*YEAve<NFQ7qU}$xt+x3YQ1R-}wL_uL
zE#qI;oTzaLuD@n4-+!*^?rQ%-f{BYaed&*qfB)#GPP+X~gBNvN=gu#B__SfJtWj>=
z-<0Q%6OXh@@ZOle|F6E8hj;!>ixc<GIr4pUyj8h>?&=@j^FEor%vk;7!{5f8U4OsN
zd;CH`noZ*1x!q>}&!yjql(096Um!St+cx7vmpsk;wtT;?ymf}y@%l35yQaEg@kMsJ
zG2NL93lB(?NwI!?xVLdp#kH=YQn4h(dH>lg_Q~>HYu{Qh*Y5dGE}ouRZ}VF_Htn8w
zTyK5;v4aXcZi>5SmHfVL9y6u)>c0v4^N%Q{S5Lowaq4rP#T(@dk5?F1|FQol$>#ht
zz*(>JZNjza8P4Y(Y3OA496k56{@TJ9eA|QF7d3v^crWST%KVq5uiaMv{qUT9P1+9G
z&+YS<o)^5YruMVw_vhXB6r^?s)?az+Rx^!TIcIiaN&bT#{(A?iWp7mKzl+S<cfMHe
zU(&j#2QE!C_3JWz7nPOfnrfMLzrM6Ccux0nEAgL-$DBoXf8XJ??MdNwn>csJLPwGM
zRUH5K*mf<S_3VH_FZ-u}l{YGXuY8tm!#wvmd*zCjH%;!JbSwEp<^`SN($}&-T<~Vg
z8p-F%|68LUm29)n@?=+8D74Fe-Ti4#0t|bqmBjrXRB~NbJdvWFf7a*kx5;lkzGq8M
zQRZ3tnN91~#^As;{N69`Bww$&f8<c*G&|q=gxLC^mAB^>EWDce;P*3=2wT-ck@MRo
z|Mp-jSa|yS>&7_(soXu?Ua#_6uWWtxDDk<N&x&T@RzG*<=;n{1?OtErDQwF5_GNd{
z=7n2k7B5=ZX(k~(mEoTAX2b61r}`c(nbH4$-^1cd*MBA%@ZVV&bY$UkhMN0w%XVsY
zb4~ie;k;<lG0yswP5Fg;w$6yxG0EGwxh`Dgk;x&8V+?OggkC-Vz{~RHg5QB1J7QY?
zdb6GT;_R)NJx9#(LZ-Q{+~cD*QTtssyId3tPnmV+g#NSUODxUG!e^P69q#@8(D%sA
zvO~6i<=%SLN%SmIb@NnRC@g&{Wa$*QJFYX6ri2tp&Dm8t+hhHtqxDs?zmu&@n-%t1
z2fVD{?&<aM^W`?vb&})M_*T61Z(i1$<F^xALYk!JH07yvi@x63XEL8ty!qMUN!KRw
z&(g2=6w!ZtdP~EEaOMD$!yIq7Y@KPq!J_maX<F2|0_jhZEsG>wDpHoeIotAm8SiY4
z;JF((Zme!uB<YqR8Ezun^@G1&F=kcerK0Vj8|7yh*)HlzeiyJSWclRm4N2Bh`m`p@
zTu|a|YZ#MnZD3pDB6{G?qLP(<;rZ56&gm5#{I!8wVS}BH>Yh8(*Ua1a_m$w(6>N<h
zUAMZj^74FQG%csjSdq~#7}WV{?Fs&^^S&iLIreVJrd9E`9cqq;OtRYcF!;Ob)YAID
zx7Z#p77E#?yt4Y%hGh3i-GAM3gIw=U6<KRwr{%fV)Opb|(H+;esUE$ocerK|r<=Rl
zN{hL>R4u1Go85D+D%7PQzQ||k>hCX@t}OoMH@Ch~`CGDeZ0@q%&M&`o><u=zeVFn0
zhTp~6FLx9leDNh??}_q|9QI?Ik5Aj^VjRnG>1F-Js*e>*K%Uhpu`~^O>swN_r4HoZ
zdA7^AqML#rS~6PMM%l01F6&XAB)%n~_693og#5Hed%yoGnZ^54J~=i>Q>#4EZ*`~O
z@<Y!qKNmNhrJGhO$*rktV5X({l+W9K66?m?&++xs`@MXcA1t}M=w4q;=ap{L*u=w+
zz6cxDYw|v*T)83FxEid2J@53LD#M~uaV0-a(Rmf0C6A@3FN}~cb7K4Y(5kHJ(BFa&
zGUvnB?Cv_arRnvJlir_eB(~SiGMVdoxk7E;;wP^Ti)}Riy5)Fdmb1X6p!>5FkIu>G
z{JCK6+CtZL*DnV-r%DU-dbz*nyS@16<yC)=oI4g=Ki_r5TL(8S+r6x3zHc#mqyBt(
zqWw*dmc>GsqYpH2&-GRDwPE$H;R(8VQsYMF+-Z$-Z*+2hKY945R$Bia-%V-q8+Y-q
zOI9~OySeE-*K0n5ko2S1S58}@Az#=2&TVxibHVD@QTM9zT|>*m7f$oKduY0PyVG}v
zg-qs+>)!hQHVdh*I`Kn1<kholqL!NveQ&$I{qc(GV%fP`4G&n^u7CSg%kA)ehwg$Z
z)x)Bls`^|p_FQ|<z6`m?vFG%INwE+2=U2Z8<J)C+?!H6g^JlS}wEsI^sGF)8ZgR@E
z^hFid6+<pp)yXoezA6RhXN&AUd!bZxg|NseKh@g~TjL{6mGbV}u%!MI`yKhVf6sU}
z7R*X;yR~(V5kpzh^0Nu*(}HqX7?ww@pC<lm_VQycOCq9td)faUTUsITYBl5E>+CkS
z-`)}v%y?#%cYbLb!@P=y+@gc$HDda`l-1qe?%blVV2AIrTU@+3Hd>{7m}i*W71#8M
zufC_*%i0{M`9yZZj*y%B@6W6{R`1ko((k44o>49I>*?yvtL7%CY1QswpVO-KYo3Mb
z>f4;WmbTW3IR{yEv_e1IPRzXgmc@8)D_?b)RZnSBWW_O&-+DK+r)u2WewMLz?#tb^
zf_L+b9OBc(obsc8Et{yx!4hJvmb+emo1&Jm-Y2`M3T%x99&cxCabE5!$#d0jg;@Qs
zkSK{c+tM$+((Ncdq$RxWeM;*Dw*yr{U-#Y#Us>j~b;26COA-k|U-$YPT2a<CbHx?|
z3(=$-eV&)UFG`!5r5?h4@{~I3^0$BIEqkw2yn4O*jF-AgzU!`1+xabZ@;~7Z?{`i3
z#aHI3+9H2<TKeVqWye+?ejB@9;mPe^n_Sv++PvzOA{Cc%H~85b?y|jn<|P}Ktlp25
ztyA@mEPOky<k!l>k8G+0tajZE=v=aT6U&5`%U!!?v&`|?F6rZ@I^}t;|BfkafiVS{
zo0IRRt%#X4HO@+W>GY^cSMR=jl(Oq=f|1t6KO8rGc%8c^-*l{4bem_Y-=-!XafX=&
z9Zq4l-neX+^s4_R_I2i+?HU)J+zQXw#p{;5L0@Yx57YN0S8FQftt&1xFZ{YkSA4PD
zb;;K9#cv-cEj8uvQk^UOF=Mr9#y_Ezsr6Tq!?hOXurb}z1m%wzjdLSjoszRYEkF6I
zk>hf<soVFj@0VO%{&3QtiTwusa@&lZcY1}&ZM<v3^m2ubUHrrPQc<DTFU7JxZdKY8
zv_UN4q3QKa(*ljJ)YiV($ep#%NI9`LYai3bH&2#IzUG_R`BLd(+M<)H_Us3BRM!f=
z+4eS=&G19%GCjlfpJ%n)s9|#o%zVD2?tD=G+OMa5Y_&DNU3zMndNgH`Acy<X>E(~^
zF)~bF?ONLvTyGW8cXw{7W_P{e*7A<m(<AK9C#>7Fh_NG0{k-l4Cc%5m8CQ*z*raCt
zQqVcXvS{V+vy1+9H@2m5obWOz+_H<gX!pe{xic$V5_C*2GPo%7*u6US{rcjkv$}P@
z&E}f%L*lTK_6`%rImvle?w6A`F?zU(Hs0xWDt&vh<oOCQy^B8z#682aIa#k1)%SY&
zx-tutPDyz>?OltpBg59m@m`i7k?AR-)87R;yw#Zzlz)N2W$v?Rk=Ua9i(XC2jxSqj
z9=qvbto)lkFOU4!lV@L@`LS|W&!zX=sZlF9=Osl=$#pQXRy=C8QKyQfENP`dwT?`m
z{`bSPAFAF^oO*nJ{_LNR?|*W=k`XkSwf>de+8eETQH>QL|Nd>u-I;G$u<*#&a{&vx
z=l<pC-FkwHk@t16lJ!rMy?Xb1A6f;zkbTwj?qAZ>vyL9t)9<rwx>o$QWsT)oQ43kV
zojb#WOul>*|I9OYW!a?5JeAsUY)lTnPWkBiiLtFaF4<q|R&Rg!-mC|crm{&jw){%W
zt9+nVU+^QQw09?$eX6oHzsVZ^J?~Vm^p{N+4vlHBGU7P6{lktF)h4$IF-J<-4>CQU
z;eYw;(+j@NJr_3l1Q<VL__D(E_&(-#eV6MI9UrDTpH^}`+ajDHyY5QUwWqq@-kp8=
zE%RBn_JlsI1(IFv+t$9kw$UkE#-Bs>?*0#I3R0m4#trp6+pl>|)DAyuVzEVFr*~LK
zCdb9p&+pGm&5No0)F8DzUgO^Pr3t65DX52<7&poIOgp!gHR8@St~tWzZfMG{UA175
zC5M;j#XHX0f$Qh2pSCLS=YvB(^&$(G3ATK%U28S#k;&4<r)~0?7Tr8*n4n&^kmX8e
zdi;`?kBm|t@gMxRVoUwkyye2lITh8VrPe7we_5{dnRrHccUox1(?9$%`*J^Szddb{
z<O#2(Tbh5V@5zn$y36e;?|}mk`R?4EGOr?+{nD*Y{TrQg*_!4?a9xo5Z9XgRla4pH
z>G_F;(ds|r*Tr1ax$W2W=!o0;>dn0-r_B_krv8`TR{M6AOxd6Kzli~oS@l+e|0jF%
z25e)IeA}{MW?_p_*!g+wNzT=l27(9Go#Y}vE=#<<%4ogvdO0WlxxQO==C(YX7+NT`
zu;SL%gO{3SPq)mIZmcM);x0>4FG)}@`=xYGP=3?RlHSmVUt+A6X3i5Y&y_6}b2qj%
z37p>~c6m__^P<RC(aN`W<o0>-L|4}5J6EpMe~?)DB6r!I9F|FeD__RmUM`t*ft%I0
z+GPLJs09fjQC5s;iwjpdt(4rU+T0&fF)g)!*2QDFvKwT|zMhDDkrT8um0O_4%i{Qf
zlq>U3iX1=W{5;}x{FJi>R+}dIZEp}Z<O>ur6=a%z-1c5td%PL*%Qq>TXXY{8$ea6N
zeSPqUE6G06W;Z!ns=0S=)7j2amZW*9`pu=ot7cWmP0hHsRrmJl{R>K>MK{~D_3dLl
zp}gANB-3}v-U(Yg_UyUTp#SHij<#Uq+#8vqH#(Q*z1ev3mFE09&j^9~+H(%e6QZ?O
z6q>O#o(Ky~bN>2e@{eWtmw&wOJN@_RPxYmu93D?E)i(!Eta4%4+Ep7g$=AZ<n!;*-
zlfvxzGeaI8*|2ynzYy1+O}ri7#GH~M%{ks233B=tv7UdGXk_i&?q7eKUeEme@N<%y
z(KEj-AJTT;`yUr~<J#<|1!Z~rCBG~debE<w;Cf?HzSYMQS|3Xs1GXmxmM)ZEwIDTE
z;7ZWHsD^8KI#Lexq1~&N>t#DQc1KO)$YT5367ePTmBq!J?T*`x%P$KZS#s&Fe}`>6
z`~K5&LVd2x3gP;*(XMx+@MY7rEAymH&n!~y$XtFb*C5;cSbguxs9;-@n4>OhGsXE$
zlH(F5hlw;_(mOQ8f4OUwbF0p+#-3>pJ(QE>Q+#~gcIq(~E(lAWQvcS$ZIwkv!_SDs
z`Mb*PI;OYIEORLiO=+FNwnMh`*rmSqQ0qfIAs7ASi>(jYMQNTfda5xmYDO6gzk7Ac
z(Wz_-$yr9z%4}BL5=`Bqv-!%Iiq@4&HuQ)6+pNJW(Bh=4J&lFGv3gqRyjhyR6;iW;
zyn02Z%(hC%@}4kB>(R^W^K0vGc%IZ<GOsK=XtAS(V0(m5b(8x&+f7E{%o9vZJ)fSM
za?|kgECc1(Bf-@Xx$4T>{e2H!caZTne#;^E=DSXJjH&TA$75Ggbow4v`d#faP!2tE
zdHw#R&66kIejbsk^G>ig&&Ta1U&@lMieGteYq!tsS$R_9t;Mdw)nzS`E0yLI*BeMZ
z_+}X>(S1F>kn^UPS*Uc=gb>B^tQ<3?CakyGxz>BN*%5`4t9omht|n{7)og9Ov(M3*
z<L@fNi_=poQ=T5TI~dr1PO~?%DC})#anMoQ@CDx=nq8WwBD!9R<A|>B<!LWIFL7Sg
z@qE?4%1eGAhfWE)bJ^rAhw&s=n+2aAeyhLuN&eMAt;-WKm|E7v+!UR`;OXljx$Bz4
zsWt_b&@-Pm{tG(k=aHxIR%CsVx!)Zx)85WB#}lh&)G}EHPYzypYF91CIS<kAF%Pm<
zra8JC@@rv<>kV2IIl+0=hUdyBW=Qp<-gta<g~}<9uqSVX_wW~Pz5VZ~@5g(0BYo2j
zxE3p<)U%#n=Wy!Ek}2Ao%43&K(RK7%)$v^Bj6pH?%Aft4>K0|Xn0$2%`}{_xe6`fQ
z4PEN98!AEy`)cl&R@=yJ{(E%t$+w@s_<9RW*19zD`0ju+vHohSXFQnfdHPiL*%`3{
zpKokgR<*(XuufFdjF5$X8O=LiNBD~hZ&eH3qI`e;1-alI^}eQzL3Z=6Fm#(K$cHXb
zj_2h2uvBgSow^q_n|P0<M}A&6b3OZeMccnqr*HlC`9e&4?#{hwb_I3I)Z*9vEwEd4
zLXG9;j@&KMd$RZrm?+J9p|JX6Ih)fmwI!374Rkr3gIp~YXVgAnyQHTj{=2tJ@S+TR
zWbc0C3Hqi#pZG0KvY%1kueFZT!7gvMtmT|8-ivqGA{+MyPcSr<-2PMgGAKQ{D986R
z7!>^u`D`vKyeN}*)33TuwoD9hSr1OG*pg%`(!XZMeMzx0?#mfJdy<ytNAdfnObGq<
zx^c3x>4&bl`!a1M`n6_RGB3`}n<cAWq^0JvSu(}+Ull0doJv@Bs$S!EV^7FNpN98Z
zSBkZszI@!pd(l`cMf9Ja<Gw3TR^JajP!qE8jAq5Tj@xdw^MmHxT`_~-c9v7vjrH7*
zma@g|Wjj<6BIqMNr6NztG<{>OaLLi8j9Z5`ZAp-J`SNjJpGN71E{)la9s6<<54*Yi
ze5Jrp_;dC8RJ~KvCrGM&Ie4bE-apJEFz!0rkD$rx%S0d5lx*b5v0rQEldJNo`6bu=
zO;JhGHaBXnUNRSbeXt@$kVhh;`0|RIU2kV@?_xdJ(wZNwx`|auO?YLa#`Sp7Kr?2+
zm5*k~?PJ?I+x2U<fKTX;6jrV3A5vF7yt=N?lP-R|VeSoAp$PSDrc&P{%D4Wp`JeP@
z&%9@!uTEMU^s)bqxY=yIo6d*kZB|K}AD(SiTa|P)KGD>#_VlN&DEYgu9p8VlnH3Y?
z`;#T<U-kZn{8H?x>T`cBsCvG9vb5RDvh~tYW_`!}UjF;|A7vbS@<cVrF!T{MA4c#n
z^tIE|j5hNyFx-`&e&9cocztn3VnHf=#%XF~b-|oa(fhk^-ktOJTWPU*|LjYb7T9oo
znRs_e=BdRSZn|$WyXjkQuF7~g{gz&?%9Kmf%(E7VzGmLKw1IWbK|a2w2ID>VLsGx+
zclAB~!QbN-Sl}Z2gV{P%<l~&`_jdbkOw$Sew)=VcdE5QP=WM^%l-F;*-V(EpKTa_F
z*z)vc+wWbNsvUnn^p4TiwRfh@x@EaFG0VL&GyK!5T=Ts7_x7Zwhwrhzc6;iKnJ<GL
z^{spRRQBoH>r>5j<DV4nj7fj+e_d+apN^%fy|L@A+Q%(m@4T;nty%F|bMpKBH98vv
z>{k9c?xHePL-kFF;%>XSo7pclE7liq)+-t&<=-(f-8+?8_@JSx-`g7&TUW|3ZU3;m
zYjKqoldsZ*v-~%1)@a$znZeQQ9^n<1#Ih%C*9^TSmyUA$a8X!)d`F4`*IA{Ysb7t`
z6qM3p4*xN={oK>kS~Y9iB6S6YL$h|Vu?ntQ!TX$j^GPv|&Hz_$#XyehIg-Dbl$74o
zw=6pF`p(s2rl^3mk7hNpIGrkRUCp{kDMg`Iry=a(JRgzCogr4KmqO=et)9JV$2z&d
z40m^T;T=f{I+L~drnMbwzM$xk*sLnDgrVkEC5uH#*MganQRZ$3m;_CdmtJIAo}kt9
zJ;QJ||3RmR%XNc;xOEOyK5lZdnA2q@dmu@0P5pHa=jj_(J>++JV6uu)*tJf9Xa2#L
zRv$MnOS;0vxcqV%?~UnvPuqjNybnn(3O%1@Jabp4#+=C2B1_~nRW1u!c!$rK$f~n4
ztfg^L!M2kR6JA~_v6-)<+P1i$uj7i#)Y$@ayk{yLz4&NVScF!^+4CVb_6CNx7*D%g
z3G|f8Z-44kZ!yJKvc)>FHDmVbb!k=$qFu5l-W1)#o3!X?r}pPUllKM*$yqF464qGq
z1c$nB3X#Zbk5de?c;2GCAt*KT$hBg@7r{jrPsoHlR11IhIa!tOFV_Vwjvt9zKPVYY
zd)e^WWeS^WwMwAQQto4J)^qe*j&&dI{F=$U{Qzr3;IfL{E9(uS9~_brx_m-P@X&JK
z(^KQPW1hGq1@4$pmUF_7Pqk?0mkqtYMOt0n)=0Ut@`Ugwo6cCmbxiKWw64Q9Q)W4P
zv1l`irfM%?UC)#jDPa_`<K~^Jr!Fg5+2%GrP?RrXYx0<OXWk0_C&hj&%#q%WK3CW;
zaUNc@R&I4xv4r5kg&}Y2D_RxR*F175RGGhcYT^m*>=iRS9xf4b_w-TKdbF#{qg1w)
zDX{-WYl)iWp0JfJ3tt>4k=G2oeIQH1TjPI|L7Q&-E9t*nhpKcZOcvb1qJ6TWTeIW9
z4vq&agd7;uT#C)|`}_GGo_-XRC~9OHudlrE+3V-pN<R}0F8Q!f@#+@6^7=Hp%S_vh
zKX=qLewwrL{GTbp2hZde?E8CZ=M;G}uJ<1zG$(&NtY&(uw?LzNfz-{TL5j1FELbPd
zn6fA!KzhpApNX44^gZW3$!VV>cyHpyk3Re5mwnBcD!*@q`|8;by)K66@USPinW(oP
zf3c-thnM8?@HYnv>;kje)-K|V-{80-s-9ud#(f_n3^{JEVoLizt4rYc%(tQo#am@X
z7s|KJ^?K2%&3rwK)ubTSRe||>IEzt%v+E1}sDi~GG!s=g%q3-V*&n8<e)+0(czXz|
z$)BZN0>_`mhn@ai<<9g$^x~TKzlk$aUo{DKe}DYT;7*kKqjmR}9sS|eWzDnumCKyF
zYmZK?H{{Y{iBCN-{d%@@!R~2O^xD@O3SMBZP?@OnpgWg;vDMWe?HbwjcDqDMA|D$t
z+)p^PoPTmd{-!8j-oWT6hWkl3_Mg3}o#5?pd#Pd#AD`!r=0x!|d%D{`pWON->^%RL
z!`o+OTr?^Du=0bfnpL1}_nH6uPx0SRJrwM)fc4uW)|`3<=WV_RBR2GNzGt|{R}{Eo
za%aIJi;3Q1mzsaMJ!}8Fqr_yUZ~H^NxjJ`x|2<NjTVO7{_UFY(bI&R!bI#oGCaFyA
z@IyJDsrSA{#IL$=pY48fllrF2>XI9B8-*X=R7%^y%Xj5M66@0feLXktZ96w^Iau3s
zZ^}6q)`xX!vyK;K7uWyvF3LN3=fcD0z3lN;Vg=3meC>Asu5!oSJ6Uf0k7M!qdgFcb
zKhFLc++%;_htfZx$JrGf_fP&X?ul23wY#zTyJ*xKwt~XxC8ayRG?ebNnRdJM4!`-1
z39pnFS@rJkFZ)s5lmCR@c;2Ju!dKP)6(5ri_<t|y$WQJt_p2cblcq9Gs^4w8^Lkd%
z&KbX!7$#Tc2)Nq({#ISjV0YsGxgR3+vp+8G*?;nf>z^#S=k=+7`n4X#_Wb_Icr4yR
zuTa;`_Jh<T;X0*{K{IOGJJugznb%yB{X^pWhtrxd_Wglwi~ktx{E}z8^tsBEst2!&
ze(L;sS9potYJ*JLe`n45xgUA<&wim-|J-@c^!>+v6!(;WHkZ&Zkbiqf)TVyYiqKs*
zZ7$#CZNIm4h2iSWJ^Ro6SlqKiK7Ge$`-B?S{jJk~3iAjXoSc2~87KFt>Q7CFqP`t`
zFIPEp8khW;bN@bFx09Et5Xy}#c(L~PyYGSDbSyr-cx{n#=`Hhvyqizr4i#j!m;R}H
z^lZ)4`qf^p?rT(i@2<IDy0MyL@0`6?9XCAx*c|8k;ef4w7{?!8Ar={y?Uo#IRo^U~
z4Ss)d{>{^PRl)Is<A&3YA6~Kl_UTHSzgCDvN`=Fcqs*Fv&o0dOVl#g@M-#75i!6)0
z-LKnLO|krS9xQS)`@RdG5t}TRyYuEHagN;1D4D5Oh3ea;*&MrMFEj1l!l$<``P<(W
zDvq#vwc(4G>1y|^(u;QzF4VbSI4;AIe=TP3Usu-xqwu?Y;s0N5D0$NPO0sfImD?WP
zUANv8e2wR8+CG2%ln>0NO!3Vu4-|f^n3wSF_xF2|nh&3^R(<2h^xlReq}YY&zEHXB
z!Q*`#arghL3m=^LxBlwg6kZ_{OOAi96)a+ucdYh$kT>DLV}&0_96z{CeQ<$)wPse<
zgafY?c06tlf4HPwpuN8ORo$%@mP=R8pV?#Pw?5V6oUH1u_b;7GkM$Sp75<q1Q#!v;
zV*mNXKNjkp8qf1%uJkCsYi?e@GCOp=e>!V?=GAFSCoP*2GR@Dx_2Dbc`n&T&%)W@U
z);DQ(Is3o#TX^V7u*h}JWh=JaZBP#V#1(A%?m)nd#f!DVl0!~b$xdCz?0nABYL$Sg
z8|x~=RUYhZ)B7#0UiD=6oZENo#RhAGJ?3XG2Hy2ezVda;{41?8Go*X`LuIdSF>yQV
zlentd(qNDE`HO+Q@yTDDF4TNsD@dr%%b2%#ah{gcV(FeZ_i!<Gx9_pb`*t;C^ysPG
zIxjW<;GGBa7cYJ%Dz*4`+o3D0hZ}buoV9rII&P`O*)4JI-`UuowH=U=E#<x-ahGq)
z{1VxMgglOO7cIXW>i_oZ#jNEm%+)I^dK~t;W?%Q?+se{=^&IQml-{eW{+X5P^)9^o
z^-%r!Dcc0+t)Bn0*D78`!~g12;SF>D{M@jsX3=wP(Iu<*d0t%<9_q3AmihiuYME@M
zR!iFTlpXiBmz)n}np?2zj(#D#>GB-6g_<k)cd!^c+dBQ~b=d0?<+fn%RL11qQxR;v
z4lgGwCin8~<5~QG^VMY?Ww!;&S~~?bf;Syqv#?C9wf^D4EhksZT<CK$O!>mRlV&1&
z5^Hy~rXN1HHaWKEwUqF_nV*)O4)fD$=yGSS<!Je`Qp{I6ech7GQ01yBQ9t&tjFaE0
zDXnt5xsdg$(h`%p%axPny7kU#h;eX=C^vr^u&LW6E6U49)6U9z*81?D%lX!`J-WrX
zN}#gKlSy=XOva=7Wv?c8_c%P&^fzTPP1rf(T0!latw-ft7yjyEOPaNrtwgy&KURLv
zHulv$_jLVbd1Et<p2-h8xw1F<*&iR#_jd2jhvfb!J-OAR*0|*``#f8zpsl|jZA{PD
zvf=Kd6U+XYv{!ChzWv>eleVAMRPDHaAg=P9(d#w7Ph~I9-hRuly6R~Cw@+!+!Ih7%
zoVoIB)7`yIQ^M8+zbfnbol-Sb^tZ^{PrOf8Z#z5X?TxNdvvZ5t_e8Fn$?x5t#yE3U
ze_2fS@6;l@TT|Gr&*{!w>wnm5a$AGVZn-{53ytZfa{1p?_)mte4V%#w^w&3Bn)~!+
z(-rl3ml9m&Ej+l<YQkw_>E&<dKGCiJ^ylZ2rbUO3a9UolIDN`fZmPJ+zIFMNwx8mD
z{y28~w{^a1ugY7@W(Qr?n||$ZE!(>Z-qWJeuiZS>mK<+i8+=r!>vFW<{erimvv*GU
zSS1}&nzA@}UNrw?c^3T%r=LGIt8B`fuf#6x?{{7$UgLarO>E61{Yk5(<Hct`S$^u@
zPnUYv=gf<vbc`LjyDnRwUMjtP>dx!QJ9kJ6{#_kub#8B6cisM1FCX$G{=LflQ0?@m
zmG)Bo1^!34&iLeeOiNGO!n?s{W{Be1*#!<u&)ZrYcwNC?{@QW=rG<MRyn(N^V*szU
z6G#+P7w2VQ0AUf(TD#QZ%=EnY$-1UO;B`0}TlN1Y_=V(VFl3d7NSg^P2$wx<z=J@^
z(_IQqE&R3QQ1|n+X9^p)RyTihWXkQ|QhJvqDfIeQSH-<c?=a3{oXWVGQN}LMbL*lD
z%Rle@_~Xw{k^AR$ZQS!76&*gx|L_4A{4>9E8w_Sg)fX2RgK%;2<*colv8%6UUEF0h
z`)u0gn>pLou6?^^`_`>nXMQ!)5$ishwDHC!EtQ)yA|m!TeSJMWJbiZAwzcc_seLzH
zw>EETS@u@1tFNwD-OA2bK7a1KxwUR(Thqc%zslUbeBr{mb7#&>G_01q`Co1KtK|RH
zJ~}*aPG{ZRyUZ(nQ~B5Wd)47uQ@xfN&Gb>5?4csm=_1#E{PD#M6RBRe<cU8#o^KHE
zKc@82`lI}`)pHK}{kd;%=azTNY31ZITUD=iInA#OsS3XH!%`>Tyzq2!G~eC3KaTpc
zp59Pg{&@f9nXO0nY>FyN6{<85>x?&DGOO!naRlFDc_s7Q#!b)LKYachQ&-=2XUoyn
zS@Q3me<+q{GnWwCu<jr0x!m3NY?VdqqI5ZZ7Y0o<%5haWWW;kgX4W%@3C;@>*bX{b
zHv4!;sE5DYInC6i!64$t!=~1?HEJ^w8Jk(9#0s7|9c1I?_?W^k%Ern5e^Xe?vI7R2
zxb69^wSUzdzWcUvO|9KoyZ2euddc(ai(}{KZ}ePye(S0$v*umBbZDOQ!6i`_@7B0&
zHv953PHXZ9H?6s$()T{xtA4-t`@IisljFg_Pag!<KP$1C>$f~I77YGJFYDbJGiCpd
zr{|6yWxXC_Fuf?d?wY|~)BIf3rN+8zm-=W1anIbEwl*j`*)}^<b!*?n=k<kcuQN=t
zbo@#+Vub5gIq%=G+-k~?8oT-DpIguMTYfq6YL40Lv(NtfZCa?S8?wN`!tv1O3WJqf
znErfLzHof|^6A?*K6%9O#&_+@N~zP;@sXnC|L4l&RlMK3aswF5@KKw5^3Q$Sci~rN
z&3mq#yF_g5kyq1R>$M;I`>NaeU3mSeC*{tS+gB-_UUcn?&iPorr*~g<|K7RLqekt0
z*onm(J!15xpMLtOJ~(z^Nn~Bb3Wvsmrj~;o0&*Ny83z&$evUX;obuqa1!EI48yCaB
zpMozQ-@bhM@b7y!D__6Z#mvGo<8j{8M+^t{?#$erdqey7tgT*aUq<TkzCE+1CkK=g
z>rZFj`pRgi!(8-rN3grhHR(|4D^jMsRmYCUzcbKemVV6D{PyT9?(A+Y?pIw=M~~mv
zpU%~JNGm)wt8*5MZPK&Xzq?-iZe#d((3zc2+9XBeNWnoTR<0Id6&|;S4-OX`nc2=K
zO0@m__J!-t&%&>NJ}^B0^KVUEDASdFv-<BX<}In;S#@KNw%qOVx976LzXrX|nl*2C
zjMkGnKl9_gy}v8JTk2e|+<s@}<2t(p-v(2M(!Nt`w{MYYy4fH%UHbUcy~Wk{zZWx@
z-YJe)_I_9Cy|)HC=kK>|f4X~JOoP??ZCqAwGS=U5u#+p>virF;+ib??`yH6>>^M+$
z?)6dcOPPDqQfJnGdh@2|m7BZ%$!Te6X;<HD%j+{eed^Sj2;Hxm-&B;9qmws>El#|+
zaigJG_AF5@Hc?^W-9bmM&51v^VS~Y&%bP@|B|hJ#oM8OGVM0NK&pQzfzZ_xt!{@yx
zNGK|?bUcrfw<&qB!O^+RiAQ{%@!LIdx$94V+x6e~x~#&3`9Ew9$kdl>e-Ax%mv`x_
z1phmRq3qqOA0-&`TKs1{RlD0|>+@-!Idk2n-(BU+{eI_{pWCYKYWKZlDwue<S>vyO
z-lwhkcemEP%$r)3y8GJQng8N;A6F8d_x;ASjSZjUA8gZp@cOS*cldF=M7G@O`5VoG
zR^I+O_t*aQF8g+@eJffUdj0GFWnb$z><~3En4u*%(|Gc-m;e99djxIXTsbdux6x(J
z7T!mTCQg?4IHx<nxq6@B8{YSp@51!n?Y<?<?)cOH?fb0%zoTx)=E`3FF|ofg)W3Ss
z{8jUonLoYy_%;{E1@-nN#U`!2B8o;X9s&yXHD4ZVbav;HG2g)(*L?Yb@eUrj=ED#4
zchqy=Yufxkdk5FNro|7`cXS3VaqHw_eKmQx-yE~dQ!OtiE%lnB=Gn#aa^hmQ9x+X?
zmKPHiI<>HIa<RPVpKn+5ttO`J%naGK`j3}dPmAeBZiv|!)Ot!pGjPEXzgY&6i&Qu#
zDZ6zENGhptOpv#$`fy{RGJBu&9=2Xdqess(&wnqj|0nbI=gs2H&#O-yudR}6n0I{n
zW5%*Qwts&g(bb;I`s;iB3U&T@)@83Q%v4tAn`54LYeVE>HQrgqX{RPgDy#9#(2v_y
zaC4C=_cZOWRRJ@VRJo?8W3k!p-NEE`zIQQqzr8;Dk+GF!#bU>~w`K}XRH^sWI?H%j
zT0!8X#&M0!pV)*MocMGaCvhDzn6Q*bk;$30tA|C%OQErY#dPu$MrPZs^E0Q-49PoX
zku4Es&cnyU(I`|Bv_G*_<${yMg&+4~)-B{bv(qf#yXh+ygBAbxq-_83uPx2=YU|Ps
z6DMh}nqqe0@4Y!$6`Rj4ow~B`<^0B^`t8DDE$JD>4<c<pGe<JW>1}_oY!&0*d3=X=
zhuu8M+jRAa{@3k#wTHzS82C(s%gdjeP0^YiYu&d0w$r`}W33e%pD<^9U-Hi|ZnAOC
zTGO|V%YU@5)l(GLl~`3iE24Ap!ds=A(<&1g7;P7?*Hz12owY43X~K4kE6vl4lN2Xr
zY>cRP(NPo972xP@nRa&Kx<^jog$q_&PS_fA!b@&ln)N4VzJ-BaX*XSO>YUyxVjwP`
zyw)_WXX~*j-7EU5J|v$ty1d5pnvU@?iR@C9H%2GbKHke!4?k?Qvhe&phD*0AvT_c*
z&X`kXIzcb@%GRh*?`cK`LhJWFQ_StR3r|&AZ#-e^jrtQ_F(s-xTgAk7b#eRlF1_>S
z_rxo_hJs(CL>iiO1CM>l-CZ-q%4OS*c+SKS?YoOlE6g#Ob9#GI#DUz;(>lG3jgM~1
zV`&p^O<wxee|fBFZuyNZX0^R_Ez%o~orqSx)+6veEhlq<+_Ju7C5kie<r`mSEpyKO
zp83VU=F;|A-AY&MtKA*ho_<WO&i$J4>C~meSzjvW?+G-1+!nmHfuZX5RJ#)@jVzog
z6&!B9)6+J6HTz+m(P8Ji-E~6N^HA0`0UP@`Pc?3qv2pvj>0DFA#)HMP8xI}r5`FZL
z?R>5?*K|>C)<cr>HuH*14-XR%H?G=e8W6K4LV+Xg$DcHh!i}4p&eY$?_&m4q(WZYo
z8Vrw`rafO5BJs}B=e(p)=Zvb?N0vSdiQ$h|ikp?CHAi@bjz+>dCGDv1mW%T&f9;sD
zIK-OI@P&l~bCOWctX&tH7fznNyF75(l`sRw_eM;Dd@D~WJzCM+J%RtdK99m(wu>8Y
zOb$=VWA<W<a*pJkBb@O{Akc%k{`z62FE4i-vfipRhdKPhoChiYTW-BsC6O=8#$U!E
zzoEOK%R|Du;N{b{%CG)U_lRs%74Ts0WZZdFcEO32Ru0Q`k{_EdV3+=6DZOJtro-%0
zpIB|34jvFa{oLOoE55V-bvM@q$r~=0MSlc}iEGwfik&~}b^2?ibfL^M_hNbcxO?iK
zaC)X}I>m8;dx@u-qy7Z787zMSiqzG#S6d#tlK6eW)VUKECn>J9&I%UXE8;TG_NQ$0
z>CbOjqxoZ856Ya@KQi5~C^DVf_{Oo;4!6ypmghJ&OWVg}sqJ0hqO(}kxBI}Pl`FCn
zq|aquQ0_Qo@r0wcv{az`g~H!W40onK`lP=@yS{sc7uU>Jd);0)P8Vx_=Hk3RqThGd
z)L%wTmo_vm`6GLiJ>4itMgGRkJKn#(-&L5vwKF-N=ak!9hxhi8avqsS6!|%YkF#yt
z@httq<;xqsu<ZC>zTYP?NwVLk#q+&hCi~$tYd^gclTSS%?ZEIL-&MbH<!5i3ihX9E
zd!A3r&llKNzk6NP>;Jcoe%MoI>U7(o;(LAgPO-GzbGl319#5Iw6%x|-&gF?d%VT2?
zzuuj@ygo2_&Qnn?E?#vbyX1Ga`TxhC-!Hk-aW+9RhwXO7O1lL05SQuhy6esUT`Jc;
z>^A+|L5D`xlUrOk&YV>2ySDJ@3f{$U;{+s2g??@~4Vvcg-kPa?$F{eNXEDE>zH+tw
zoDSjC&9{Fl=)H*TxMse`;73?<N4Cv&|5Yo}_nB?F`cRoUTslJQ_Fm<K0vVHi?ewKT
zzYx9bd1>pL9cRDXS7WW*)S{PsbEegvok8=zJUxBuyi<Bj=mHJl14YcH%8eIvrUaQf
z{59f#apc^c58CEaVjn0~Fukv5jZ;%DJG0mQiPC|YCVY0;E@eKGy4txX<iG8Z{H4;&
zrnT>-)2saXsy8M!cd$<3Rr{mBy=>RyEoGmK<QSJ~@SjW8%bn%0Yu~%jd%Qe?38E*b
ze_za?cUgp?)ZO9m;XQ^{XEYi5tQl;|SR&qZY?$$}nN!r0Z#}=^gz4tBceq&?d<7g8
z4c0Oo_#!&fW!aPE++A+<_xb)C2^}ucXJAnP?N&O#z`(%3(zn23RV;gVQ(fYth})tl
z^GuOvx{ThQm1ST!Av<}Yqa3(L#dt#2{82<sMVO(5tCNC)f`XZ)MIwkcOi400@z+Mx
z|GMA}HKyHhzA_=tPKh2b{^7Z3`rK~yg9q=&$Ob&WF?Y+h-z9H-dFGw_|7CAxMQQW?
z&1dv@?>q}L;^O^!;nkFzhnBxq+Mpyc!@=&@ypV!+>nYC9OW0&)^{39Of0ZD`G-p9p
z+?135?i*U~c1oAL&ZT+S<j>dCQ#Yim1#0x9m@aKB;***yP}}6)^Ic-|TAqg%yF)&z
ztEkM${Kg)|Fn^<Y%j=qy+dDbBPwH>r)e2MFb~4j9Y5gn@AA`g9S3F9qSNAzT|IF;i
z!QzTlUky)vH5Fej_~Ol;9G;2gckJpnM7lUcF*iqEcpE&I@x<;goLX`pj@&<__HoBl
z-xV5bZtu1KD`DmIQuHkAe1pF3$x~`vd0Zb%{_;5eub}pSqc=0eZoMe)+!1(%XU<yv
z<NUnoi%uT7z{r2eMf^vdThsOX8`TvLIUTdhJ|Z7jw=PMiVsW%y*V>{>8!Go4czz^#
z?wtBVlU98C-rQWrdGp!3X}ceDI%Pg8=~rAK9%1ptfb){*!S_4QoI9}CSHV1JQt{!A
zpYzhRp5L3jHUGvI4(FVIVxLZ@v9js1Ti#omETO~ExaglqoYj^%#><k86=H(gmvY_X
zq(hdTaj|2SuART^bKFADSruD@1okOczd2FE9AiBFb$$A#-Fy?C#<^U}->xiky^b@O
z@m})MC0kZa{^+%P%`S~w+dWT*Cik!BQ{uSc>~<o6-CfYg;*RvDt%63}_Y)VbPxjM1
zIW19e=b3*_frTxFCLSgm=U3LN*8O;?9xB)rQ2y+kr{=Uhvi;Fpop;~e8e}F_wQZf_
zZKqsACWSX;Vkgekmzo``nW(rsH+g5q1m4wGzJw$<^4z$$?UUKO+pE(JBOiBvm7Bd$
z$tT7jWZT0TS3Yf;-W2wu(|yXNRE}wq;*)RmidgS->J<;YEO#LCwz9^AO4EPr0T(Y-
zerfuy-gmRY_8QaYlm9*l@7z#yT<q!@@f(xm%_b)?cKy|U?BMpJ&th+Vl~d>TlWQLA
z&5~TORBxKhr1!17Z#x!vG<esGYVs-N@kRDNe6l{&TjuIFrH^M$uCqv8#F2e__PNJO
zOxO2J+t#fkuBu!fs+G1XrN1FdivQDss+YRe9{X=Th?`-yXnS~rt-E5xsUv6J$-I$g
z>V2F2Yc9WaA9sRcN}3G6;Q4-;ruwQ@=@lm*Kijpe^WP>8g_7&srxQ2!-v9gfzxcw;
z+@mv|HvE73TR_5Z<;R+tZ8aByzm^7Hb~$HlSi-t~+VhT5g@cBCM$&7ZOPhY(wSJ;f
zq)Lv(9KpAB?pr-%pPq@AdFG&VsC>QHCjN;%Jj?-&`(EDI-o%^9F<oufOr}ep-}7<5
ztoN!G-gy1<+g*2E8BRv-P=EONo=KVb3cdp6^IC1$n<E3+U;j<HH>EVz-Q`Wj-sqXi
z?_AD()@ie9nWweiyEW%D+uB9aZb3I}b**mZ@m)Q9XNx8u)9kiww=}tG1vXjSsrz(E
zY@rhir=_y%@ilwq2m2q~k|^q}TK77&IWA9X{m+B-8!o4v<}QAr^Mj#;bHlS|`uf(+
zJPUu^n_R!lXX%P1w`VwidB*d3mh$T_)_ab+J1-LZw(T9qnX_&8SMLaa!t?BOSHF<v
zg&MUvMj~t}|1xD%oi|TAvozCHaYA0ssmuNA4jz^<HVV;WYn_rI^!f9_L<<|Xr6T;5
znGC!yLuSvPGBu^1)5iRoz)K}Rj(wAtZ%_z)$J(5(o~id$kAdHWv1-l5bH8%_bNuaV
zW=VTFpKpVI1HaNF_NQMa``%TkVK^7Jaz<Ok@g(iu_11sawp?O%&9$yu*Ri`jvU!I^
z`}@03Zibs1et9YO;lJu8!Q+gMi*J4ItXk4Gb?0Wj<C<X|pC+xd?y9f;)T#Wx_xhd(
z)A@7$Oy9g|!N-<!96#DwMB4H?OdGQ&HZ?C?`(^Jnp7xpPVYgQ6O%rRX?Gf4JzS-)E
zUc2+(pBF{*XUAl%IJ{|BRpcwxvn%c?nah8Vi$DHu`u;VGbBg;9M7U0M^evheSH3Uc
zuk4RK^R%vh*ktDRi(_s5y_5-hXX|^aItpB-7?ycArGK5Uj*Yka?bQ@1>l;lkKB%mU
z76{RdWsLk`bh>2MDf1@PJK;J9mp}cpH$E~^PW+%9b4~D~`HMGqrp_?*SX$h*=AMyu
zNy@KciSjKyPct2!OsJk~@wGYq$YMpO^~*L!SVm5tdhyGYbtk9QcjrrfTrrPBJ65u%
z{;bC9{)4CQ3G80`@=EHYWrZCcy;0l3-p=Ucb8)V)`(p7b%ONd$i*DAtzdO%9d&!(}
zBKfMu)AWu#yBwaBE`E3`MJq0&So8iY?yjAg?B3u1NrWss{>*yvTJ=tw5cPPTR`17)
zHaEYU87uNfNPLsnhUuXzoo8fSXk4$EyW$Fe7)M}zu&YpcdXf>#B<-ayeto{QSoV9~
zVuw5GnrreTY7af|*!KE&x#vlj<h24nCfMDz*~RmLKTw&O@6o&&Dbbuh+tpL0&0CjD
zx>TajesM`w!&(32DWP50k9-o`d*Wa0kHp}shntP&1^zf_ZLeJP{^%03wEy{%6EDfl
zy`-yGxlMGhM`3+pRm<{-V1u3F31N<m(og@~F3Qbc5xmIq+bK=|O7Z343M&|%1xa1H
z{ZiJj|HYm+o!>LApK4p!xTN9j@g=E?16&<noDKB&uJ_)>b=$K8Eh~i=*8N;=@2hXA
z`R~m38F7+s^1O-F2U*?LzbNj>{amv6S9#&0D<vsw+)TTor^G&fU7tHku(+Ccfm7Q4
z8KGa+91mENrt)ZJ;kAak%6zG90cC<OYi~`j*})wooHs#U_P|~ZlehoOW%)~aSIJe(
zxOkB7M%LSZSvs$GCHqRmUcPjCw|~f~BAJ_W3V!6>_AZbWSW{@s>uNM-cOk=6>ASZc
zo_`~7%J0fMyY<ojhI8|uZp__Pe@t5?zRF^Q@Aih^n*y%w8`fvuzcP83^7mgXGr4az
zMtwgjeCWhCrB7ag3nxB5<6L^i_3OE#WxwXj-fLMWrOv#tCR0|9oA1b+pK)Gc%Qio{
z;6HPNP&e=XO6S7!CNcNVZ<{XoM0!nxi2uT8u3u&`FRbIg<t?Uv=C-|f<HCrRW(M2(
zqK)21T39sw{!YkYUC497Qnj{3SBZK19=@WB>CfihliRvT>sI{P4ZDx1?|gS6hDo;2
zQat{k<~M&PcI8JY>vCmy?!TX#qH_6?Z$=)UhVkN6$IDN!y|VlNq1o|K+8jmOlgs^d
zpRgAADVV2C-GBME*Plav8rK8uF0Pxgk$wJ=>u>AdOzhL?F_^A!Bq4v(oP&bvk5^B;
zsQ*8I?z1ZsINv3g#C~_Xuv*DDa>29vM#?VBBmM-m=zB8EpRw6mi7(oDf7#I)KIil^
zcC&tQI5T@sA4k}X?&L=GGq1Dr>KE@f3AbTBl*E4h@KbIF{zvyVY`FNi@7nEfhB!@$
zzdW1oh`UT!R9;_y!jC;}p6z>A_A`f=UwJRsC1h;oe1P-e-l}s~W_Wrt8wbovxipho
ziYF(;X|5snHSJ?@v#pk1^UQj+?)S>O3lin8{c=l5W1TMhFoR2`fGhpb^GOYXZxU8I
z?yWy+acb_0%a^_e+u6T(d&Nv>=L3VS2kaaCRh1SV?T{6$KN7!%uYU8h-CO6p<7(v>
zJ5kW(#edU#%T8N~i9DNh7hCA=`Mz+2!NvrG+m|NY3z(+0SVOBL`%0btG^sTos%NhF
zbjMBX*^1)_qg;-qT0038JFnrcYtO2fE;6S;>2Ja+&bR*@G|%2)mlb_zc82%8@I=Xt
zFEb>R-1(04Kkogb$hcCwJ1V%j-Y1D^#jl`(=yi|TobC0_<=t2!FMoD{>V)J<(+_FV
zJC{q;?lSS!4v|b%ne&bNck%hGpL&-kPkdf-{+Hm1iAKyShaHY4ZrpvV^V7kr;=!Mm
zvj6%tkxTO2)$7sc+D=Het(q@pdr5!&+PSN*tJbYs|7+uxy*ra#1cVm~U6T6L8a(A^
zeQdMA5Ao(NQnM5zUcEgeBKTuf*6M{CUuJLPjC*ykcEPSAzvJug?oC>_KJ(u%#_#Ft
zy{xJxa&)^WS#DvUmu$Dq$93nyHrbT!rjERjWdYq4Ws)Z^WcwZN&v%h7ku^LSCn4*z
z=X8@A*Avl%Nef!`Rd8iW-B{zEq!P2m>$Tv)yDs(d`}eWV@oqaPKk4n|^^+@KN=P$m
z1SoE;*&v`c=f?|~ca9dBlcyw>nlHZgTju}mRa>RKyp@7>HC%rf=o*v%uy4-wu<RKU
z?N{#vs5Eac3Z7QlC0!qG`Ask8<A0Yp!Hmq86SqVKG^%R3K2zATd)2JJYOnm}{W~+~
zxfY{eIX`osLj4V$o~b^Y4qm#Ld*FtuV@TQ!>l4nizBt|#Ji(KHtGG#3)HQzL#94Q*
zU3-w&-orm_`_3#THvTJu=e1@OFPgu{-N5pO|GQ@^w)MwNs}nn{zar*{=sMTwsi6)o
znosKAscUL5>}au>zS-Z-b^5`0o@)w!EVxSE3AQ_)@ay=?ufaH{J~;7nqtfCYMaAFY
z+dqUoS1gieH5FgL#HRZu;_{p;=XUt@7|;K|P4l*bnN@@Hj4hs#%MA}sR!zIH;EF~}
zN7{MwC*PD#D6G}p%y(UTx%-hV%dZ7?+>X8e?!pPRLwWtvu73L-&=AquefxpHO8teG
zR>n`<o8lCzR5x|*@`Opn*M5Gee{|0Hl6#2bE2Gn`92a-R)T>?THr$z;b4{`DT?)_p
z{Sz{GTTEN15iv)C%Y$>pM487<NgLN!a9nRyYMQezcth@=IHqg8jJnk}YsKdW>Uyr@
zK5Hp(n=M^G=LV~^#5$I%>t<Et>}M<&HTWFLCY?R4zNz^41n18G_J4Nm;_W_Fzq$V0
znN&Tedl_K@D}pZF3ZFJ9$>>;_<XYbE(hJ39Y6W+$Qo9uR(B=Np&fcG4H6B%47e=iN
z$Y}1k^75?3nL3C6uh(7Q!fSrUae6+h=|QbEYWj~K|Egrk-^b-+_H0|gjUNjNrx*Tq
zTr+ds^@DEro?I<DDqVbG-J4{4j+x=67xK0|cB?<>pmm=8?!rx~%E1Ej<D1)<`aa5D
zSd+SV_Iu_vJuBoAAJwt^I9$jOvF04NvS!%zoj<2-{QmjLzVxP3a^fi+hqWd)t;oCC
zWNwzaYDVw}8T0Q~{R6j$b8Y@5^wB;21H;<m*PSKaK08%mSu{O*mE5LKqY16D{8nr}
z;$QZgN3?B!U7suBe^KqpxmZ62QwQ$qUv+MWGqc~mTFq9%TPK#h?(9#QH}!iD@@MbJ
z7BZfa_x=0z4c#es*2vV%$W&P0dF;KevY6(tUHWaNuU@&#3gb38H|ua(nZ0@IG=_Ce
z=S1eKoR%q+xZ66NcW?Ns^JSHHxm_I^cmq2hi%FgG_CGgE-r2Z5<4;K13b}xWJKpgu
z3&L1!UVVARY0hR4|2NDlVdh<P!AK|8sGK#n{t?DZi{5Joywgct@WA@c3*Vr<E56l=
z|2XM-iCgA)^>i)MmOtC(uiiB2;&Ge1Td(~H;XNv{e$Ix%pP{i^H(h&M^P9tI^Xt;^
zq?Ms(=7sI+C=Odx+~#>QORWCA!ue*SX?EE)Ow-<+4xgp4iQQdV?Dr)-pZe9si4sp1
z&ulohH|CN>@x74Qc5OU=S=8OmFwe12{rt0?(NkE-cBX&lweLMrk7m5Iku6gznNpK_
zdK!mQ8K04LZS<8luLR$Hy!V0UV2pvt2BV*9u|Y+PZBsPwUs$nhUC0rh;tf0g)eCfJ
z+$`>`OnKLTQu1s2w37=;Ctlb5=4bMGZS$2<>pu=JOt}l(oThBPo{_u6q1w38a>tSF
ze<!^N3u-VcmSfv(yLa8>;0fuu(mNgMdkoi`%3N<g`mQb`c}hUk)u+<892Z_XwPNbZ
zR&!>H-I`DBoA1nGUl}DKToJKu{)aE}3wmzWJ3TeJ*0yg#gMEKSZq?iBt}QaxV{NtB
zMODoo6i-c2{KNgYa6ZGHeQAtL%Dc`#c^V)tpYb_+!uG|E2d$ZYJS=oc{O4d%ox0ZJ
zr8obx?wFA9fNwXfT7~$cuGsns=x@5DsF3!!L`-bUy6a)D`kDXOes^0nLnANpq(^w=
zvV^#qFYBAH1XP~;k=!nxa9v^-=as~geK8fas!U2>&#?CXE(m`k-NeUprL#GjGkM)a
z#RK-&Zgxp8ydxFDlhmb_fB0UK!rn7Rlh$w*%={ZslX~<^$b^|;A51L%2~UU>&9Pco
z^vh^@O5pS09}=;r#03{{rkVz?WHbw$(=s{NZq9Ty4ymd2tR{LzoW2Gd({%ijg|-w#
zlzHv>^~P&)L+tk$nTHljH>Y0te_y89ET}_TsJkr5!8O%x@kSN)(@kfEg+i{)Rd3-e
z{+oHYmoamOj{;{O|I7JT%B|iWYi+o&^TPbSmmS*QzL~UIXBBtoImXGnudSYNp5}J%
z&g)nHm)-pDeAKNMSL)Y>=T_-_RJxF$Y*^j$V)NPbs1$iExpT+7&YWeL|2r~2qxIB|
z3CGIIGqdEvzQ4M{`h`W`z>GKKp6A1jr!-ZKQl9LWbSbr(`TU2?^+!=J{y#pSe>v~K
z1M8m}7e9QSQO^JFPlL*jz;r9)@8=c2ncgmIe;NN=dTUo;s`P_RYA+7P)JMqux^G?)
zqJQ=c<A(P0cRl8Res<I<sMh%_^G5k1hal;GlRJ+Mp9g(d`Ykz?W#^~%u*a4Y4{Qkb
zI-^rp&fmDN^%d*)1;RaE@8pC<3qx1ReE78Hv(3j{irLb=%b4!Y+HR<{tcu%(M_X%I
z#-+~<KcXWy);(lui#b2HS#;*gDUtu`JG%Y`?>^kbyG~__%AG}fe2P7z`AybxeEh3y
z!f>h1->rP@n$yJ_6@UKDoOj{amJH*Sg7MGx$DY|W;nZ9$&ZIMS(JVWsY_kcG690ed
z<gxWDg@TeJR=(+q+4a{idb;`tQMt=2yja^8*{zk1{=8b|$KiDCPY-8x{bt$q^z5xi
zo;w!SpSk?YzUlq?szqHb5ni*+o~rEpr?*1Gz~WAoUTpUEkdGnnE;|)$J)}O*cJZxq
ze(8%pcm3KY*0w@JD{PKM=lr>KA<oM$O?k2}pT}qAyHAbwC-MzS9vtY(J-zCWwZ2#E
zhp^rMzIFxAS@d^1$BoIM_co^<&q{5G=I~i-x8p+TnT~_?C3Z=55AV<FVQXGsGiy<c
z%>2LpT)%7XIxMx_pOwA;lj@)DLs#UMPInV8O#O35+A?Lcbp6W(p1eJ_JR9u-s$z7j
zCMid^zl#(IG)^vDdY@<gy85Us%daa5%oq4PSER)D#`0g{J3q{M`==^@J;!o)C7<%9
z9lr}zr~a4m_&mE#zps9AZ}b%IZPhvKN;g;T*5M7a>zgXEyVP>e6w|fl@Ag=@&uy6}
zl756^>#1GR4p%Jtu9^ls@a`*nxAdoY<E8$Z9M{~sEz9ms-m`B-?1YN*Y!M2V#5T{_
zBckJf#HmHbPE^b@QB41%UE7rfddjMomWg~75)S2RsSnC|RDNMw-mQtc_3mD=cAE}N
zdOhhSlb+up?^SC%@06FG_717>^5eEyZ+0NBUBKy=&*X+T*Ps13=1?%}e*Mms8JqYH
z9Tt{SlU|#3+Is1o%5=xJmlwb0Cw$-J=*NG!Sx4@rG0zbf_f5}&d+v7MSMd7JrL@%P
zrCQ^aZ=Y&z3w>w2`ej$|i(PwOb~*XgzbU=D+qm@UHx8{5>mR(Yt;P2G3tv)Hc8Z^y
z@=C$|pSfFrQiMQrmY&nTa*y==tt(Hpm>MenZc>{O9+1a$$$eu7i};;KyC=$aT|ZNP
zW>(U(H4NvyMMIKz&&mo9%Ra0yar*8}HD|W(+qz1}Z2PQPGhNhXoL!-({y$i}`%K!`
znNszB`eoJEJCqBY6Yq$+&bf9jXrZ6@r^HSkuEMGB_asdAdo;PxRD|E|eNvO-yf%l^
z7Uj3OGfpkctWysBcv{k+Av%7#4%3XZ?Tyot!WuK`OEs*IDn2``c+Dv}^F#Zk+__;M
zm!nrmsqDYrp~JgR_Y8;Q&%W*lD-R0iI6YqQvh3HwdN(V>SCiZqp82(Y-Bt6}RE2d7
zYTJV!Nyqg@KRYv%tMq|M$=7Q_%$@VRpZsgsJfY<6&fm+Y*9N>yc4HInn4P`(^To0g
z9R-sbMLD1RFEcY=(!AWB)!kXIaQC{}hb6ns(iJyKcZU4E_BT^hsnmY+^36B?pB7px
z=khGQ;&|lKc!%@#*6}7$mI+0vlXh_&x==kqf<@@}sjSHQ>InUaU~bhcOVwqo_1%8`
zXyEPoBYyu=?fe5;b>}=6-U=voe7!fX_QK>TyZcUhOz*8(nrZo?H=O<QxjvhZhg_Vu
z&wTp(+3q?PKO5&`ACHI4dF=Sy%IfX6`+ZN^>JMA!*NJa<!MT-Xer)}NKgGvdcNxrk
zB{1clno`xl6VaQ>q7T<ZJSo>S>D4;YEMFGw5XP7GvC8xLs+j^gi?q8`|E<}s**d8<
zqWr0Q{u744$G;D~_xaK3>G=I#VL<7AkuK@hLp`D49P^g%)A(3gxp!u0!Mn2_LZXqH
zo2+MQ>b!T#j@{S9Z**h5;dT|rdN=1ZQL)?KN=?%?$7^vfvpJ?Xn>#>K%5r1d-{s0#
zA9%m$*Djvt9K<PAEBfJ>byGgqAr+^eM_Xb}tk>$RxaG2<a_i-~YJH{SbLRbf{{7uC
zhnU+t>r6GC*B>(d7y0Otw++LbE`jMGC!<fXy<R<GvPaCt%*N7_g<W3!+eMpfG(Odb
zpPME3_~&!$X08QCb$MUxGfKbqxBOsFn|;#4?X%4OSFl$1-Lb7VnZKwcx~ZIBHvNet
z<3v+ixrV9Yn?miL&b{I<$-}Yq_Vr`4>+VeLT9jLQW{%;5?N$diYo0gG-lWnIQ!6ig
zlGn6d?Ze9M^7p4}59F><Iip)K@8Rn=-uwY(we@Oyyco+vzR1Z$OZKXs+Vh*;Al7T&
z;=byG$(Q96HYpY~{82mdBx4mr*|H}yi#G+t3jSivZBXv5{H%DI>y&rWuRr&lLby15
z)-Jt!i}xI7=gtd{uG-vYOfhHkcvo95nR_POXQ`h|rNW-*>X4Q{)AeUMd|p1uCDHt{
z$2#SCI`*&XyF}QtxeTr6+N__LE_B>x_r3VGT{idQ_8NbwUYRM|u2?4L%oCc(6ZP}t
z?as>ETl-J5>&-mX^*-d-gIm*TKd(^XS#xuLhriX<sXA8o=I@kbVPsi<fjjKg2CJiM
z<a!h4Ma}gI>yO}*Fi!l*)pGvdYPbBXQ;X(=eMt!1^;%uy%#wQE;xAkIor;PB_y1I0
zA7rKL7NPZH<+&Kvx{9e0v6EvqMoB76NtR=otW<bhNlZhgd2Vy~k?5<lsxMvN8FrK7
z@~&-)>mS_6mdQC}v3lmWLr=C|dGdU}M%v`l|MH&STdm~8rGH@Nzboth9+{paIe*={
z-R}EKp6R8i@BVsf!H1n?97^>e+$};@%;ug#tGDMjDPCj$mfh3yBKOMkyEZc(R&Px_
zFLEMNAi48De~jXzh&u<~8u`fHKP$_B!mRQ|tHy#ua?@-~-Q;hzD!1sr+;wg9k4CqH
z^LM1La~9jOcH!D`hkd74zCC;T@r{lWt*9$6X7PE+9I=kIW!`z<RC0vP1knp8YwJJV
zYrdW)X`<|~#HRm;_(A7OhPsb;3Y(tk$!OjdzcV0eU;D>hv43mU881<Ap0&7d^TUOi
zDG4UBFO_e3hADko`L8BuO<3>TBIgN5&fMR5>1lY7bM6L<KhOG|ziw~{p2X<pKKse5
zxNVPK-MOM+%HK7Uvu1wNRe_r?{Sw?w!>;a~RL>L5dE(p^r@KAAOvm=UTK#6$W(&6Z
zGm|g6&J@dN)As(&ygTsOij4)yB3(&+Q7p<eooiShylPWfsdk6!b{1dIcGHP|#S(LF
zUCwA<^;xiV^|LTXG1vd^gqIiFu{`h(<p`}Rzx`s`q$b6nT8SIA7JJw36yE!&D$G|S
zR%G*>w5^Qw+cFd5(?jxdo=M$4#@GI5m#x@@g&&SGY~cCg_wivP^D)-^M}LmLh!wN{
zAIG*zd&z=qxl3~<Ui{S<{ns;a^U6cNcTVZH+jsq7*Xaw+4Yv;TCNWt?vMtic=3iKP
zw7Dd==dnz9)51BsKSyjY@0)oujQ5Gakz@PjaApObTX!oX#n`a^{tcgO{$p!okIzWw
zzHxVVl-Z7(*Bz3SJS;;cFQ3sW`eWjqKdI*3%q2E!^k+C9Icc$=DZgw<5lbldl5WNw
ze<qro^O$XrJ?lo))E853WOyB&>{WO&&gqLg{{*{Pp3DBvUOxTGXT6ojWW2T?bIiTN
zz$PJSwqz%p%-=`WTH6)6C9CUyHt<QmxR#$fL)k{W?#ZddMBA^G?>$$kp0_M{#r?lD
z_JWD)MK4WB&4lX*dOx-7TlCuSsi5=D=Xq(5r>rhCzp1=qFMpoFRlhJkZcaV<torZ&
zCff5V{5x^#*N%>vk!CgBOOMREyqCM$=goeVDZiy!U;dB#Z!gk!>eyl*@eSH>lBxz#
zKlOP6ouzJ0oAW>oHd72)h<oVi>UXug3=Flr;B)Z)$3xD+1Fyf0G@P5wAhq(0vb#V*
z<z>c#V-mcw3McaXBb)@3B$Im#SH;*gi-r|EQWsH>uDg?QPWvM>Q`uUxXIsMs|Fj8<
zysw*m_`bsD#qqsA)G~LLdu6g`GI21yVchFlA#BNS$!!__d`|I%&tWotRUZ$$IWi|{
zo{#F|l-&BD^ae(|8Wsjt9v{s%k;^GF&K$C})ZTsNQpD3^eKG4^{ZI?#V%fQQ;=ZX_
zOQO~rPXGGJfx+84&o@Ylukv|8_<6bH&wF<1Z4H@N);9UnW{K$!Z*?UVad8%<PJJq}
zN%YNwKXao)V*(?WhlfnNyOY)1;;BecYw16~wGXC>U7I30y?%ps_mnAX*gcjCW<KfN
z{ZXd=^{#0#F)=Z7f^|az9pVEt&Ts`iwyuhajfiLocJQj`(+=CUWKF|fZEc-HE2TYm
z@M*^eIcP_;1lP3)@~ODoRg&ol59eU#I@GwJ?^H#r(W2(?6-uTRtwv1;wL>m)#VxE!
z-sAN3P(|ybM1#h|mG!Yncg@*fF*YAsqvN>#LBHQhy^oqLYWnNLo1z?agO`VF-(zGL
zxZtV%zSYVLC$3ToaSQD-`VjcivNC|*qVe^VRV;E#LgxP9UunF`EALaoLG8dpD^@Cq
ze((y=_L#m{YA2uE1?JVd4myWc%E$Jd`W$mvm_2IxVxgbsFA6Agi*P2@vn+Yhe%^h3
z`}>ARi3gr!a&k@3(pa-=<EIa@VF%<syz06dHsREjUeosL>I;|6dHvwlu1!ovl~Gwt
zDZ3s`;=0r;+Wz{(Pl*>FYnHEfUs7(@c<D&z%axp6Q#7nrE-=q};n;l2U(BRJvHOzq
z2FJz@_8r@rV!}79s+qQ;B`h{5&g5l%N!FwXE}v8-HNA{p&2>FztZni1&8i}$1IN>R
zB$A#hUs8U=o)GAoxp7g$tExRq7B5<|=w9X(=}WJ94L^OXv1~h5c;;cmR#m^?Nh@p$
zom9_!bKDy8AV0+D#O$eW9!=kxv*CTzrrY_tcYC(UPX5j|YsR!`8k(M;oQqUC8J8$4
z^P1Ef>gramTB352|Kvm!wh4Vb6I{D$Y~=(eD!T5Id#`yhSjpdW-t>cM=1+Q$y=n1~
zdoQ-4q%!W<^w?U~RWrA6|B6{^F6aJr$=sg~Q>Xd=KKY6-J#G8>(z_{!`ImOiy;(Uo
z--6k4_Bs1=@7_H2nH$?3Rpoi4vSYKrbJjVc1$>Y86xXvon$z%^Kb>Vxt%dx9JBNRk
zJbSpuaSr35GyJJFFB;or_r%B+9eBtlz2}Zp#tg?ci9Jsi=<(?9xWL36_l`?zi31y}
ze)$<rwGPdLr`POC^kDdWw0k=D^S$}Il{+4!aGd+6Q1if%-H&VabunGPU*(o3&p&-K
zZSyo^_H&WvBF=@MtB;)1dM;v)dBL`#bw&Fg<s7wq5fi#{&YYrYMSVr<9`Tf1J0N+=
zk~y7cj()+m12emdS<i`B*jrj#`WH@nWYbtIlCE*ie~xN_-73F1DF*p!Rlh%r^FO|o
zkj!g(=|=N0$+Rhs$0U+k7~7`*`Q0iWTd=WJtZL7f`!;cTV)wd_SHG{X&=<Q`-TFQ1
z;oi`S^|J5RKHeKrp)dP>?xE_XAMR=FDewD!>f=3&d)&vX?^o!H+<Sej`gX;8;d`f#
zRnPr!Pi@b1&iAp8_h#)W=X@V}sQPk6zR<nymhW;8_qtWQ^{Eo*J3sg8q`Gr!ragIn
zD(fY~yvg?AYx<5i7Nz*_4w)rg{VJ?J)9;OD^wOOhmS;_Uc3|G3&{@iMrmIc9f87$1
znfIe)%_Y&_X`AwYeJhE`oW49i&wu9z+uY#lU2We?&c1mW`7txnG`459tZcPcn6H<n
zs$J@ae7oPvV)lLcbT;Y!mbcz|_y2tLy}nQ9^d^_zn{-Z3dUJY{&RPraN7Lg&PZhqo
z^?hG`?d2`%XSMEbexLh$S-O8yZT>BD-Rh!<m9rwHZcR>4O>&*|=H$j#iBBf5D0lil
zirAFz_xs+ae6Me{VK3Wijc=I??-zepeRp5@+v>CXj%wZAn6LL+E^JrXvT5IX!_>lZ
zHof1NRTjZ7eWv}~%_HyEb3L_``WCyYc6#dl)Enk2zxmcrtDT&9-@7Jm^^_*t*`Z#Y
z_f>C|dwz=*+V8m~f6|-TDt6-9x|io2*3#`hf7om1pIg)SB;VV3`}vK++s4sSvu=Kl
zG@W@p`S{W2Mw<-zj3s+I?W+9b?CL|c&reO*AFHK$x$dTxYWMz(sgn}+AN7iU`+aqg
zenD*A{T(|t{@u2A<-+>E%R)b&;c2`pR)2bxr<qz<ZN>J)tub=%#n$}N>EHf&=fgYe
z<CET2>V0>b%JM79^Viqdd9~A%??1h6Uir<puXb|${oZePlkfliDjRdtbF#_VwK?w;
zX3nfEuPonwyl{GDb@}l^ney`5o|T8r#5DeS({aH0JcC2z8^<%>6k;9173u>Vo*GVK
z>l68R)bKHnlw?5yV_i`PL)*v9PQ@c{*;bzwo+;;1^K6nF(;D>$k7eioiLO*zdAL`_
z{u;-ItXKCw&hdZ2o|$p=$N7*=e*OW|W_<C{aqdogxAL`ZrjyB$;;JU0MqxE2B_$>8
zc{>kYm^V|W?f6EM${D^@Ya;z7*=yF-3vd~|?)x9i>@?T1@En8U7CsXd6RQcH4L8p{
zvzonlbL2_GJpb7LuEsTHuU^>qJ)UNEFU~dp@@XGW+4svf7#`th?$+IMVX`{6P5Fiy
z%I%uxgk<uKxn%Q;6D=MrfA%-}^S9qyKbr9_aKE<ata7eh$&!wwzBv{J_Z%KBJ1d+s
zC!k)5Q>D*f5r<NngR+Z2dV|5`W`2Iv3kLU=^-Gy}O=;1OdvI@8L1A`sbg;K}UuSEh
z;Qki|>v+ws1tjxJnNBgOn0@8U?G(ug4IlOV4HlMs*4uxea`v-|Cv%?UC|S<_{kVml
zGvwtv8_7KeZ%iGkyv|f?$_ZTh-sWOj`*)T08+#ts&)vmc=XR;`tkkEjIWsp-oW$^f
zIb+)H*IUasZOdBwATXWhdxOI|J)M<IK4Hd_&Z+HO{P{$to15__e&yQ@OwEBzY}<9k
z-R0iRi#0fQ)_C3ASj)$oB+bw6$T(J-c*l@CEoNI`_hUZubJ=HZt=f1>kN@v1gUw54
zS?f)3;+y-7uPL-XYKe;LDt%98*%db>j~kwd*vPT`))OPfrVlF?RY@kDn9y;eqeI1W
z5}y$-M{<be>PjI6rpgIwO3ea_Oimn*E((n&JQNyPOq5(SmoV?X<n&<c6qecD-Ek)_
zMC%&pi;0WQ{>|D~;vjZVEh9^2x#xmISI_8c#Z)}<V0pIO_3y*y_3hTHxn}=Q`Y9m)
zdzGF!fBN)Q_LtJGpJaS<L@X*~Q9`(bZR@}Gr$wieL%GwI{0b_xo;Zgo&v<`O;X%#g
zOV*}LNtC*+wCQB>Des`$9nt=m1v*xrT$vp^g)zlCFkNV~&ioa}&is2{I?XTHeb>TE
zZ*R}}cjEo-MSnyBtOb_aZCvYB|7)EOqg(LALpP`XUU~IsNNUEu^3#)MaIW56p{FiC
zA=ypwTuSiF`q#PrMOp_ZRcmFhE|;@A-gae*oadY1=!=5ei=XO6$xQuI`(8F<>D(FO
zbz6gSW1WIu&6Dm7S!i`~ru1~aL{p!69)6duH`N6smX~;)a_hLTP&}t<QtO?9>8$lW
zN`IBUEqv~vvZQIn^5rwipFjQLGK+V)r{LY3-U#a>tIWevgZ3QVYR(!pF=<)W@0HI=
zjF)UoR@v&NdgSju(P!~z^40J9eEo8)ZEZ>quS?pNjWSUgUPdD85?<|6`79LK6mgQP
zv~qvFp2~%K_onrEt0jz^E@jEzIqh;}N{g%QwR&|)-UPPAg7RPX72m7AU;liLGGj+r
z_Hn;ukNi{G=HzaU=v<)_<ZpYu_W#`1`>OB#zW@LEj>q$g-wAGD-c^0Q;l^C0_gl|w
zc_x*9Bm3>{UP0wm3l<5j?i72&vTv#RG?urXmmWCpvlBkb{NMM*a{s?I{YugQ6D+k)
zRIEJUDz#L%zkaTM{Ay<Jiy0z!-k&Wi)hT*=LrpSh=eoI>scIIt)#pAj@!Dv!BG_Sn
z`@Z?bC%0u!OPRW7)mqPb$4r4Q5}G-Oq;L8j?~$8v>~bHgP(Rxv{(9Y<)sE_OSx<VN
zkujR=@LPDIZY>XQ)oP2!b8=I@8K}g}>SQ^SCdHU_N22Azv3c$FiF^wlMo%q!^GDyy
zNmBL5n-zPcHs1SdY{06kSyR1PDk^dvbJ)f2l3W^GyIyn0-79(Ku+Qf=(=uCQr{(h*
ztHkd}95FkjdVS&V0>4|)hM|64<@>xl;tm!XhN+~LE||sDXYs<Wbyc^~g%6k5E8p4~
z=vkee^F-QW`)|8j?J9nY73!yKpHn{fo8Xbo(&x#hCXX3cZ*p&0|BJP5OP}qQifg?M
zZPHvfS()eTWQt3=>>76B=hB6XCh%$r&r+PQh~vPTnMG56f9A8ReY&go_^N$R%Z<BI
z)Am^!dha!qHK_je;@AJLstymP*vmzJxPC`)N1ybAiSw_RzE?jrIq^u&#b8IXxO$&O
z$wg-GSMaVBNZFQOlWKK#ONX?~%Tn+C(syL5l-9q9o4)&U*~TRse|+E7CHd5q!&7?k
z6~FUR90EUuMAz>)U^)LmjppONoO6Op^*7C1Gyg=@rPuz^5{G#HFH(}9@4LlWkjY}s
zqJ(1A#kn2kPN@uMW}dvpe}1yvZ{r!8j&5DhY*N7>yP(;F{W|Yot=*X^``mcm2nksF
z#2#W36wrlr-XJ#?*i7`g;ULDqU@ZpfyqTw_q`{AlVYC)I@)ES%*Vr7g+&9_M!VtXN
z*UZQm+`DT$adrbk*2WOU$%%Qw^?5b*4{o~8@hIOVs(#qu%<^ji%U5(Q(vVvA!a;;d
zgy|FGzM#ytHFe2Kn%*TQsh;*emk!VVQCDp7-+IoqoX9H$m!;0TK55eo4rOuV32ap@
z44buitKP~W%Lk_#g)CFnR9Hq$P^i&mI=b4RZpjLz<$DwygO6Qga`9v@Xq7axYpYjc
zbXg(D*ecq8C_jJ0zQ2!r6YeE<doAy|uxkY?=O#TZrN{{j*#2ZldJ46AO^6ZXVlh>D
zpqY5;<}QtEuBo?+b3d;5ZhJg(&vxTCkG{XJ`8Y51<Rnqw{f}?o|LdB=x3PjpBmAO>
z>YlSRe@xPzbbs61oR4wOe>|TXZ}#o>rk7ui&aOXDAbs8V=D+Um_q1P_vHY(&Z0laE
z`&rHAnQn|$!k+eNjRi5$mUEnVzaC4Fo|fyIylwupbMH&81gIZS-ja6u%e@c#6K``D
zEZ@tN^XFiqK<RbsxU{<YFO-}aAKuu~)7Y41*s<&O%=ZOz9q&uDs}_mn7#noBoNMxA
z^JjAXWOe^xy+ZT5d=?9T!ykA5N$4eSFpoLr@X=uI@B6xPJxg>dg>KxR?aJt!=y1E@
zxKiQ+-v|*l9h*x2&IEO)-u3&A-<*2=WCUB>^LzIz)E8Cke}A^^v;^174nD1gAv*J#
zE*o&%TTmf=CfVYA=ZCvL(`roiNytwPusg^0d+OeY^&jIL@Bgnamfu*x8v8tXf3%2!
z8Q->xHsXdGO>ei|5Ms9b@#MA4`#)_v3Uc-DX@8h~_+U*-->&3}?OV$q-il-4)>!b7
zc~@U*evFz^bA#hYlPf$k-Y)GcX<S?Q-kfE*`I^fceIL(M2tDMY?=XeMR7o@Ol<0|P
zJ~g?;7I)qY&RO=i?}3WT<N6)<Wn`_}Gq(Bs=UZ+*_ud2*t|g9<*B6T`MKE2Q#j`o1
zI$lcPkgCC6bM9;AZShvqbJzcFVLO<*>AbSl+MAos{cla*Ww)?Ux3N^ZtntTRdv}N8
zU7yds`@#RSHs7i(f5tu8X%AoAEmf#)F8%xaolN@SR4$VRZL{2Xx3*s3^)gDWU%R-g
zX`amj_QD{qOh)D4>3y#M`O<ej`C%=y)R@IIAn8c3Q`6r5W{KpMK)+u_HIi<YnW;Cv
z|Jj!FEv@io+`iM_ZoQl1obXYCi;2yn!QrFHpV;R{ya(>r+NG@Z=s$9*zj;|q*w+YA
z$%V{e6H}iuZBbdZ#%rmz81uwXSKi=jLG>XQr|wa3<dyWE(!?k{b?tH^*D0K#*-NLK
zvs^mG@K{D{$kYYQ6YLUVg`c<em~PSYa?=VIbai%r8o1y@hUayc$0r(WPKvb#Z73)^
zaQX7iwhIQj-?tU=J(&9O*!_oma;2v{Bd<5BPAjpU#PRsm!OO{^8Wo=Y&2}F=1g}lf
zp0t|1{?av<#EhynJhoHV55-uCtxJABOSiheYOPY%iLiN3x`YDxB7QY?#aM~eUd)kf
zF1-0~)8B1x-)yQq@=U<`^^Nzt?tgzDv+YGp_BNl}vwCj-dsjB)l4bVPOUEi=#g7>r
z<9z-&sYC97w73d~l~R-1<83^NhppPmIi&T9JxcZ!cDmP}<h`|O(Wxo%hadR<oEfm5
zQTBuB17inA_X}S_Cb~olTuN_fO6$-%nVqrC=M;ZvitFU%RT@@Ja-O1*!Pf*1Nv_>v
zeoSlq)}Wy1*K;-pd97Shd`;?UkHIMkediX_)6)7^0^%i_l%{;T<+Z%WFz$M5=01t!
z^~L|ic)sR$2A!&YU%&O*t*Gp!Q!ZIPpAuAd_iJnNo>f)Ja|2)f(7XM<ck@jlYd_BQ
z+Um6r{^=UulHR)KQyR~S3jH<L?lqqXP&m=EpyH})q~NuPMIu|y-1`5&#BbtOH?OC2
z0#8*aPMMcH=l;zv`wQ--Y|`^|j22q;sUwBQ2DE2QYQD!OoAbi96J3<*CwdsMt$1q1
z=yG6dTfqNmtLwdOW|-OOrTso>oaq_zvLz<)LzHyx+D$=LFXxnaMXEM$GtbTcmRa3>
z>)w{VnbpC2mcQ+71OZ3qUPET}1`dJ7N49HjZpvQB6`0{9)FsfeZf(h_2-mgm_inqS
z`FQWOsOapqQ-Z9X&k1spHFT{%%yp=G?b@lAESL5Kp8mO`{FI@WrTWsXQPRp+IQ5v$
ze4FL?aly6l<Za)jUfo}G(`e0G-;Z1N+RBM|aeOrJ<nMZ3-v2<Xe<25J50l#z`F0KS
z4Bo)WeM?RlyRusu?NqZ3+!^G`J8P0!kjMhB&YMPj%03U$gswWDj1YGSoznW~Z+%|6
zLCnM0729*AtE`i4&sAB!zWx66IxY#xo)Wufp%+C&4p!%Hxppe*^^z%<EYqh3-I7RV
zWWHCucx%|TD0NUaSv}>_u~UtUWHmg!*LfT)jos+vyMS%wgI#((hQ}mMeUFOPURvxW
zsr+^KzukH}m9E%sF#7bmFwipF<(T5cfQtGmkuA-K+EQ&MSDwjV$8b|>`^8?ZRWY-c
zGMaL`Id@KfYrgjOuVW>`Q_nol(P_;6^NcHCQ9l3N*;aj<SNkqES6Om7XQJ=&WWF5-
zZP*@1OfHN*QY`;@#^35|Q|>f;xF@l8>ZWU!noCR0MJ?U(eU~23S<a`m+pe8BxrH;-
zbD2+I+41`SM_=E#XK~IcP%SdtXv;<~EA^?S$2_cdu=D@e@i8j%^_nS{BA0J5nj~`J
z2d{(E<5{enQ4<!t-eqts<lL&S=c?DR+lQH4v{<!>x&MpQg%nqr{;vsdlB2e(TlqY{
zo6L9XnfSlo*Njy2c|XsPJNWR`BCV=Lo2D+;>D;g^MaK5Y$+r4%Aq~y&K<1R>4SdUz
zh1zdi{U*aAE68+HI%ikT`tR2+?%-v4WV!Y|8{c&y-V^7oq@HWatWy=q(72G{GF7u_
z(-QFo9*jjMUzl6IAIn%fW$QUl<~Gw6$=hvTNX!zH6r8Byd5O_j%%Q=+L_%m~p<K@=
zM&Sbonw=JA=%{qNEJ`q_7hq{+JQyIt7RYl*LEx~WgiBGz%b2?l@@1Ad9zG(+lpRv>
z;Eug2*UG(2%4$}NGX0XOF7B9MGMVS^+GL}fPnRFu9rW=-z}ztQ_J4C6qOt_TQ!>?l
zZ2cj(-dVIySLN0r1E0B{%k$U*{}}|%owae5%^~l9hW_P!<+GBTFWi4~@p}EY)}orH
zRm&~SIR2iMtIdtqI38}*`J>YH-J3t1(H{*QkFtwiG&r*EGUMt8Gex8q*CuwnpR|{c
zbM@l0GmQdWf6VvzT2sAJ=I~-&7W;3GFD2X`Ph+wD=k#z!^5JPsKlvXXn=BysJiB;G
z^>dk}n)3t19JCK8<UQpI^P8({dH;IwrTQNqKNg=~P~`mScSgJ?+oImXy?WEHoD-co
zTZ#3F@L!SsQ~eLuN`-~9cpTTerg_29@9~WX-`JkaJ@bjT{dIW4>$M@F&!4<MTaoDX
zr12{I`g{(lc)R6+fy*xjEWfzo^2HUCGgeH_SlqZrBU!_B%975`C9bReR?aJo`60%8
zG|xwTQ~i0L#m2vm{W~4&vw2PWj31^?m}K;#9?Nh3?zTikLhaRzKh7E(gs!zO$o#Bp
zB_#Ju;NdkZN$=$a3`-MFuyk}CRDbBJ_t#sz>}+{eZ|`Q|Lo1wIcFwRDEh(;6aX6Eu
z>C41);eA-C`jK}YdLMb2e%@JQXTfUEbl&mSBFUDz!p2?o2eb0r9TgWiGyk_=a9+Vq
zCSX!g&B3|s%s=1ze~Bt-F!Wlm^U;EdWlWn|I9D_pGAk~SJz?qYpz7yyWqV|*+JTfK
zI;!D5^HndlpIoYb{8qqBPlcI%$%coe)|?Gm&HAdjbAQT&mA1|4GZf?uZ;LJ9`@rIy
z@=x-^XN{=nmU+R~kJnpyF^Z}%$Io}w;+ZeP*v39Le*aU|`qUGqQyFs(eZBUiOCiRO
z`#|RR*r%^0oxi-TH`!z5{x0TuUc%16nAU(#AO3NNy_E{|d+<=lWA`?{K&wZ63ZHr}
z2rkn6SU&AxHS3J7E016C7br_E+H=z2;OF?R{H*%5ys8<8XD@F)`zm!^efK1DWA175
zPUp|P@YM5~h)V9cBJmqBMYgqqCCMu%-(Pw7^`CI|V+)>Hch3{NmOp#PZI;GViz_eG
zCPc5l8!4=9aKg)%dC8izV&+w$Mu%Ivv`(5SF3s8|*?8&dn~kY68Ti+2>ze%ib90#9
zz6Fow`G20~^=>~$=$b&QXkC|L)sG4F5w<yn&KnIX!i4PFR$OPx6J_pG_iE#x_P3?y
zet?^0#(}xFxB^Qb6f5f#tT$xqov=+XR?PBH+!W3|r@0PJ=x^ot-P{#;VW*PTU*+7`
zB^SP%{kYZTUca(x{g1*$wH`&$CpX4E;pR`w&y3yn_eYx4yN`|+MW5RpF5dfn>f+Ax
zmi3t?Vv&!1&5f_E)7*T3;pmkER;Q0OJDKiM(V5*;vcSD>N5%x!+YW^jD$3*(SJ@oj
zUd0hB&Gq#H!?bzN_c>gbY%?v0(AgBEwAEABzpN$ynpVB`(m%Ucn2nqt^zGGU60CW&
z;Il99^gVS+3(uY7pT@GqgLz>n%jr2$EpgJTJYF5F|B}VI!AH~e)z*bwOXPg4^&ds9
zlt1HifTyq_)l}_vX6>qg^9}A#&D)f-ccx0W-7nH>m=(FxK*RM#DU(h4ye*3^M9$z-
zVrsbJHDz7p1QAx%n+<o>UUlBoX1u>3zF&kv;LYl5R(qVd6-AnQvRWKk!nJJvG##AM
zx>t3!ocSCLu6hIig#m3n9xwV#UH)G85cA0BloN>Ya+FXtbJ)h8lyP<6OYd5C{|PQx
zabH}mtsW}A+tTlpqV!_&vjwj0ZSniv^ZuDLHa)W6)IRC2oSUty(e8ID6E4rp<zM#d
z{sx`!=Nc>agmf`q-W1QUzw1NYBoC|Qvpnt#_{(~)4d9>TJ3YHTHbnoz`8Ds^b(*GJ
zQ0hstSo>IF*7<af(<kfll@$BC1vT>S&h43Gx}@gP#;|PO^8p9Cb{ybfDBbOqKKJhJ
zX{85TpD!`WdL<;eG;XbT(lI{GCQq}Ab;k?0ZG0U5>-!pgch|(z>YLBAFM4az|GxZ?
z4wG&&PnY!Liz}D8nqG7hEUb45nmhaLQ}4$!6~luT{!R-In0iXDT3AztXXCWYfP*3>
zeAgMD^qt;dzB-!wu$W?x^=^K?)ibvIz9zR(dVS0%>D+~xr$zcL|0<*e%$OH(&Uew*
zZ4Zu>E)Up!H^4Y>N5OlYDet1MxQLj~v2I`0ZJj><weOklk&nNv-_mTYfBM-+_WGQs
zTqgn)W^RA1(`0F{-kcVfw`kI2`5%mhBH`7KQs2jE$Zr2Lxhb~&s`UHpWvhd7^2J4}
z8=qb@yYY6B?+i}1B=3)3CQDsYwU=sAIN9+3=GQ=}tS=w;SVqmBU-MaOx2jaC%a?>L
z#sc>ogr{jbZsF$?Uh?zER)=j1m|6<!Y}PT?GtFSw-2Ys^r*NKSqr5n~)f`@{^U}J9
z<P+o^BeEv<Dt=SqxiMqnt55dsPLu!3T&g<v*faBh;kt_+ZSM}{8?!r_SSwxM%;6p6
zeLi-XJk!}X8>i`N=Y~9c`&>T$l3iQm$_dG}Vch$t@V<AOk?hWKX!f(YYjm!-7bLPN
zhX3(Si>trzRpi9&wVkie%;q!Nqfk+D>SK@5KZCmxS@tDt7o8T(XL#nT=x3I-$Fq0N
zJIV0w^LjT_CYq|1xab=mOskR<R+}t7V}sG2BP^3M?GIP=mRN^;Db5sl$>iI%$Feth
zw(tFwYtHV9+9Vjx_By}d9m}~{lgpO4Xv)?!i!t35aQ)p>zi-}~{RYi5oZ4OlH7Z9M
z=Vm$N+Lu2Jsb+gslE^3`5+U$lK|_<&iVU@Y1I3SCw51+=^3_Oh?W|X_wi6h)F|nNs
zJ>#p%*5MU?tmksk(#dK&lc(z|zg#qR-78ng7q%{LlP(J1Nj)U{Bu{Of!drtv?xg9Q
z;S=O8C34QJd!oa1GNGQ+S3z?5ABKG`H)j_3Ed3kC<@WckrJBrx<?D-I%|GbUzk%m=
zzNLNYs;%FaSfv>5YkzKNEc)c1sHwC{oUXg(&b3EoYrD^z63&r2W!k2_H;Vf7Zsrz#
zF#NW#gh$k9$-P@r4<~QsX;V>FDSEi)pj*icuV2F7+MjOWb&&|x`DxO^QQuI<bEBQ{
zH-Ex`pUeic<PF%mmzck>j_<oN+u%fXh27REo8@&2HLZN*80JYG`1gw4&FnhoL3yj!
zOfp|0KTMH0upnsHJnPf`?wh7*nHv0AG(C&`q)qPJ`^hPRLT@J7XWtk6qQmIoVsx~O
zThih9{;6#f_1Nt@@1|H!i)~%lnxI`j|L@Jsnx!fe^sTO*t*F&1xpeI<PavE9rGp<H
zr869FYHZr)^!%9DocY>`YKu}-GRh41Wn6#6c<f^CnT4*`zh3|BlBl}#$jlYa?FS<z
zeMQp#G(?}#nBga*khbZ23PXF$wRi!U)i14fey^$A|IkjW_;kg4;i8(F*<x~!ICUO2
z@2r15+kau|t|i{PXWTrXeqVm3wvX6{jZb|!U0Wg;xDpl@e+e{>SgzCWn5VVXQr*5K
z_szwu4@NTHoR=&&he({brqAvlCG+UuidAj>;R&77Wc@tyUj(Ylx#|i{XliB3e)nd{
z4$t>eIye32e*4O|jpJhg)0vACFHGn+|8Bxs(Ca*}K5NR_S$Y?LXliGEZn_*6Hu+!Y
zS@B!Kw~OTUEcpC+vz}dVVomyUNBi@}xO$}>bN%iM1T?&o-oNT+fKv96h=1iFn@^g(
zFWI7Tszfp~U2f*H%fI_7?6+ALcprJhY_BE3?Wnh9?ppB`?8eDH25rs<gFI&PCQWlV
z^29&QxLxvELVLZ=LIxe~dP)8{tp_7wTD6Z|f1vcCBFS@l)TOz8-RCM|o^CsRh<($<
z{J+`F&&5^0i16f<^Zq(&*{|z9uYu#Po%+@X;ug;&Evqd9UQ}>LSqkqFJfhR%bJg}x
z`$D_=&R>)DbVF4Yw`{n0zUPQm>yi)|{gYYAaWk|8Cv`5JsHt<IK7qU7!44I%-f3%D
znC+j=xcBgzJD>1VuHZ|;hAxvBCw~xKrxC%mls)g$ErTsSN!t&{aBCf@cMkAX_`><m
z%;?OdlZ$paR$t2(UiIqi=?tdOI(2bNlcMXhl|Cu|k9f}0_xP!5gjm?ur7mVo6H}Qa
zP4vI-E)8zpp};QIb@$6_*Tnkc8?VhsU}$e_j`dXzllu4W_mk9%5?w7PbWiv{`LWvU
z1~;ovRrT_1ORXyXMb3p^tq)qfL}m5S@~^TJC+*~1BXRZ0p=Cc#&+JW^<N5sKPma7_
zqIJHuEMe!Tov^rkc)iHCo2eb^wtUI8?0xP&yHloRU#nK!q&2aN<UcYr^VLTreW=%6
zx^H`hf=HKdyZYpH4gDW>=j|__c#V789|p5_fjf*D=Qox(>0LNH+3h^Lent11M=RR{
z>dI%RJ^HfdvHOaBGwWB(xa!>0GbQ)jK0DX<^V?@`SQc!#_E!7P*Ri6JH~kk}`QVZj
zTkBq&%w5PO?V7@Iu=CKONQVVNYeg03^UYh_U;pCn?0;b$n-W+SzVVVP6FyRS{_Wy=
z2^)>zV@H2hOFU+3j<$~dpL@#JG<C6n%Fb;WMeADHl5Z_|y=VEm1x+)1HaKY6>Fxik
z`}y>V`KK*QXRnV4xO(jTtBxBQ{u5=as%AY7@$zDwCD0k-rpM|vX-$UEL!NCbm7Jya
z`J6Cgxi{I+?FG|pr?&l969m3b-W?hEa-q-JI@@M5?qzkE2cGG$biY{S!npo<XtwY!
z%g+;kD8YuIA!~?Uc|Z7W$-uy1$uJ#sh&gXcT183{Y$ST};uaV1#ig%WbeP}1Go38i
zD#O1ZCol5t-eNPWVnzn5V#djeregI)2tDbb0qO;{#mo#-)H&Yy1|PH5QBa9Krk)e~
zV$Y5r7c}ZuUko}Fv@U4fs^hKK4xeYRXa2+U!I**nha|U<ty5FF?N__SHvKbdT$Z?d
z*eQJyoX}rU<Mml^g51X!f_?s}c84x1OW8JERF;z8_(HI!Kj6!iXTkMT<{heWS>&!`
zcl0O6QFn!^BMaRns$3Sj3sfCh;LcIyvcO%%j_HE3fc(ejg6;huK64y)7qMG(UYSGw
z;B&!hxrNUKE9DG-aM<(<)Et@XexuUmfii>q$L}2b-M`p2y%((RzfkRRPx*sf;dhSR
z?pJJ^-U$}>PpEdeqx?Yb<2R1&_3m42o8Ag$_XkwF+)}<ESNM%%v-=d=N542Wx%b#Q
zy%9|8XQ)1MU3rh(#jhOe+;eQ5UJFL{AE-KVRe6nE;MR_7t{e1{E-RVnDqU7G(0z1C
zDNH;uQ*f%-!d)F#To>p)DiK`Ptr5%eQprtRaaYF$*9N^u#e(y?U&OFHSJKc;Dy|ot
z)qNs{<(ZP6xM8N?wC)u#ElXSj^pc7MCyFWV>^R}-p!cXyaDv#!48gwcf-N0x-3ePd
zj=AbYu{>51(N(&rBqqLa2Zu|y#TE|dZjCJ*PTdk)I2^k<wsahEWr$*Vr1VecQGsBc
zSmKV3L#|&USsp6=&`CP4^hHPMyb_yuV|u+{Q}>R|9M;_&F)R<1{)s)@-m%a1gKkp3
zU{&{tXqJ0Q@5B<fckFV#pqrE@Sk&DS&2mTSp4h`}9ot+t=qBX~W_5c+v)ocTCziOa
zW0Px)xZ}2tjjkQKN;!fF-9MsQt}E>lJGixDt!sv^Qnp}3_l~HRt4gc*E>>||bI!49
zS>{}yV#Tt|ImYV9D@7gIMVAyq_$O9zTydTwcj$}2(sl*gBQF$P_ywytE;!f79r`RV
zxBY<)%TnhYIj7G8Gusc?9C@m!CVS|Uz|{5$B^;-medLrbDXQ=bR&tzhwvl7Hq$nqQ
z=%YX%-^CXKJ$x4{I$YZmY+4pMt5_X*q$tAQ_(Gt)o-gr*Kr5f)3xQ@n#TNpNd=o1;
z9NHahj?8zKkvnu=k%vF9qQkCT!G>kN^Bc>SdCpHPk33NPAmj8wpqwx9xj<t(gUylq
zihuYnmUHZLek1GjUZAr5fc25Pif{M=%Q<#ApOJNXCs5elV14Aa;vK$=WgOd_*T_1(
z707INus(8AvHlESU|Gj&#S?snWgHuwTV$Eu2*kI4uyVPsxPxzFDaTsp6j`R%0^#i&
ztX!@tM6n;V>hNv8VAA2!e88l`yE#I(WvOF`RLd)cAofP9j?0cS_!gB2ENPaIZF!;K
z#Lj5dao(|lZ&9(poaO~49cLXg_>_tTW;E}RIkLntf^SigK>Z{(N6U_rjxJIyPZX5c
z87(`GJ32@mS?p-Rcj%&m6mQc-1u6E8FZgCDNU$$_!8cz)h&QQFpsU%zq{F${z@)>e
zS;3^kv01>R!=c&Zj<fP{M+PaDM^6;~`7jk)aM+h`*mI_*=SgGE+PAuS0&UG2GA{ES
z1^AlID}3W|I<N4Fr#|U}2+KT2j(duN9oEeoirL*g<o-!GZLwfiDiCN;_{a8ed%J@`
zZSw=^Bli@(uuU}Q*yDJI_fVcdY4ZZ<BQo>t+S(U2Pmp%Gqws+3W0)1kcE>Gm4~l#h
zN>=9B=D3E}DOVt~*+KfqO@%XTfozX<vHN;0XjYIuazo(=o1huT2FLmu-b2{}vD%&r
z98K8*(ajg6T&^i>U@J7`SnU{Md-a#d>)_e*E`14Ew%owB^ySK{%XMr+Uus@m9yH&n
z_SF~7%gepyE7fLw(Y(0aX+Bf!tIwL}ms`z$RMT}Sc(#A0-PE5V&w{7>`&OO$wDQz)
z6<gPzSDpk<oHwaP>yze*<#O|zzSLh?yj*5}(U*|L%Oz~D{<yMexy1aSFCmMTi_H(J
z;kp>yKF{b&$in3uwx%yM9sOCWv_5J&_$%6deZKPW@;^4IKSCY`*UnR_={g@=J@3-z
zka^45<{$bz<w0=yyiK1&9t1b||FwPkJ>-6HjsIKQrQbvD1y}grwN3pVayPid|E#U*
z_xg}K!3F+%ZJ&M%xgDJ2zt(o?w~$-G8UAx^Q@@4W48Fen#5}2LuQw|<EI%;sSJkQ4
zE7vXGG4EED*XxyQmT#E1tLoINm8<Nx{A>KmKl|T;m;5vTHN51X{%^xCcJKNXU)ZnO
zFZsv#m4A7?!C&W>@*e*Uez9M)Z~4dgg@1nihd<8G<t^&}JG|tdU4P+^^D}va{{=tW
zJ?l68aegYV^0)C5|K$3PFYG7nUH&nC;_t6-_|ooPU+|}SvAxMJ=Ee3V{}M0COZ;E(
zg1__Mh9B%l?G=6<UT81z%Xy)_z^}s#>^XipFR)kn$9O?r;Qxo`{O$E0KC>UT7x}mF
zygbMM1JC)Z|1EgVUs?ap;0L=+y}+NtbM0^ZbbcVu@c+Yi_Wkx>{x-hnudcuF+xedS
zhkpg%*>~Gt`P=x8zqo$FZ|6Jm5B`1l#=hNt%iqSg{Mq#ZznyQ%U-(z>jeWEIl)n#u
zv2U{P`Rn+GKe3+S_u=dEd;VSc%D&D%=da^y{>b_RzYbrOU-K_uYx_0Z4e#m`FUy&{
zRlF=`@b=*)xv=jEnfz0~E!fq5#dg8Fhb8>Wsx|I1zm#+PuCS~9f^EaQhsFH!s$bk;
zelDl+HnEt0R`rQH%+KWXz8hrnPpe*Wr+JBOz`MjE{)yidcDA3eb$Iu%kblCr4;lP@
z)dgGH-KrC|v>&t8xyAfgPUNlPMLDtW3+i{UyHs0jVRx?9*uw5qEwP2&v6^E``w?4)
zTg;E-{=Iovz+d+*VMqHR+b=hnAIklBlXzb4%Nxb>a%|rl()pXJcWh?2uI9MI{6OyC
zw+GwX_t}1Uo0!jERej<%^F6tD-x9XB@3Ot{HZhOCsJi1e^BuW+-yUph-)6hvZDKBe
zR<+0Ndgfbl=e{LuYu{uW^W9-v`$pT2w~9IZ3DrMtHD8z8_3gmc_O-SdZxyroBdT}Y
zYQ8GB>fMDZ_G{KTyPB6-r|e>0W*xKZ@GDuJy9+PLhP<Cp#eT(l&b@<Q_?DI{>^=NK
z*5$oG75fG2ntKO7^UW=Pu!ni6b<RD<&wMk>59~SoR95Y7{lQOsQ_Clmu%EK_xu<wZ
zR^`1wCHo0$n|q9xWaaK2{K(h$?!pVco_7~2+Fi>N_B1cDR@rs<k*vu3h8KM8?-E|{
zwZ3zBq2}5WA~@;6%acJKqRjpQK5Y_(RYna<4ll6g*yX&yTIC+&1zCajAD;8ImwzZ`
zKWr^>Z{c}aj`s(i^HtZsTkxE(@|{5iyG^;kp2KskZ|rn_Aj|OnLpl3?>o0d3-}6<M
zU)b$@PxiyRf^zoV)>rN}zT+z{pRn8cj_iYXAIjLbTW`7B_?9obJYcu;E!hk23d-0w
zTTi+Bu!?<?b<bVLH++fZ47(3sm)-O3LMi(?>zuoeulXX&59~U8Rd&t00Mq*RYnB_%
zC0>>>IjeYC#^CJ3OEO{46EgXxK3ibbe#LUZxrZfu%ZfGTGQX5@d#+&Be!;Tg+{0qN
zdBrd0Fh7^kIGb3^H>>!>9Oh>-de03q`KA@GnA5z(GT>Zd5#PjT3YP6BEFI20EaaQ;
z>_Y}$UvYs+yIXOBN&7KNomtF}Wkk*@)?btnd%nPe-KE&Vgx$GV!-U<bSi*$ev6#c8
z{fH&QEapct|IR!t;H!I<V9|ca^2<!-hcZ9TB%YV~az^pI4BPXDbiStI9med|#T;{(
zAISWB_Q1S-pXG<MiTQk0#V2Mn-;;UwEWx~em*s`CiFtfQ#T~Pm@5tPH_Q0%to8^YH
ziMjQBS;Zc+nQzIQdzN6<zR5D?xr15zM$3+~iaC4<#Xn{>UzgeS?0{+eTFZ>HirIV-
z#XDv-UzJ&P@4_#(Yvwss&CASFs+gCV$5b7DC9Sh};U(#i`xAb#T``}t@8B2SrTGfA
zhhIp$+!y%8cEP-6-@(tkbMqh6FfTRF+2{C~cV_;9n)<^}rPcNx{KPvof5I2GQ|3PV
z6fa4u+!y%CcEa3dALAuyxxEKJ^7h@k@PfDJ-i04+uK5Wy&5O)cst!Mr7P;T>g17x%
z!VBKkdk!yno9`*S;BCA&;Rl;TzC+F7`Q|eF4xX3hxgYSO%`RV|hIzjEo66>S=1(dQ
zKal>g$MFMi`Mrea^}LPw3^j-EOaHld;XB(t^EZ1P-}6@HAE-WjSNhGpfbVR(%+Ks~
ze8*du-%x${w)CBQ7rwD=GhegU@hxv=zC-olo6=|Q1$=9JEq&si!8f*z<}G^}-|)ug
zf2eZ4F1_R4hOcaE%~SR=zUB?j-%#azRVwQGfn9CB*%!97`D7p1(&n9A9}(NU)HEcj
z`IS`A^@d$-mrZA^TUf%oBwHf3`Gu6zb%tGS=S?ftEiC4plf7U|+ga0$b&AEjGqQKY
z9A07?v2I}z@1$!EJKIj0x<oZUky5(Ou(R#BsYBG^#ikbP4qlX!THAP0O6vNC4BnpX
zfGuq<*%2|#kE8_FKD;2svv%PHDUSNJi5H|;)+%0*61vWi!P}AjV{_YK(;tz|52d)S
zUr6U|$$qf8%{KeO<~Eybi5TX2rX1@Yo|AgBMzMgmA^S&k^L?pb*A8rN+iUt_tztfJ
zMfQ&9=DSj_t~qRP+i7}YtzsT;L3TxS^KGeH*A8rJ+iJREtzs^3Mz%$C^G&H!*9u~p
z>u*XOyQZ+MZG&mU+K1V^aoI1Tn6FE1yS89!+Zxk^wGXp+!?IUcIlq>SIKSZ~&#ZG3
zUh>R1SMZW&b^3;qHm~#mTjy7j0p|;<*e)ASnb-J*XK}iKt@8^>hw~pQ+0Gl6%xnD2
zGv(ZdO187cDf1XV^Gr{lQNniGIAosVB}t9*29<5~9_bTooS#T4oc~b4=8;}e!gk!)
z!peEEv4NHIV@a{OjURcs&P{m1)14k*!@S5?#;SRtv4|D(LSr7Q<^{$qR?d$k8Rjy6
z<f%VbP{C%OZeZj5Q1aKD#t%F-=Qcd&sX8~|IZt!?fnv6U#&;~6=NhxjWBkBVpZ>wx
z`M%_ja~sOp>h~HynalW|r#yXwwewxc7v~Jh*>)NqnalW&CqKQw+WEHRjdL5y*tQxk
znalW=Cq3Q3+WDsBx^xBW!#5<4oD(Qx+hANX_uy-u*z^Zhhp$O)IX9t{ZH;lv+=H)p
zLX$IOnO{qo^*UabFzRKzETPw%Sjsc)SU@Jvs$>sY=2sGa#}n$U+AbMR=u0f&S(MBX
z>oHZyrtZUvMJXPA%a=1}I8S&J(8O56Ge7x*jPr8|i{lQNJhPK8$T&ZfFgRY&x%fo?
za&=*^87eD<zCKC1u*5K;Z($M7q+<@2Z6^&~gi?%-ba3%ZO74(hej*`voFS8^|JVZy
zHuvNV6E?Tx44K1=3=R6~9WP1<^(GebbR|2Ov^ggmm`ql5Tg7s-ap46Cj^4xz5-h!n
z7bJv^Gi2~|B>ymOJ8bwvviYF|*YOMKJT1u&jN5FJFBrGkBumIJ&okucdw5RbO-~a$
zpQ<yDjq1(|KU!VmU;Jr$F0QlRz@qJdAw%E8e4e`G7t+l4CB7Y7VBWT;Uf-eaVIfaN
z{ER<LPbJ<ROE7QSWq6@CF^{JxxkH-yj>NrV56s%O8E)uJ%;m{S_Gtdn>OOg2fhC)X
zTe5|8^G%6U#|mVbZ%Q27_uxmXhvQ!TCm(AUzUQt>%ZUH+i)n_$P4RW1@f!|Z`gJJm
zy7-=L22s0MgRQLhoYSqydl+28-BQ0TAcMQ@+pjM<iRZ<Be7WiLP>hFv`-gPyj?QR~
zEv$|UJGL#@(dz0Nop9%nl3R3!h<SM8<jcY#B|TR}LchKS^(%yDNzIm?BQsa_;K9{1
zljJ;3dU{Tq9vvH>n7n9-=&N_1zG=)@v?}V=mzX08W^Ee`8+spR^TZ{;kYc_rvF%v>
z0@JoNh6%k7vv|UiSNw8%EgrFd!%Oa2`zE~Pp0TgsCHLz14PRQl;sgFVy%G=DU+|0d
zvi_91#xLB9#a;Fb{9?VJUsHGRGxyy12Y;BB>gUuse&(JTf8fudr{Ze02S0I7ji2y^
z^_0F(o#G{NmHh%gSx@NO)G=NXm#aPak-Kl-g%|bQJ^R4Xl<=o%k-o~WLyyEo_BXuX
zZr_*ig1dE}!wc@_eF`tQ8~084!Riq2@aNEceVMw0=f!#U2mENYi&yx=G++PC&!&0$
zPktVHApW7o@dJ1HzJ%x8jqwbB4&4|3v+u%p)_wYKY8~HmSH>Usedw<En|%S_S$FB5
zsdaqET^L{A@cYnh@jLr2d}H0FzoyplEq7+T!|y{k#n0>u_}2Pb{KP(kZ>$^jTWT5K
zaL31g_~mq6e8;{GUs>1cr_?gO<_?eF@XP6{Sk(3dyIOstFKlV`i9WEU)jK-kZqriT
zkXuc!#Dcar>}tKNJ0ovl3HOp{iMvfN#GJM>>}ox)TamZ0n7e*X^nxv|XLU336pOiM
zMDMtBXo+q_-ohg8N!uKDww}~=xz+SUOldpA&er3)4z~_1*0snxcu`C$xACHw)b<S-
z+&$3&TUuSBBknXk5);UMctMOOci{ywj@-lxVl26e7sQ0NGh}dgME}^_dRX_z&8CN9
zT-z_CbGJl4*xYIxePMHJy-l>l9j1A@9C;7ViM`2DEZ}a4{&BnMzSysA2e!BF)qRnx
zn9p4iz2kP%U9nf&9JaUa)IE`_n8#fZU2(hVw%Dz02e!3t)m@RRn9H3JZE?Hlrr4=%
z1$UWliXGdgu&s52ZbR<FZ0@+|7q^(Mi*4JsU~B6d-GtnSS=?dKD|R`(7LBN1zu_g<
ztaTG!a?My*@RDnF_=b{Juke7qPOn4*))!Q<Ue=xx*Z75Nak#)<rx&6Q>pxVop4Tpk
zYy8YLW!;8K*0b6vag3k2riafcVLh!K66bhHRAaqCWvfT{ggs7AL>1P5s9^O7uP9+X
zu5Gc)X|cA!E~m$$VzG@Mxw_U(c)`^jULUZBX_2<fuBL_BBD<ItYV+)BTA<Cc%juCQ
zLoDM*uKINa6|DB*278<yivEge{J>SSZo_k~s&x~db2Wz_C}usVeP?IWTy2&(#t&Te
z;U9K8-533_ZbLciUhOBbjPJS1!#C`9x-0r(ok2P4PVFPHjPJPe!wYsh-4?yEZbKRC
zR_!JAv5arI(!&jQJKYpr7p}1T&<)We>jcVJH)z+y9(>Ie8~$L|p=+XB)=em7U85Zn
zd+-%kXmG|{rq?27zK)kgjC>g{i|F|#mU2y77LducD%fK#(<>3b<q1};moz8%C6;h4
z3g(#0^g_gL`2)+=bD9Nyjh95smM^euJ)@c6_ppd-a{aOd%hpqx9<!L9il{9Y$mE(7
z+%bpgiHO{ChD@&hWe+S^-GehsSlxm%<{Vn2Y2fF0QAEf$v5>1P*ukXLIoQCY)hSrP
zq}4H4z@*h7*kcaU0!;<Khv!B3mOn`6Y75qw<1}AWz_0PV$TuIy^CF*o5(~I0mMK`Y
zS_f}1ZatvMQ1ACJpR3NdOX1^3mzBxK`Q%vV%~R>oOU&ksiL3U0u=s*G>psmlzK;1^
zmB9yQAG#~@W?6ta>n_bRzK(fZg~1K858W2Iv+RNy>o(0bzK*$EnZXXT58V_wvn;@@
z^|i=}Wd>%f8#P;e8FRSe7rQH%+S)R`5)9JpS9r-W)qR7_p(XXoF?@`Z)vj@bx^Fmi
z>Cq=9VP$P=Z|fy9I5e8>3cl-WC~w)L{6aSIJx7iE3+tx)g8%v$Dq0RG->^J1SD8bO
z@%#h9Pc9dVTWsAQ6tf&s{$lB*s3_-PTmI(5$;WK$ih1ka>E-kHG+h_jwd{at>srkW
zU&U;$h~OQwny!khns?z>J<B!qoT{c}>M2!BlQ^f(+whCU+keFumaFPZ>=?gtF84R6
zb$Ti6G2h@9%SH7TJH{`Z^Zh^6I6W7(nD6kCbGHA58mDK%2J;Jkws`t)sBwBKtYX{v
ziF2}l#}}59>MnMSpE&#d8@{x-`xn$SEmk+FVp^<jVwZSPSYrNy7o45*Hq`%MIjXKu
zb!efwM3vJ*b%Clw3)DHPoEE67*fCxZ7MTCxIcK~7htDjB)kW+Uo)_krf8aT1^}Geo
zIV<NG{9v*17pOTjSN%q%(*t3K`5(Ts>{tI{+xVWd+W$he(>>u2^9sJR>{h>G+xU*N
z*ndK`(;eXl^FDlI*{;6Dw(%`zwtql%z0)n>3-b!Tv20eKV*BtH%O>?6TgNw?iT(`L
zhpr3nnRnqU%R2QOTgTU&k^Tp&4qX*qGcRCk%Qe*vdWn~XOmr153mKeE=IoR8V2V+V
zi8}O3NJn?!C83b%6Lzs&QJtfAu!M7|w?gcp7eX%61$MDqP_5BBSj;)s`#}uTQq>$i
z$Kra<ncfFt4m}l8(>++kIn{f@7M4@0K6;9mgjA*r>|{BiYNN+^Nk~rjU?FGUv<n%W
zJ<~4iXmRyUh-q4+suFeRk&wvrh78X3X$cvet<xMbIGd*_WN<c4o3Mk$!P_C`(0o-H
zy@Th4c%}#JXtDEFh+&$q`X;hzp6ZjxLl1;L=r|VCbCyp_Nat+yW{5d-U+B-Y3)@-t
zslL&5%;&81J`jEAuF#ul0oz%2sh-hw%;PNdZiqf~Tj<WT3)@(>sjks=%;n7Vc8ET7
zQ|QdJfNd?Wg-%Q}*v7I^wMCaPhcn*$LzL5Xp&ipUY-L%inxe~?%^B{!A<F5hU{wEs
zsuo}O3neW+?)3*sTD;vOY@3!Uhgdbe5)A5ZsA{>aJVS2b7mg+F61Gh*1fBXBs#?w~
zSI8~=%rVD(K}pM5<qSE+&m1${ci0?Sq8uT&@Ds<RK8MPdlgchuO-}@s`WY%)jw?G@
z9a^kxA$Rbipp<OmMM0_l4KFx)+yhElT-+mUnjQ%X$UeLv$P*#E@PZ(RY~lq$7Fop$
zf<pZaFE~2fe-yVIR{mkx^iYti|H5;Q7WW6B9Co3&#l~I2hH0KMhup(+f^TFLKX5d-
z{{ZF6UwsG4TlOlykX3xoQQ^MBy6NuZi#J5;`wo<~Y*k(%tN4~9!`;HV>89YRz5-jO
zn}Wys6v|pQC^yJHe9aN({=$msy5P3H1*I)(loMnhzTyaTUm@l6S|Fl*Lng<pwh5UW
zGujF=IaWJwFlq5}4v=+vB@obFV8wD-aSC5!3CCh*0a>RP0uJpTELqMgmhd$eb4+R5
zV99c}UNMD_v6y4J^9&P~(~2Q{j+X>9+6^pQJe()UI6V<iX#Zfr;^ACj!g5^ELdt2e
zqJfmtV*xSV#zKy+wh0*=-Od3rOp6p{q?#5gibydnROFFrTA;`x<@88^ftRt6qrR=c
zg2mq1K*s5zz%QQ00*;!t4e1<JZ4=Ttnw<|AvmC5fyd&8(SCNH}v4ErA`Gd66eSsfs
z8_ZeuDn8+5%;zX~-XQICSKvjPfjP@g#Us3oc^vu91=3Ep1#Yx$Fk{)OxP+H6mm}TT
zK-%f1z&d9I=|eXJj<gAwv20MR;XRnm5$pUw>d-ZTEo~D_S=K1V@E*+K2({1n%lMk#
z?61RRextwj443)!{w927pH>&}l6{rE$6v-*{C@QbznU+}PxzPcg?*7d$6v-5{C4h_
znvV;~gf?-UEPQa%L1_~EJo^`a7@zZN{7v}GKFj{ZAI4|=di4e`*{9jB_|v#VKHy)%
zC-#YT3O}1q$UFRd@R5B&-G>+Kef9-kn%(RZzBC_`*ZIZxn7>}+ufj!svHAr+m|g5G
zzA!u6YkXmLvX}V6>}b#NrTK_F!!O21{Qv$u_`qIQm++(cko=dQj1T#L{7E>^|K*Ru
zd49I~hUe@}_B%c^TibK|VSK><ukOM3=6&)X{wBO<ud+Y!oADn1ySjw$&Aa3;{7rbr
zUS!|#oAD0+y}AeAnzzYssQ;VrmOabf<2U0i{&RH+-<mhc$J9G~Yu+f|@mJvudxHIs
zUyaxKchw#E+Pqdi<FCSN_6YkOzZ$RduPVE+i}{*t&aK8}vMIM1m&wN5I{1oD=k0<^
zd?Do%b}?U(o%8NM3HwrOg}Vn|@VS%=>|(wkTl4NfG5cKW2X`2k%I3UtC}y8oZ++m-
z!KZv`Zx0l)Pqm(~h53}M&pU-nd@AJvJDE?&+Pq`9#3%RmKp}fy*@X=Dp0W!&nq93E
z?ldlvRk?NW5uZqTLk4?$SwaSTYnej^dvlpW276=KgdNNd)(&?L&X<*Wci=o9PkF$O
zW;<(zJB;&X-`s4RC;Q~)!3TUF-Z&Jnm)Dmiq$`HD{c(JxP{7_`{o{7yeZF612evow
zm3{G6A)md%ddKa?yL_+89JV*_ls)lQA&<Sly5e@@ZN6J&2evhDm0j^xA(uVF+TwQO
zO}<lQ1$P;5@*OKv*w(y3w&CrAZ1y<o7q=L%^KC0zu(f%OY{J_IS?pofD|R`)=8eeT
z@RDs-ecptZY%}r-Ub3w=-%!%*Wgf8C@fB}CenA!UW$7vR8osbCHW%3I_=49V|3fA7
zdFhgS4WHSj<ZY;AJ}aGakKr@hbn_V{%%`P8?m1lI)yOxfZ1ympu*dNUuR{KZ3T6-U
ziW27I(iXcM7fT!La(v7ycDLapTUXwM7i`_;0ecu1N!QElYFsESvWsz{G|#Ta1=1|L
z93SyA+-3O4R-ac;!EA4Cu*dNs@2@)zAJ}U0Hausm%A4?<t=arQG4ny`J3AZaO0(Q!
z_`p_g{$aP{ecm5=8_JpYN<X>F@Sd&Qe8X<XySy**49b~zN*}q)@Qy9tykNKEZQdJs
z8_JlsN-w#~@RlvT-rQif<4xXm<_fzH-rzlwCs4+`LAvJdf!A!Y<_~rqyvDmFZ$c^a
z8tIt32VSv-8fVO9e9dEa*5R_#Dh|OWXAi#R>WsxaMrRo=^XQ#TxauLODkBjw%W<jX
zigOC3Y%7c{<~F|M@k)2FYQ8AhaZVxRc)y~NM8mlU#ccD8U(8{A&Qq^(Hldhpmhp)>
zjL&%V(hV}%rWvo8)3`)3pf0I>f~?yro4`-ZCnw2RPqL`^bTFZaZDN{&W%CJ1hjR}K
z*(RiY$YAR;E--0!GfpsRJ|?L%i}5j!$XSJpJYwk!ESO!4Elil5TjK((n4OFzOqd;w
zIZT?5NHWY~e8ltb%!2~9y0rQPi{?X;UuH5s<oR(X;XKcmGYaQ<*wP!)*_w=Z7&BWN
zbIf6U!1FKdfqC;j$q#1}^4Y44Pt0b#$MY^N!Mu5w<b|^dc`Stm`8-eD6538F^ldC$
zBX}j!Zia*;vjs~%&po~L2ghDoHgDUTcEGH8Yp+Nk(}O9Ew|Fk4HJCMTmYi|6enAe~
zb;${56LQ#+j5%gA-r(7n_Q14xon*n;glx7b;}f$Oukox)OE7I-CB9`}!&lbXaSL9u
z&Wvk#$vQo5!!IUp{S{xBu8J?&$MBVPxxPWI<4bOjc!OU|7sXrlF??a2um7RO@j16e
zyu(Y@+4>i19G`I;#25T*^3>l@<M@<YWp91MC)UaO9bcGEio5J%_{7?;-|(f$UB95F
zak02b72{%YlYI#nxh3KkykPB&+wg<wsJKGa!G+=yRgMeA1*#4%5a+0JTp+HpkKqEh
zK>Ua2tnK<AJ~JH_7umPqJU2)Df#<B%aSNWaR>m3pV6xE{s5v-S{6?kY18#=+58s*g
zi+|Z$-|(KbTK__|<2~*VaRuL*c8g!x+whLHSbsvb;~nk?aUZ@hZ5Q9Nx8W^owthgh
z<1OwBaRuL)Hj7W$``{PTCh?xV4sTc!^%<%UUgzEuci}73I`N#n4zF1w^$%1Xyvn^M
zE?{fZHPH?05-xL@tW~(oWw7?aC9bgWgiO|{VGDLOT@kHcu<k(#>oRSPSjLxJZs7{M
znl6Yotb0(*I#2sW4C8YyjkO8Ith2OF#4tYN(hE1pWSyqHBBpVPXu!IJBG!pv3Ok!l
zh&rr$P{=wV>_Y}?pLW5PCO7SbEltNnb)py_bBU}~xX2|IzF-HFi?+oUCTDGpElf_@
z5?h!YwK=vl9T8=yk79hp^>59C0@k{)gdI(XM88BbKIHnbCgD8Smo*CKx!A%R(pj6d
zcWh>|*5-&|e8BZD?7{Y?eWD-MCgihLX`hH@yvOw}EMa@oF3}5X6Y^M#v^%01?{M7<
zd$6r(o9KqM3AwCU+8)u2x46!QC2VWjBpMU$u&rsMXvbQG9M%NwAN5g<*SU6u9oX8m
zRy1R+LN;rJ_Kv8=t6Z!6E>tmH6V9<}Tqc}i#kfp3#_HfJPMx_6E^&tVPpD$LB0Oi_
zfiEme)fH?HzTkB67pP*oAY3!=z-N}Z>JMxfmkQ_1bNI|MQ~iL=!Ka*Ra}RuCnW{da
zgz1#9&pd@ooGShTl}smuZRRo5U*eRTd*CBWpWlTSEIob~Dw<r?6Kon639DEge8ef@
z-|&K^-7nz<ORJy53zlX-g%>Q1eiJH~9Mm0b4$c>rnRnnkCy#$XMU$Pnf(_$*;Ww6z
z^Ms#R9(=(0VUEKGmU6#@=PZrt3^oVvbN=zWP|mbZ_|060_bip_2dod?<$U88P+!ip
zOZd!Ohj%Q6>J8QhZ*$)9yHLioO?b^*hqo-5>JHWiZ*rdT3n*)P&3VGlpp0pwaLZhV
zH!Sh$AFLd&bMEllP|CDcIAt!wYnE{J4OWg<x7)qWukxM$((v!n_*(Dym!bAw1OI>V
z-T%e2{)?;q7svmfZTEk+oPQ~Q-?MbPXYv2)mu&xYYWkNc_CF`aeNvYHBrku-zUp}S
zm)?07&HpV*uW_CKLOlMX;NOMjUs~r~;IDF&e<3V?LHXZ&`x^WFAFcPFbIw2izS4I7
zb9VXj-2dio|J=y`qyGK(+VkJ5*MG0<|6ZQ|z107EvHtf${_pwkzvZ6)mc9O4X8*VJ
z{BNoCwVUMYt2gpjZ}?xe{(aTD`&DbtSFPD!wR*jj<7<ux_YIjWv)m?RvdnNR$Yfcq
zyuqZ&OF2N+@fAmadw~_xWx*+Z4J9m#l?7xSUvN0Mf3RdaFIdvoP|PyLZG$D#S;3S(
zhGLfK$}>!uP78+gIb7n<a5u1Q@=%^2<M@O_!To~;lZSFey$RECK?^Cz#exP>j*mIS
zdK(H^y4)sYuyiX2$S^Jvl#yy&C@3PuxKNNss&RoJi<ILd4u)QaLY8{B0t+U4Wdj+<
zhaA6p8VXoy+%}}MRJlz^XK7YGV9az-@Q!5TTtSvTh60v)<qy)1_c?yJZ7^rrEBK_B
zA)lpOd4sg$U5*#^ZU*K|I|YyQGUT!3D;G#R-sZUBw!w^PtKgDehFq3(Wdmu)n;h$u
z6{HW|;5gzYV8*mTu%`DwHcPDX1F3`8IJUS=FlAaJ7}I+oizQS(<1fQ&cC%WC%j`yL
zA0HQBnZ<5U``{9Ln0>-a=BaiIel=d<Ur_hp3-dC0jlT>p+1>0F>VGv};BTmV@R@m@
z{EI&f&)GF<6FxJ~l0Wf>;TgN0y}?W7Y1*1ii@7GrdUd=x5xA7SUxt0&78b{*X3i^<
zuQV=SI!{2wGiiy}mCKFHQ{^XoVLZj}Q>So=UBzDDC*uizn>vO|>~ggSJ~H>&U3kIV
zV|U?4qpN(vpN2*JD!&dqVi&2mZ+OAnZkO<axz*0$1#@$l(-Zco%uRLzFPJ;!8NM(c
z;s5jVz(e*wH3vR0x5~fx%y?+7#!E-0&Iwv>lU`I*bp;uzaPTQwa@p7_-dwnZt7$n)
zXbp>F)8PZcQ?4v<@KzOzI(0s%ZJJcfsg37@+NT|g(JESbe5sUf>8>d+gBE)C*6U5x
zC|!ASsmb(JF<zyb=a>Happ&}&%DtdQZ^o!q1uLz+Z$_TF6f||3lkU`*Q$;IJFXfnC
zv_(Yq@X~)erkR>Yma6Ew?wqpV>iMOgrUh*gS-AAev`5je@->4lEH#_HDw?Zc<(Z{Y
z)01Le@m&g<;N4kSE4#Gv%9Fr}-X~>MGgoe0T(8p4wPni9z}9I&(OPdcTyouQtxgrL
z+`ja|G^gmUcPq{=w&-89<;u;#sot^Em8`ppG}kSC*4Jdibt$l^&!}X|qrjQ&u2EfY
zR~%n@ps#4ll&gVNL51$TQCV*_oV=&XmS$>hUmVmQWV`B8;IzJ|vn5lOEjE)qS{3p-
zDAYSvw{>fM$hDv-cU3E|i;EUZ^(U2tEMBbBKda=*)4&<UrS3OnOUp&>1y;Jhl|5P>
zazC)H!M(Ijj<qV}Mc^X$-f42FRaagHu5iC=>GeUw#+_Gg>T?ZS_qUc>dLK2mFXrff
zRV=b#u~`2kYp#zPI}R=WBa>P&W$t38b}8Aek`<R1n#i`6)`#2(SP_`+o~p^$+EKK^
zN8{K+llDi(QyvD?w|SXNS+r1~ovB3RdSI;kM%k-oBCiA1I>%aR<!W49yspnkTI+IP
znDbh`)R!7t7W(vSNqc?O*tqygUzD^~=?X9BQogO4oR<S;w<*c2x)9Lb7A2E)F`%yP
zRq>STfyvI6e5Dpw7Su1~;BB=GS-eoM-KgZs^?*+IMqbg36~`9J@gB`s;o<Dbr^->Z
z;?zP7$3i|)tB@xFt<JT4Pc5e`p6^tz^|$kj`sMj9{`E|MIlnG<wKx5D<i&EgK6~3=
zFF&fEn6Fen>5t|m|H-v&Ofp&pE3BR0<{tQ5%-k!Tu%*$>`6F-Y^OqOu{o58?YYWo;
zAXd(3X~jGvUECpf_kmliH}o!)F`B#k*VS45d3oNyqtl-Cm*)llX0E?2FVv6D7pwRB
zGI`N_3H$mclaQMMY0h(bTg^gl`6t-7{#9}NcKPe_O`GRmXgegmDu2cPg@4*ENo&1l
z>eqWv(Rh)&BTnJV%J=H~=l`o?`ctp@LEYN^?oZEx56f-rU;fPGf9T(8FUc2bE^?QZ
zL;pZJbF<!t=S&k+auX&tuHualHQ3|uko8y2f(vXMyrt$-?k?i3$X$@l9K*IvFQKaO
z68D5Whiv9Z=>xkQ<}Lih)0(bva3Nd!rDTQAOg(M4F7Ie`moB(-Ahgk2JYsLdQtl1)
zaSKc@v8w4iR5qUA?a6Z}VO}VHp`_7Q{6q<(Pn%Gz!Ua|#QAv;643Aj_%p1yHFintl
zC}DIIuefu&;St*xvkfmywh7<#yFT|+nOA8O%g*2w6}Mi$B;ge%jNZZ#M@<@iW~&{r
zYP>erz_k3<oz6>sbF53>J>lFH{Njb*66>k&>Qi=Ie(ATudgj}bZNj(wGObs>-Lg&i
zwqLIG&bME-3E%N6v_ARPWc%eOekImd-==K8eAjP^wdbvsMe3*Le$Z22u<P<YzZ&bW
zZ=Y<xeBZCZn)O{u`f_V)&UY>8%MZ@|<l}JmWw!c;xrfSrZ5DpSqEybbCHRqF;|;Y9
z+kzL*m3jMQNB!l+b3c_`x~)0!@<YG6GNUcQ3+HlJzPy=v-mhtnm?iJ6&hsp<=7f~5
z+Oq5N(zyn2r(~-8TIXi%b+~$!b%Wl7QpUC1Ik63=Uo*ug3RkFDHD2VL;I|-$DW3nr
zmj)l<54&bL++bOw7Vx#fSNKI0!)umx=`++8m@=+mT+OWKtB}i7A0w<FJ=;O-C6kwU
z!A^$DtaJ1jOhcy@F;3IdWw^{_r0Z~*$*i{FJo`7B14WFp_<wLo@77be%;THOIK|3d
zoIz_B!x`o(v4S6sHu4gG80PVF)IB)I{-#FZ19OA?kKYaV*?-v`_};je|3$6Bd*%xH
z9lsmyvcIx(_};ja|3t0AyL#pV`HJ5Sx7lym9r)I`m48L8!dvDHd5hl-H`!0w75rtm
z$$rdE;alSd{)XBIuN%Y{xw>+{*vxQ@b%Wl5uZ*GLk^C2;7#=cjlRNOWVIAv-%7*Jq
zX7(4pGOpv#sdaeG94UX`*MY0-YwQBHhP)11<DDDjbvbCJ*n@OUAMaS9Ee($Kt$eZv
zJ~2$@-k>FG%kc6gV*vkzsFw`W_%^KS{K~MFdqvcNt4teq{Nnpi$#8}_=9cb*JjRMD
z?i-((V;bhN-Z{B>Ys0$rtPQ#fIXR4x{p<}k4k=5R1H#he94234wBWzMS=A7*ll6>l
z17E|crQS2mcpFL?*0Qfq(zf=F{>>%rTpyHrf>*_Y!=~_t%GO;|mM+!OUAimeRnQ9W
zxq7L)LS6<f@$S`A-4*g8Xn}XF-qW2S&x7W82S#NTYo1+dH2qM_tD=>j-jRBtJEtsJ
zsxf_5jMk-~N#3q{tUIqf4w^8nYRi<zL4DJ*wumfVDm6W7i^$`ku4!Idt~?6r@>Yy`
zbs?y&ewr4j)?(9D%~<K+t*XbGp?PHKKb_JYQ|2$_nXVPH>U>a(_s7Vr^FdY9PHn#O
zAgE%RP|T}yL1ojpVz>%c?qB+6+N<cU{FQr`ewlVEnk#?h?xk<0t%~l-Te)-TlWASi
zTzM<EFTFD@E4nLp<<_NFrg=qk<*wYk^vpD^=vTW$ZU)sSdw1%JZWFl?6z^TBdv&YG
z^`L0)Ox>wlMXm*fdq?VqmWI3zT;rZ=<#jo5X5XQbD@zxL^=~Q(S-Lo+-^-Tk>x#>Z
zefqU*yS}WrxY(ti%a-fQit~$Y`d`^}eb(@D&y`zR8S*S}hI_1>=}V2%i?#YEm54kI
zob2vu<@IUBiNy;2^;Mv%w7;*&=G4W&-oB)gkjH`DeMvT67Xv%{qHMfAYPh(|TAjKO
z*xIL5a%I6{7Fp33D~>E?kZrBFGJi2&|EJ<94+C4>zgl*E(6DoVYw2}9u(D6ehU<J_
zllxuEQ|AI3-5KS+mQT4KSnK{#c5C?*P;L59wzPc8-M~`!i?a2m<x}ni7P=pl{aQBV
zc3`giM%k@pQ*H%jx-XP1Et_&PFx5S_U&%V_jmE~shx(YTU%l2?zj#;QBde^}8fzDC
z>N{lh>XpXo#jDz~WV=dN_&R4wX<ZJO(YDLv%Bz5BZL3VCyb4(9ypXTdYRb!irOp%i
zOs%H82w3Rc$oJK9%JYD^&h>?Su9+*&E;MOBCBs#`;`Bl_-c-wwrva1N7Rh84fh#~!
zOOYo5{mzb3uPz4kIa^Atx){*YmIbOydz>|;v@Qm8J8SZ_W~^{_mXum`A)uvA%4Eud
zg-pCtEkqV96lniteC1(4U7L~2s)7}E&VqbL(^uF!zm(KEAJFXlP_o_&R59L^)GE+8
zu<&2oC+SuB8v7Q0165f08haMLYuhBfDo<nA!e?!hq_y%ib}YQtRwTVDS7Y14Yi&W&
zTDclq7M^P}l3taw;&niZb1$!|S;&om1m{}br=}s-17e(Wd6$}oTnmVBj^#}?4Y}&S
z)_&u^Eicu5?NfhoUiP0}S0DBF<R$;9bwPhMzp7uE?^kd1cjXuLOY`08h5l-OQNJ+X
zuKv@Xm7mqm&DZ$5<>%yQ{xj_p|CRi_ykx#k{i;8nm;9&L`~DMoseW?4Qhn2(mmk$V
z>}`LY{HX43Z~CkAqJOWw?yt^|>aO;xzcN3nADu7ox8#Mov%ToA&I|s{bwXc)>le%y
zs%QEl{K&t)&g##}^Zsr2qW`WuS3flW$Db|F)otyc{>=QKZe!2+ujIM9wLR-UmLHez
z``6ij{d?s5<$L~B_D}z|e7}6xzs&yXUzhKf@AwzlAN|Yn{qk-9Jo~MGk9@m)%RkG0
z>ED)bmv8!~*-!mj^0)KN@{RM4)N%dhe6xK0{Q5n0uYPsDUcPqzmbz2FIA1ScJ%3Hz
zs#^}P*&@t0WHQe(n~=#o!>k~adA0P0Esb8%0e2l<u?3hH>|(skJ0-87gn6;Fz+HzI
zY!2ohb~2vlEy-&rW}afUVJG8R-jqCsV&>`6Gqy0E<_*bnxWuMmZm_e_Lwdp;hbL?b
z<{x%2dPrB)Z(%&nYjMkAF|WZbhsSJUxebNPU1k$9n7gF|?l3IkmATchkXPgu!$Mx3
zTMY|%S#CKzVq?f<C}gfTE7-wkFKuwg;UU|voQ4AC8nX@Q%vEL+(wUp34{T;U$b08z
z!(3jLJca`1dg%|h9qzOJFx#-5aWC(aT!wt+a_J4X9qzKds5dj%&bX8JNG?MjbG~%J
zZHL=zH_SF{W8BKSB$pwVIbGV|w!=-fb<zsA58PloVkWSSaRYBn?tyIPSm_714qRi~
zVm4tb;~L(W+yhz6q2d{P8D6uR#X4MOHHu}p%&Hfg@RezrUcgJHRpK6d8D6pa=|kE`
zaS30T7KwB0Wq47~YN!98vhf^uL0rQnRx|wtm5pb(6XG6xVw$X%P}z8j+hZ5QQ&u&7
zftO5^#5?vdJYkj7XLt#2$}zf&XOu9yiD&FNu!!3r&fy}fP;A0SrY>=Zl1687gOWxk
zafOmbM{$9YMh9_^Jq!!D72+P8XXVp>@SLelTw{;Jd~Sib`iAqY-(nojvwn(6_`p=5
zr%=&oExw_+@c=hN+=KT_b>c5}Gu&tWrnjKHaS!){*az>J%EVXfX1L4xOi!V_aR>K-
z*avT!^29TCGu&pqrnjK1aSQi?*avTz(!@1(ANb0YA}+DJ;Rfp=J%+Nz_1qP)3tltD
zh~L=NaE*17UPEc)YVP`o*acb3ug#4pzqMuZvbjcYTQZk>Td#eWlDXW=dgZ$*yM$l)
zEw`TeE@YSROTWd|o$o|;3BT~0Z(aHB%1+_uepAYB-SI45erB#g`K~*em;9z#hrUzE
zR6jLWt-Nc?<R^X;tsUQe*&)1SuH4%vJ0>rlEA{qB#`0rx#oiv-F?rEkp|@M=cT9fd
z*HLD*W%9zgTyI@6mOEN=-qO6_*J;goi?eXKy|wJSC+X^k=W><5+ARFgucqwQ=E?Ks
zetKiFLwMd?mhxSjC(oVBRL*qgWxo3UxxdOD-OkKc-#hnH*`eDn^VE0GeO0#Tc4nUX
z&bg1unr^?$Ro_1MR$0>R%v|-Yb1#)S-F}%<ufBQisj^+~T((`l;g@9H`j%ze<?DWN
z)}?QcY`uKVFUmUgZOhinSN+1QLwy&NGOZE5VZ!Jue8Pm$M|g)x<5kXxSq@7%SNJKE
zGOZA{nA`A@#Y^1*)C%nIQz&6tApB!a!*doZ^#hiSUcxu#G(2N5Qg5(qJk9AdtKlh&
zmbyY_J<}B78FL(#aC-PD6fsQ@u9(BHgwtkL!($d1-vt+0BzzYXGIgsRuwZl*_AqHY
z%BkVUaFK=2_rL`fF5iX=ENs3G7g(5l6$+Ue)EX=r?S(DoG|cCe@LN#8RIBD-!DuIZ
z!<f-l_=IueLCyy=8y>K{^Eq&ig-M;kqH#ax58nm(^-MLwH)c27WBH`kVBWZ!^M>z&
zJf;%i8M7Piusl*@FmK$>xx;rsE>n(h#O#J!ESJ<8%o;ay&hTB3!*rc<f^R|&Q<5;p
zY=#>w`_vwoHm>6=@J+~OiV{9Ci{To}I<*AT##QWF>>9o@&X!y7l5wV7!%N2LavOdz
zc=NCL!f=&+iCsOzSH|W12DJ_^nLXqUelc8RZ?R+e!Z@G*Lyg08W(#?TmyEOdFVr|Z
zV>Xa4_}SpezoEwADYJ@g!zaec{2gByPO`h$F??d|=WqDZ;LcxA)3BJ`q>5oNyNO-G
zMP>>41uqyo<u?3aILfY2bzmX8M3uurc7dt`3)nfT92T&v*fG>!U>1=7@SL%o|HEg7
z!|Wn<3(hlh$RBvlSS`2UIb)@q!4C!-eu0_;bJ=fHIy_)zkpJ+VVL$s9+lKdy)%+K#
z9quuIkSqAku$%pgZNodpV*Ux$4xp9(AHFecXWwGm@Rl)~KcL#-7V`zUf^Q6)*{9e(
z_{Fe^y~oz!4PzodL-m3B>&$!PE_`KJ$DU*B@R~7_|3KA&tITWU0=70>W8I*aaGA+O
zSK%^~f$oD#Okv^)nT%7#7VK)c!n#23K?&nBZjD%mmrQQr3cDIEur}yDC}y0;{UV0p
zIg^HNLNVhk?h`Q#&zSVY4Kf+0aj%GJSi%~hmr%qwQA}ZH!wFUgy$6Mi^%KNCWH9z|
z7i?*8<4)MpaEw(ais3Pnh_1p#CNc2^I~ZKJEw(T?b8Bp2aN?HO!r;iwv8CY%D?=2+
zBc^{k4+<FT#1eKi9Af<v$?%ZrhfczIrY|}Q=b6~V8`2q@xOZ%3u;%87VR*pwPwc_=
zhJCCbbQAI!tGG`@Gu&f(Czi0ip?(+Z1>J-^#v<;HXofpX_rxA-YuLuRK{p|nF^k(H
zn&B4HIkALo4VzeF#2vOZY-H`wRmfpX;QkTSaGhzF*nzDLYgsdN6|xy4xOYS~TxD9t
zccF^m8gq_S!!qU+D~4svF;)j&G3v-JxWpL3KcR}@3iBMf178@HvMbmgc){qxFHm2_
zaDll-?!ae;x$F;Y7?v{U$T@swn8|*?=D<@%HBi@ND*J>IhEvQwatfCiRrm!e8BQ?U
z$T3`El#@O1k)e<8!V88Tz6%u%uIvdm4U3pntPVV46ya}p!O+f^@PeV0&*23_GoQi>
zhDN>#6$}pS4mJnoGt0;wIM2w#A5hU?SI@3s!!V!ujb+0;<|md19x#58arnSc&X@3<
zp^=@z=D>Z%KYSO;8TK*1k#%^_P|1G4`oLYrH+%u*47-@m$U3}ZC}eN2K5(1y4&Q|`
zhHcDiWF6izWU@P0AGpbQhA*J3;Wgt4K7%rbjm#~w3~w0X**{o0TxZ<Dx1p3_Epv)2
z!|Qs6aP|#W4p$kX*bi7W_%dHGY4Bk_VA9~t93k7VlrcoA;T1y=dxKTOWyTqN3rZN4
zFiXfbykKx*XRvBG&sf2?pqOC}^8%BGvy2&h3dIaFn0Lq=Si%^=x1fk&5}Si%!%0RL
zsfH&EO6&}l4aXTBqz)`*wBS2%kwJ>L;Ua?+`-b`qh92eslLi;&2$_aQ3<A6lE->)$
zF1WzJ!JBY_frVG$0)r4cLk2?!^AF>O!;C*98y+%nv0q4MXkmU}++fRm!MMSOSwe<k
z9wP_egL4dTcoYg48km1bH{56V#dg5FVK3tgUWI&y3g#Ws4R;w{u{oGG>|{K_s{m@2
zR7f}6u4lN#cEGG*E8_}Yg<OUVW((<tn+&Je3S=2>G8|)5Fl*Sr*ueWBn<0+*g%rbe
zhHY#MOdHlPCh$JUVhCeiQ57A3t^D%pmuJ5uRq5|ty?*KTORIk^+qdHV%j{p%_APn;
zLi<<Sz6I}}zy4XaZ;t-b^3PXmjN{LI|1|eY)V`_fmrVaOx4uSs@1*=E+&}I1O}OX2
z{_*P!MV5IMIk(*O7jOR<`^9Kq*FBf_k5>Oss^Z_<cF#$FVfh8^7rbB8_BF&E`F_6o
z$FEA>`-irl_x|yz^821Dv-3{(4vL@G{&BDJ?Vd*c2fx4n+FPrCfA{xSdn@(tRe!&=
zw^aYG_4iYI3-#~({<dpxu73UP-QQO2&D6hD{cYCX)c0RitB;4h;jKP+Z~gVxZ>x6R
zTO0oRY}LkltFOOWyX#!Zyv=hS^E@}-z4L5N+~!%Ad!8B3-gzd)jY*;KOcI~*jQww(
z>J?X>jyh*FZGFyDt>DU2L48J3=D&HOW?XsF%g<<1f6fyn;mQ+Ed`1)M-#nK4TyebK
z>YQO;e$Hd5%N56r`V4#G-#ilATya#(&#=os=aJCl8LtGpEsiM6`*m3Eok6?#nuk2e
zKMsklGiX)UdC1}X;~-z2L9_Up2Q2nY{5qvq0_<}iFj@OPVC1$qz#tZs>fR@9GvQ5u
z(-Zfpislm@Bz)Mi_;;v6!xXK=*^8K1*c=oV)~9kyn?zsAacoxPWiw35OR#7>#KOgs
zwWs7~)3e^0MwSLWHzqyfnx>t#?!|$IwC-7^sS^?koS${i(DzHyn9#N6)NGv%%I*h?
zMGh{$W7#!#F^e4Q2aS67kJeuI1Ap{wDi_(i_=zm*dyR7Ujn-aw17GwRm5c0Ld_<P@
zokqTUp>@62?Z6v-o61DCE?y$b`c@;|-O$?WX5cz^Me9>H0*~|wm5FRvTqAq*wMMM_
zL#tEQ0=M){Div9?I7as9D~(X+Oj)ki0cN@>2Z9>|6ie@|(pITkkRj!@Y+-`bsih0o
z@EyGrpvCK2s<G19QTEi!0H5|CE0IeJd-z;SG!{BD%AR^&A7Imd$x`Ip!T_mL&jL)^
zCs~S|Ss25YdMQAsJ;+kT(|MuHsV4zSyskwW6P+7nPCX7#;C*^AK&JgshDLAOp$v^)
z=SUNgV+%E;ycR9g;d3q2=x8g-&}eH5%Ft+OGs@6tY7@%P=yYZ@5jnE(kL0O`0e^Uo
z7HG6Ozcdy(wD5yu{i%5iUr2h*Tgb!5dOm=qy(nFytWC&5<iNr|yhrmjYMmcSpSl<D
zrEQYA$ex9Fc#r03lsYezK6NMHNt=+l$c}}3c#r04<T@uxpSl%rrEQX#$d-k3c#r02
zq;jvEq|!N&)t^CSVNAP|*_4e7J9t%dG!mSDN_AZi*wuE(bjsR=8NBtX*%}efJEgj=
z2CS;P^h@~K{2Z&3P919=@`_h3dZ|7$&F8Vy?H|W1&TZ@~Kl51b_mATae4i%FPxy6m
z>HIbSj$HEB`s?yleWktQ-;*!>ed>dL316Du^Uvjr`a*lgzbBvj+tgqBDSU2zz^{|f
z{7vd7{S-bkKSpoHLEbWh24<ZHjKcLdG8IDwY#1|~3m?3cb<R_Gc+lk)Cu4}pDUYKr
zJq<jHGrtI*o*(kh<&wWfz0uFf9`+Oecs}u0sQ>gs*u%c^i}3OJ7QZ|f&o}tx`Pg6V
zZ_7vZuDVGt)Vu8i|8OpvFY~K&;e3%_oD1jk{OVjVpXHb5BY%d!EFabD-I6L^u-{m2
zULRiW&T>*n)w@pU^OFzib#+NUCLfyr<tOJu{~v!+&ijA)qjKJ#t-k5GdXxRm&%)OB
zoPRhU`2VYW^nLQa`5*qKyjQQXKlz*Up8vbLr0<h=&A;$B<(+zwedlk^JO20T9(|j<
ztyQ2RD7kIhO4BWquY_E=Y<N*X>9DhlV+#xWUxwg6^%|d;9i9kpo4@9-%UktKd&l1=
zZ~C993;H(swf~7aqi@0+=ePW2d7~b0|M8dSb^jf8o4yLKouBfT<+Xaa{l;IOSN)>O
z5AB-lYkhIcWFPB;TPAy3N8asRIydB2=PSRU@}^yrFVCIvZb^yy5^Kr3oiF^H%9(ae
zK0mkO-I8MUIo1oe)K5M;H{+d3vHA?_op(+ynH%wLNs;=bGN+xBPtJ9@)%nCvshnx&
z<l}Q4Zk=2_*W%rgi+)mXTQ2%Zm2b*W@39WtGTFsC@=oU?KY_PTF8J}hU2?&X<88_X
zKbE&D7yN|EnKINntbcBve0c7Uo1G8+xXLf3tG8G`+&tOV`r_uvHrA4N>N)4l<#_kx
zoZp)_Dh28d)<17|-uL@ec4+(Ly>nl@RmoScu-<vQ^RC~kGN<j6cg{WWR^^?%Yu$!N
z8lRjG3Nx#FRXNytE=>6F<blgnRgW4C#}88&Jg3~edTFW8(&Z~>Oqn`a%2JO_tAxXF
z;{|?s+m@NL=geE+_n_?4HsS4ax9Bc8RexEy!B0KMI`VesEx$`;P1`1Ko;&01k{tEx
zb0@q_$x%<T=Df{$!*5^Nqpg$I%`JGFlC2(PeexFPHNSObNn0ncn!V*-%U8A8d5d1E
z&CF|hsWv@tQ<bo{`N|UEtFxEfWBIDK+}v=l=SyFYe4{Gii?dtqv3yaRZ~k$Q=W}0+
ze5aRc^|Q?{?(ux)Ymi@5IoZ>E;~vkazAATHKB-MM?<^5MIok!)GwC;PESc<XUbv@o
z@obY_oQr3h+)KIWE0MqGg<5CcrV8PsvlVuoTsT`|m*>LS0=rHwn9Z@vbHQwtdn^}x
z1@b>VS8F%_SS);aw#dCD=Y2Wy4?S0_&Rg_ctuoK3Lb%?>TyW3HxwCKV^nBpUkpHP%
zc>nA#cU#`8RhwVj?Rn3ujbTBHvqvA3zrXK?yrOd9-LtRUZF#3wY(DXJd!ss!@1s1X
z^2yt0@3_0<ty+$G<nGQ}zL)Zv$|i4~J>%|@H)_{sPq>@%MlH#l6V$cZm-nc2^19gt
zcT--gMVX)6#d*!QeqCNt>Eu;2x14J!Rhyl*C{t}_T2rRl^t4S@!rsO!O@yz`Tyl=3
zRBgGj;aty`J|5{tR>Bu&wwz-rQJZi4agOJ6AB%LSOtsm@7w33B^D#&-vYhN`ym5}_
zQy-PHEk$aRjXO<*PtJ5X$5N!$Z`^1y+1<EsPUqs8CbKvf&onuga<Se=B7ISYT4&lO
z3*n<P6=t1WI8$Pl=far+vraCU$uY}w!AzBNEEjwP(m$oEwHtpl7Ct;v<lK_;J{;+X
z($%Wd7Nx6IrWsiX+ZYSZIXQRcjhUVgd>GO{nG5fq`Q>a&zFM{M#o3<sd_JTVnG5fp
zdF5<No?5Z-#Mz#Ad>*8IG85iDvwq9jmRz-L<G|UTw|p+76`2Wdo;l_06D#3OGkYu(
zmCPn@n0)0dOO9H+@yA)7*L`-RZ88;JJ2T}hOSW3L@kT4o`<}o2KABI}vQd|;@qFza
z;lJsn${O{|+LOzsW~@!gR*lhqS=G60YQ<cYPb!mUsGqdyeCBC3J>^%&3vYv3mXMG2
z%H8swM}7#p%NMqkO!Cs-xn<JMT~lwYeUhhIqx~|vQ~rS$lYdd^q}QI?{0@~0ZJ6BB
zJGbSH%0hKP+mkOmJ$%EHW~8gOYX96UbYv=nQgr7X@38o!m#S0a7X6xZW%`1BPrj%w
z)7Px!eCh2Luk>ruh3O6Zo_toFr~k5s^SQUi-jw>!s<ZS@)^I-a){8fKsX9%6WliUj
z=>hvvKB-QOQ~EjS#B_&!Pd=(ni2L+HwNJnB%Op4b#4nSMP1mX7eC#c<SLLF&Sp1?N
zLN5B2Uxb|XHNOZs=}UeQa@6PiGU>>4hAPfS-v9PI`Jh@Cm-J)Oq3K^LIUjoe*pqVJ
z`^z4c^WJRnP4&-JoAh^n7P8jotl@m%{V(p(_euMvf7qMyUbRa9WHskK?{{%Y-zV*w
zeqnFQJJlln&T7s(-uL1jeVepx`i8wJZ&kDOJ*zoyd7q0*`Zj6P^q6?3Z<97o@7SyI
zMm0hIXI1BQ?_F_+zD`;@J!7xRYt;z-omHJzy;p@@+9h;tYED$=vihkhQJl-B#zdWb
z<)yQB$tACl@JYLbu1uY??nsI1Qf<Z9lP|nn!i9DTU6@+4?ntphmzUL5HX#qr=MF44
z?@S4ZI{D1YBz)3Np)*rs)}>tX(g_dRDdefWFy`bFFQv6EMXD3E8)Z^DJ|0(9?bmKJ
znXqfBP0*tqLhfEtYg;A?FJFJGeyUE;A&Utf3guHYye4L-c6*6TRbXQ3bUL|kszgwb
z2dCm*VK26|E*HF*)~Xb$HiR|pm}IYQ8Php`s>He_1*)}SPCJC`v~O+}veiDhdD6kD
z4<b7sc)eS5<eV2%IMa?v`=|a`yCh$=M*C)T=RL1aVNKg7?VfsL?UFpz678AMop<WJ
z9)&S&pR|4Ij<rj2Rdci>qdRYTT?%X3Hfi(J8Ecp1s9v8sVQor|YLYf*H0KSkePNHb
zPFgp$0F<<&v`<EHUh`TPmb7)!s>xgCwR}~X?YHQq%1pnemnzf!HdP6EtFJ5(x;lBu
zJeIF2%he5SJzsiy_#0ITU7Xx9kL8QXeD#ku^`6f?E&QEcs?1iuXyf_J)4;!|a+0U|
zMjOwko+@)&KB-Jr?<^5IIoV|%%O{n7^~RD(?&^g$or@=%SaB|%Y%(w9qNjxaq8BQi
zew!+Uj!ssvI=OJNgq7#Q$pTg<7fj}`@?0=kWgg1~PXYf=&sEyhKNbreo-8tN$$3u>
z|3lAJs{QI0Jy)spGpZ1>Q5Up1Id}36OV0<M4E~?Wh4xSWGPmWuO11h$YtMV0AN-2S
zg?3NAGPea(Sx>a~yyN-6?^Bu3_Q_l3w!BrzRu8oHyybbpuc%CD^W-UWpHvBLn%pzj
z<&8?BI-~W;>z;f3E|m(co18P(<+Vzr`a!FcS3TGG1({B&zcz70U&>_<lU|j}9tOQn
zE_sBxCuOQkbz5XL>B__feNReMmMLq>a=!F%b62vObYWsc-;-jMdCD(kIG=lH^rjT6
z%u+rn!}-iZ&)q0fWt#Fzna(8>1Nu^mR3^G9Sx!1J(V_22p~?iePZ=tG%7rGA+>{ed
zCLNooBgOgHL!?*bV!el$`yvY=7iCKmA!lVx6Co#MNfRMQWlobxM<y~zaX#|+*Yl)6
zrOqwMV$z|BUnDsndi>}~Iq&hMN9DW+n|o8bN|W+VV<Bs0P8rSz9{=1PnNQj`@k4J)
zzDkwyNome|9`D?e%qQ)dc%e5XPo+q?Q=0RR$33@4W|Ou}+|Zknt5Tn(>?zH8%j29|
zlG&t96Jy++%qDG|*wL$!qmrQfQ>yd2$1b-+rjyoA%;;6gR*6vFDb;z^W0l>dUxL^A
zbAEL!>reT`v8+Gl*NIo|I<-qKxrf+K`XzX!e@@+zFUm{h75|=i;qGED^h@wUe@)$y
z&&qS<AO7K3+MiSB@>zMN{J}pb>Yuu+)gJkzJXL<;7r|5gK6NUW+*Ry_ehQxGx2a>f
z<Stix<fC$*-K7`GJ$9FVOmvk`{L`_hU**?{NA4o_O)r$&?UG(7x7s<qP;RzUdZFBC
zH|d9<gS_LP6Z89J>W-Xu=dlm^G0{$5@ejxR{x?56=Jh}MdE$ZlhZ>g;%H?)R&z0*N
z<r)8+xbObQ?$UR`ef@80UEV8K${+lF;;#D}yP)rayZX=6y1Y{^lyCff;<o!8yG!2$
zxAm{7b$P3tDew6E#7*}zc0u1JzIH!hXY@^QV}DC6%Nyl*`H#OmuDkEB+w@g%ZGTEF
z%WLIu`HjCkuDV5;AKEq1SNh_Xi9XT?w@mbwj;z1iv9vejR>v#1AoHeO6EFA9$XimP
zyhK{^ZpRBZCv&D<6VLZn<Si*yo+G_*%fz$28F?zj$}^;Q-Z`<PHzIFIk@6%nr=1f|
z_PX5ac;cpH&a`vl@m_~pCl>cw<Q=)_CY9TA(M`&HQ-*Snbl{eWF4B>AIv%+R<UYCJ
z#*@3`f*VI}eaZzlmRyw!ZbIfv8Oj~fKQ~W2-23BZ$3r(R^GoT<Ez%D+PqdZ3xOt+D
zwB#L*dA%HYPtLi$$x$g#Zjk<YyW_swFSA42C+_Wik*kugTp_*lcE??}S7uJzC+_S$
zk*kuYTp(R}yW_UoEwe-0CT{Irk*kucoFQ#_yW^(YDYK%x95?ITj+rTe(qcpIlWgTU
z>6f=SuDfkBTeNlJn%;!mCt1p2(kpj)ympPy-}F*xmfob7N;C9|UMj5?-&iuyOFVF|
z$1B$W{h}(t%iUArTD~YP78l&>@xs+X|5K&l`R<aqmd{F4^fpxrp6yPFWBIH!U3_MV
z;OXv=IG0PV8u~_+6Fut1C+_ih;;NwksY1|0ys|{_c(=takHy^vyF4Ddip92kRO-^3
z^g^jyJa7-kqHdX89Sgffc5y81=GoP;pqpiv$0JvUSeB1U^?F4Wg7)HudpsVx{)%b&
zpj4x`>A6ys-lXSB&Ef}(1rK)L+1W9-n<b9rgHpZt$K4+HU4Q6pDi_>a-~A+(<-Jn5
z_{QBHcU@oT8I=p}>^>69@=hsVyl}V2ZPy!mo5}>Yb}xx#d8?EzZn)dyrt3O!#oZ@v
zxE|3HDihq$T@!ocwNkA3!(At?xo**$R4TZpJ0|wXE2U83%()z|UCex4F1r}{vRro2
z^GzvLnx+<%skBPib1ug#7eDnRtNMwTIw$z0lqf9{=A6s%!o^Pgk>$j5odtd^mt4%$
z7g<g`)0yD+q)2J9T9W0&Q=J~OIG(zwsS9N)O%m>$!|}vLPMs-JsbB4pg`m4|riq}N
zaORv7i#iSbTrRo@`KA;qbqPC~Omr4DG@0lmtY|XPQCQGqqJyyK9F7H@3Vu({yVUck
zKT20>6V{yLF~3v5ujRbUHy@YtE}wi-3Y03;lq@D%3vV=@c%YNP?@7K=o$$-q9QR$m
zsVy>}xTo`h?~^>GGU1i8IqteVQ&TdZxTEuc?~`1mJmJjQ9JgJrsVy>_xTSM}?~@#*
zG-1uzCrXu4ge7Np+;BOh#$+~eeP@O5l5C~=7~z|<I<C2FQfo4uxVkgKcgZWowfu>-
zCziEmRCz3GPpCSvw0(`;kxR~6wk}^4SMod7o_Oi(BOmlj;8J^!oy!-+h5U@QC!Rao
z$Y1&?aIQU|>clf=6ZuI$1<tg`*ri-@){zhTDd5S!u;#=QXC+&gPl^-y8*5HHc2=-`
za?x2vzW&h*#a_8XFBE(EBfkh7YuBjqSk$g#=kigpL$2tBVw+sh3&j>WqZf)zazZZ@
zJNX&E2pnnuQ+eW{^B<cd9~4{pUw#%i)c&FJ#Ju(wl^*ljdF)uuJG00aJy$G~6Z#==
zp#6{Sk@t$V{12;7+;jdSH|e{;p7uMoN8Txx@-M7DamTs-iJZ`PfgSC8Y>&KE%;it4
zK5@(Wirl1c0$bYW*dBSKn99G?uH}tlGQVK8#|`HLa-Y5mtZy%|ZF#L2&401V<C^mZ
zxuUNEtJ_0#my{~5;l8;=z?b{v76Bjbom(bcZH<WXSlYTmPo-3G1-E5v$4e(Kai?7q
zF1B{)sgx)#;QkrYQUBb@O8n4H0Wa>GF&)pGjKrIEPB`7_6V>t5NlRQQQ*jFS%ovX)
ztsZ(RMT!%+D`Pm8wAw^<Ja&@NU2@S$LU&1_Vz=0#9RjZ0o?9jyZPn0Yx#+~Fd*p%>
zmu|}iCpKM|3r<YBDus#-Vof_H*mGOPbj)v+&|6ZVSS#kVL%@#vX8mRXTkeyaCmd{j
z5ZUp->7CAzb52a+Ogkp*Z~dXWBww+H`(|{<J*Q7%P1`5zZoQ$qBu}w~duDXU9j8ZP
zOxq`HZ{4B0Bv&zqJ2JZCmeVD%rfm~8x6aUAlB0ONb%Jh6j$#rwXEetRr+s3NwoX{r
zTA-Vftr*39GK%Ax(>k%FtrJ$&H*b+^`KmCRZ_!JInS4zz6{hoTsuJ*KUs)n>wRwpg
z%U6Zv?1r`;FC9Jjjj9ALHn+&Jd{LOs{?W$cxuXTY(@TZf>=$i3o;e!u7gbL1WZ!7x
z@zha8w&jz;WcJPyfs@THax9+|`q>*xCb+W~+H@>#HnHMZ+-xG3a?w$Of6)tt`cA%0
z6#_?_6|7DyY?iR{SlBFJbz(s?hn2^IW)(S>3yuQ(pPnnUvwtiWINU5Ex8%Gd2mhhx
z3e|jzo-0)H8C3|_unXFpnA?2A(&K?61OKOTf&I;2WLw@VRI^{S_PFQxfv>1sV0ZHs
z*_L+-#q1NUJ?=O@;QLf2u)TSUY|C4P?0WV<YmZxw7x;?G1U5HMk$qAnu&KF6*5!>t
zB0Hn?iR+Gg_%4+StZUAZb$P82$$rr4#8t;Nd_krYt~GApOS$Y|!mD!G!GQP4C5JHf
zq)dgWY>TWWTxndu_oPH&8MCG=$4dt{b|tF`7aAM*o)jz0V}2>a@!Ua!H>Fr%7V}9N
zj%W1_dhAA-3e%WZ%5*Gg4B$&CQkcl5WI5qPqXXZQLWK!zpE4Bsm<vrNxG^W1OgPr4
zBgOI9L4;T3qJtRwA`1Z*W=j(RXJ$<k0Vifj69GqNPLl~o8X2TG9y$Euc~YQI$ChL<
z;ZWljNsfmOKX_8kJAC0$Iq$&6-juG;#Jtm3z`CB9Q-<S#!#}o1<`ecce&9{XSEyn>
zDa~=u;T>C&`Gj4K7kE?h6pENTr8(|6++%xWHep-i2Huohg)C-IX^vYC=h%|WCTwbq
zVRtf{u(7d&S0zUwf%&IY$90EYY==xItZmHTRmoO}VBRU!an)hfzl*>4uhr-LYF}2L
z@{4_0eax@=<FD*>{w}^`AM$_VFa9g_bN(IvBER&%!r$XB>|Oo~zG68XHSy}_cZzck
zyL|Za;}64I4!(a3e~&-6xA}kZC;z$nfM3U-*_-^I_>=!keaye)OZGbd1Ap>+{$KFt
z_!E1jzs{fJC;o5vbNsQr!r#Xi?PdNyd?DZa@8Aph-v1F__>a|Vr2leXRIl^T`J;Tt
zzrq*tZT|va$hZ76d?DZTPw<6&=YNJT{735l{5<~9{?DJoALLvAzxd35sQ$yx<MZlY
z{B)mJ&-0J@ygkeR!sqg3{{(;VAE^KH_wal9+W!xJAHQe+<=@2b{Cn!}{5||``UP!9
z(fa=hzmMOtzw&S5H~ua4bN(KFBcJ+z$G_$`^2z@Ne!JhWKk)D4SN`?&C4ZY=%SZpe
z@XP(0{f2*qU-?(phrC@}D!=CUjV=7XzfWx8_xZhJOaIl{h+FPUYgfEeE|p*L+v0Bf
zOFOUcj=TCV)^@y8E|FjG`^TO3=XO@#5ANjm`hDY0`?GpGqwkG7`%l;U+-iSnr}bSi
zQ+`TTobl}2Z=RVSwmerf&v>r*>$6J5GtbtfnJl+FIGMrI=E*lhOa48T2IrXb<g!0+
z*xc{&d%_*}lm+EJ=aLKMCw$JhbNsQ5%v<J5c5-hI7s~g2yO<&0^X=k}zB9Etx7Z)s
ziL6yFkzLgM{={cK+xnJ!jNkeU<U8**F8|)Qw|LGx=dUtT&n0Hccfa<CZC|ytbj`Zv
zQu(g;E2@rPwcen#Hn~W4%IgVR__kI4IQuwXuHiGs9ri_)d)_|2VDso(VupO{H^&UQ
zNxvmb`cGB{oJ-D;yI$U~Px*_?^lKBh@~x@mh-$xT$Mn-9=J@<lnRm<=>g@!+e@vIn
zcwKS(_(LnEwTmxU@x48K-j3&c;EsN~-wJow=hwcu**>rK$<5;r>^{74E|4$(mY6Qz
z_?zL*@%wgvzFpkTzpwVqTjzZF%HIcWAHQq&=3C%){#~_a-a6;W7yfRzef+lFoo^Sn
z@o%eL^VT_6KJ&N3?c+D?&U_2p*8ke>MEy6zZTuT+Ti!C~$jATwaLfI=-HvY?xAL#8
zO?k_lEg$}S!!7r#wo&g7R`vUSzfjWe^Zh_czxVfuz3oe@Lw2>lvJHCQSk-^Idd9uQ
zU*wj2m)P6>!q(|MV^#n8>WX`dKg-SezM!Q4Y<0#x<<D|6zVFy`d`Wf0y~Us8CcSg4
z>_1uUQopPHiLKIm#>)QV)egIkFRr$@cle^M)ZONbwo>mmzL4wr9#GQn@;zct`y*R{
zyN@r}^4wi~!ItB0@&#L#yUG`Ah2As1kn8yVqqzTY^^cwH4{f>LUwkgt^8G<^zwP%6
z#r-zlCHAn-tLC`(_?+#VJIWvA8ovM7-G1Np*Smw|{d=ol)ZbNpFIVw>$L{vKwy)kf
zmiO<hK5<w1om|29irwwEZEw9hSk}L_dc|Gkw{jWZEq1rxv_18%a4-8!+hbK7ca`7B
zC4B#}tNps^j&EOH>|zh^Uz;g#&v~_b82_5;n7fBx$%WcPJwJFV`Ld14S>?+%23J?i
za`ZebQhH2PZu+y0R{Y+d>sOfYU#(nnj=5BB`DcT<?k{aTo*SNWE|FVk<4{?1?r^b^
zYa5T|r!OH48;a%T*l743?mM2wB{%Eyi6@OG9}68-?Dzb<;Yr|0=S!S&Q$Ej_<G!TQ
z<D7Dl+=R~+bJ&+u+RSQyY$J1a@kJYnvx^Jmx}P1i;CKD(VbXuJQsW%+MH{~QvxhI(
zaGh<wV8eFS`GO78S>-~xhG&fy{q~<N=CseRlsLDzK(6+gqXoa+=NrcSwx3TJ_aCf$
zFth!E&AT&)&)G0NXSC?wU-{$g;(WQ9&o^eb-?RDjtkJxGcjb+<i}U14KF^rle#hp~
zGe-0N?Ug&uF3y$9`5ZC3{g%z8XN_k4n=9*QoL!tFcfE4L+2kC#q|Y3)*>BkFd-l+@
ze_dt4+2m}wsLv;6v0t-U_bk!0e^vRGea&BGXWv`+Qg-IO#+S0w?`{0W=ly=g7rv|I
zOZG8;m0kYcpw|7Rwa0zKUwjwKTlO)3k)8kkLyh}$Ym57iFJ))Hzfj};%-Z07;m<zL
z_Zw>5pIX<e>}~!eJNbRb7rv9_F8i22$@af*_|oV8zM!Ukak)tq`{HtweaRQCCGIbL
zA=`Ox;}5>0<qB2D7nVy@xi2gis5-u&oTJKpLAlC4<_p#W_dh<DZGZpaGvDEIk$sEL
zTXWn$_*}O7-oodymG=yP@Y%c<s5w5j{6?kw18au+AHVbMFR%ZyxB0zn_4^Ce?)R)e
z+$;Rfx4Znx-sX3*#qTFnyWg>XaPQ+czU}2(_BOwj&3+$H?S9Mp!o9+8e4ERs?0x);
zZ&P{CUgtNmiSHSzk6*XmbMN9;zIEj}d!1j)M!r7)DzVnw3*6dwt#re><jYnjYn3ls
z8LWML$tvu6Vy5iWYYTVv)n6%Hu<mh*?6TJyvFtCc+^#F`>bp?du<miO?7Y`6V%VQs
zX{=2ymYwzbL=5{gE4}N6nX=PfuZU@1QW~%>xkz^6HN~BMCrTaGJuZ};aP4D;Y~SmG
zEq!jU6SnjnE7gf&e{3bPR{5fp*!6`w_*`CFY~gc$t+9pA>9xcbKF8M_Tl$XFmoh}L
zKeGC_=5c{+-L=FWeTPcFM6y4$`mrYYyw#UA%IB@vt~aL3Hoe}lna}z)M-2M|tAE!X
zZtvSy`eAKyzHHU&6VdGVtlnKq+}^jV^upTYJlUex9ntJ}tnOWVxUFwn>4vq*xw2WW
zJ)+rfS)IF<xUFwfY0P!UZG9U{JJu@a$R^ak{t?xF-D=mhgIoL7mS(I~&X$dMy(6mq
zs@1A<7pwTL73WyBFDp*5VqaDqV|DzMrOw>Nmn=ihPpsm*Qaoqg;V&{vpDWlNe_`oz
zUa*SqLUGN!!=Gj5K7U}tzO*=Jp7Up!na>Z{9Di!5Huvx+nW@hwl<=J@_L--A$x`LK
zU?ty)Vw?JT%$F?X<{thi(|7LT3z?pC7c2T)pC{O~FDh2CI{wH~<b2}`nf7yuFJxNJ
zIlho-KBxFXrt#dw3O<MD4mQW<7t72$eBP4hd|*YN-E##S_W8wcEZgT5Ke0Ui!1BW!
z=MOUF=MtaGG(KmrIey>r&$)}`eEW*u%yoV*Q~CUW_3^uwZ|ctlmh<f@J~P+(olN2L
z2J7RuE$^JWSjM-lc+Fhrw=$W}9juSvv^;Yzu&nR3<%x5KWqccpTjnyqk%@o)!OH!*
z<&JY3OZnCor_5!3EffBHgO&SLi>TuVt@?Z)Uoh$Od3?a6&--zNZ2Qu}5UKW87D2}w
zt@<t(&gffQBD3VNglzkZdJCuHj8=W;3oH5-7t73fyuhUIY+**9a<R;e$2(+>FDZ=Z
zTU;bF>6oKs-^oH3srDxpO2-*3`;HenNF85XXwi50qJ>m%^F<4(;~O(%dL9Ru^tn8a
zkZFHpA<+Byf(1|S;tLiWy~!6WSbCK&SO^_w%#i7L{KL5KaN!Th_J<Z+$LlYq%d|Xx
zVBBZ>_=0ht&0`4}_IZUIeUHysyy;OckZE}QL%RLG#jj%r&HMHizUWoXm#KKXL%RL0
z#j9hE=6yR0PxLD1$rL=UkZ!+iaqHMYv%al`D|(f4WilRHNVng#ICZR0mi?y1v15v6
zeH#iJdLL)Y#65l?#eUsl+p&eFeQOHq6M7$K$%H*#@yqSCdBpyWFQsSgoA^?C#=gRr
z(yQ-p_|ofjKj5$1EAxQ;g}-<&=TE6?{vy5jzQA9%7v>K8KmO!BpI=hf{8@U+zKuV5
z&*rDpF@Khxet*Un-qZOZb<UT}HTE0+?De=m;g8!BbA|mMfAD(TulT}yJm2D%+v0qK
zUv7`<&Bbb)KT3D)oA^Sy`+mS5wnh0ezuFe&i~M3+n9uX8Z9zWEFSkeL47JQ3rR(<<
z{@}I0Z}7+Mq4}?x<`2>}`!+t8uG%;8xpedW1D|;h=HL0*HaDN8j`@Rh{rwNW-R_(J
z*thXJ@80|;wao9O%kOXa?RMAv#XiIDygT!c)H1)5&c9z@@Z0US`Hg)WzwvI(UsB8b
zRyzH@!Ed*l=Iib&{62QW{K!7RZ@e4wYibX_mX5vu;McKh=3Dkn{K~r~Kc@EZE9ubN
z8F$%Uo0;W0Up6z!Wxi~tmz!KFJ#AZHru3@Y9(UPZnfYx`+|_$2cS2rriS(k|9Cz7X
znAvTAxU=_MZb4r2C9`_7?F)DIp2<zfdt4+vd0XPn-cz|Ax7ePVscjd`l%90E;||*s
zGr8@InbQ5+9`4|Ezn!s#*X?%3onwn~4f32XnhE757fN^CcG%MEeA{44uhVUXExnGn
z1-A4$-1fM`wjfs_@9}vvzU>dwrQ2?6+;N+qE0EWG-t1eB^LevRImrdm^%dI`cl27{
z-mtm%KrTbx<9zA5+b?dj-8cKTZQ=IbJ-H8ZALmJz-Cl8<?XKCgZHn7_cjO+(eVi+u
zcRS-Y+ikOJ+ZJx?-IBW?_i>JN+HH;7$4aGBZcE&5yJ2={8{@X#^|=+fi?gL;Zr`}o
zcFk<lw#Kc!t8*iA7r&BPdp%+Av1QpA^}F1bWhd-9wlsT9+~G^6TCvVwrB+^d*n8}y
zsn7brD&9-kJ#o%oq!wOh*n8}`sm=O}mAvP&19lyIW@@s2VkPgH?3lRZOQt&O11otw
zuP@kh?1`yTtn(+SiPszU9D8i45c~L|sm%I^FQj_c9eg3xdp)9r_gJ>ZF1JP5I&sb)
zr8?Ht7ru~cTNn63s%4$w3#q1cf-j^xuQQbJ9?AZ*^VmbvKQV_tNVQ&nQOtWN`@_y-
z^Ri#;beosW6UThslx2P4bE&d*f)%_6vj4;$elJyf{lV^I_e{U6n^?}fC;Lw9;dfG{
z*B9(QcE|L|I>B<@9oc(g55JYly`Hf9*e%m5>n4`*ZpofgAA9(XRO<B|am{a}lCKNw
zcDrGEVBN=3-u2lfvCXfgqOV`r<#x?<!@9y!-qqP5zKctx)?B_}!s~nagbA<D<sBxy
zS2H7Kxh>6H;ip_Gwc@hH+_sk{UdtV=dM{>n_$il2Ex7z+PTO-6tK|nRdA%;*nA7&m
z#Atb=W$)=spIL2BO|<HlD`rYfxjbWz+mcKVKjk8+370G8ur0~7nbr2#M8<dVMH30%
z#f4Jc%MM!bx`O6pj%I53F<&&{^F4gQgbQ@2Kbx=f1rsJ;<wB{3WsMfS_LnW@w9U_y
z@LOCURlCg5g4gcy4P##0%O{L`4`x1?+4jKXozLNOCQQp2EqeE7{;2m|oG(>#`Nr(F
zdnTWjHJbPC&b;BfI8UnN@{HMScT65FV>IvGp1H$!ajsO(<%rpBw@fZAYc%WKoH@gH
zagNmW%n829IZ{cNIcBrnFxj{4p=s~B%mUx!Y^kWrCuXr-Gg-GR(X@9}`WCz9uadLp
zEqp0Cb6(?1$?5Yp{^IdIzv2te)%x@$cFbQTm!CJNb$e;-G2ieP&&Bi>JLWHv^Ur^%
zaeHoTG2ii}<m~eoYTTX~8_X~K+2eVBLyg;0V-?%xPm+^ouTV;17gRE@d9otO#gl*K
za?VU+C0l19Pf=yh5}t}f8_bnINlrLlQNy+*-KMJTv9XNp;)})-wu?VXcF#NbgU7WV
zG;ee?UBiy~qA{QC;S0uGw#^re*=(IJ7&F-_f0S&P*Z8By{=7v^+x&D1yTu<QYv(!s
z;ITV@<1>%#`4gXe4yHe-Y<pn*&gSqrW2X6xKYI43|FB*BUb5!=jq0|0#-HXje(%|x
ze#3V0JIRvsGpgI}7(bfF_`PR)`VQO0ZzXfi*GE*h-7>y3ukl;Y=JXl1i{D6IPoH3$
z{6;eAJV!O#4dZ?D9)9gvmtJ6-{8}>V{D~^IYsTy5C4TK$mAXZ*xm0rYw1t_HGp99X
zN=~1)aTkyG=@naeuBI;0V=k3ke%c_`?WK{&bi-Xd7gJmGm`fz*pZ*Zz_T0!~x?`r~
z?9&%w+@2ZL8%!_U+2eV7LyX%~BNg4|BFV|8JGStgOm)#?E|Tm&-LR#{{d7T0+u~G{
zD7M9^CVI&ijU=Wo%#iGyws8l~(Nu+~V+&IyqTCjy3Pc@SkjfF|wjfnSkNJX;!1Ryl
zlI^EIZ00$fDx$aeyb;IrgXxmh(-x*nR!%eA!DDk;Am-TI)cPBdZV!wYrhnYdvp@BV
zZgak5_2~=IZug8nOe@^Zvpe;QZgZYw@#zWCZg-3xO#8TvXM5@v-R4}$?9&0!Znums
zOe@^RvpIE&?&DoNn^JppopU4;PcuXxyKb~++QqFr>r!)cowFq)PalXncGYOjw7}Ay
zYsnkrk}n&Y$SPkpG?0B<f5|YcKk=o+)V_sPJy((!$UXidvFx~pE!#^&w|>Q{o(sth
za*sbt%sc+VhV8kbhHUa@iCM=_*swh_)ay5VDKYK%3Y)ei$pLc7pCl&sDOUEJNOq8W
z{83^;-^Ujcea8z*dfbjDl=K`+*0ExHY$zhDe9=&>e_;iW%W;bm9_Qm4CG|W`$0bU5
z9FKF9^c+cMuwr{;_)q5X2Z_4A#EPCn$zLql9vc3TNj`7*MMn9&AzOdrbBU(oJBoR%
zk8{|tJuv*&_prQYU-Adp<o6O)$4^+Z-7|dGmssAjEBS(K@;iy5;~my)cMR|KJuK_l
zmb^hW`K?6OaSv;@TZZTQ63covCCAkFJC^lqOzx0Xej|}^{D)QBb;DhK2TOa_CTGYh
zzm|wNzQd~Rs^O}(i&i|>5_6>5mL;Z0u`NrCkvjIuK!<nnC4-RmiB>#U66f$8E|FMz
zSV8vK3j>#SK`WjMi8XwOizVhBejvlPG%<(IxmaT6;R7<qo*Jm}9xjrYdU%2f&#6S8
zdOqb#1}g1>mOLjCZTOfk8OZS-E|lnNyO<%-({|CK$MtZ6OxvPF6{%y73`E)+GbGyE
z5;G)P+Z;0_n%fjJBpTZ$TJSg=c91zXKT(G7@OcBC_CSjsyTb}HZ1WS}NVd&Od?I=5
zfx!nJ=K_iHw#0Oa#={IU$L<^aX}f67voG;YJ+E`VMCIWF(#P%^ylD$G=h>BbhSxby
zqVRBo^s(CpciJwR@oY<6!|R+Yk$Kob`q)i_Gi`xpJ+BQ;v>BT5Y)ow7WzLa^Km0+;
z?YhB^wvDDdYZFs=nX@Ir4{wliyQ&{mfACkg@BRy4x_$N^_|omYKjLre()f^Ht*`Wh
z>KlJ`U#^dz@o&)=@g@5u{<gl*cdBRn)qOs`;@_gr;&b*d_|kngKI5O#XYm>Pcl<fJ
zBtGKbqEF(J>KuP|pNx0;)%rwVsh;s?_wjg#Uq=_mTl_n8QD5qB(?xx$`i(Edd-ex>
z>2}#4@u&5XzQEr{7xa1lF1n!4@i*y$KFeRF3;II!j4#AH_SgUT+<iFy$IsS>`dsxF
zpNqHbfAG26cK?OX-8TCr{;<xA=lJ*Noc^0XN*}}<_W$_ZdSCxn-NEnOd*fgHReCR8
zv46+!*1P(z>Kwm!?~Fh3SLvO2!TyTht+(}W)gAoSy)}NtU!}L=8T&1Mx8Br0Raf|z
z^``!@I>m3@8{!-OK6))4x4-_yFV^e&+v*m6?Oqd~@b}Rx@v!|XZn?hJizwfiDL$)g
zVy5_vvcgR9)w?%r>Gs+kaM$&fUO;)_F7C^*Q{FX|h%eqP0GcCoDF3*V`+RK4yQX6C
zDP<dXa-WS&dB;>NK7IF$E!?MLL*6-E($gq6+}Z81d%_*pCwdCyA9rwj?5@~S&wV`B
z;+E^;Sc6-xkM+dfHWi9@l}*eL@7^77hjme`%&pdiu_Cuv7sm43YF!Y^a?ACR9>ZIv
zLh<^t!X4c9yAAHRKGgg5rl~-@rfg%ncvacNbn)ih2R3sbjJ<QSb#5%nJEj8h`rRLH
zyWZFPQMPeA_ukkiZ<+GN%Xe?M?Rr=5MOnS!cJ7_AM?f3a@^=^9cD=24qio|g?ya#)
z-ZJHir|&kn?Rryh-EM{3M{npIDHGhry&<;d?V)V(*xe6q9lfTvrEKC>?lrM7Zx3aO
zhi=c<%lcZ^?5@*gU8B29mv!~-CVdr~mKXR^Y}Iy;y{xZv{qhs5x-UgfxR>-rY|(a(
zy{s>E>+SL%R(79@F1XipN!KiYVP*H3=!AQZK8a1vORVfZ745N$^{K8}zTiu-N!vU2
zus+e1%V&Hk)}QyVg4=z2MhUmu_KZD87eyP~bGoQ2bT{dvSl4!kl5Xei1|{82+Z9T>
z9k&aVbUSSK*u%OYTH)TK^SXTb51)&*ZP(c2IzL+AUQ_*f-EViC&g*`<lk`EXB2TfR
z+j{$k;_d^{4EG+r7pvR;VmIr3-EVmd%e(hPKe+qoomkoS6}wsQ>ORX;EbrbCec<k+
zw_<tQGj_Ay*1eXuu&jGa^n$yO-iW1b*VujZt60i*iQTO?bPwe*mUXX>uDHACwOGvd
z8@pPs>2AtvEbU$$9Z`RGQI^=+%?WdlE{n{V<+>~~Vb;;5k!#K!x}>9Z)~Qr%<z|Pu
zM_=mrqz77YUyAHG=TsuLa5KZ)qtA70(l1(apNkBbb@Z8zN%}-f?lX}w=aMez=%fc)
za(ixGFz4tK9i_8QMPd^-H_SQuSV!UPql-E+=?^o+deaVOi1lucFyTHHS+6n6by1|w
zIj2Igj<muIv9`3p46&9p!wj*eG{Fq9&dm%a+(#n+%sl!~=g*l#1!Aq6Ul?;Aiu^G1
z=)A}mGhOFJ@|<HjufvjFm@Zb9CTPKZAo9=IL-}I0n;*<RdQay|+C+2iJ&||L9?BCd
z-MnD-(K|X%(ge-9cSP<vdni{dcXLAh?4!4IuB1&g<K7ZE=j@>zvDD2w&NbzTC2tm(
z?RrD!K-x!B?)8x+XPdIcqBmcd<$6tLLt3FJ_v*-yy^Fqzu33NM3zzTu6JNM|*6;Yz
zbu~Pq%5`b@ihW97MOUo1sBL|z?G^9%tLtKT$3CSmq6^mlsA+w!Z54m;Czsdy8#S%Z
zw2kWH8-I444)>{QeX6Y$ulQ1Q%K8~Ku1mr__9=Z5ov^;5hIL7}O;zh-ZJE7`E^15c
zUG!13JMQ2QF4y%QU%HNlYwTmXsLi+c&;@O-y-gRi+4eeJ&}Q1J^ii}SuJK2g{d$X<
z*7@NQ`xbo=t&Ma1!DYAp#%C_u^(Q`e9Sna^+4?~HUHzUz=d_vP8Gm%`5C5@u(R<OF
z^*5?p?`eOEYy94|JN(ApMejsQ*3YPJy`%joj`4ff_V68h7rhnDSszi|dQ1CKT;sQ{
z&EYflE_x$+J$%C6q&K2T>p7}fZ)op}d-%0$U3kIXq}QTR>rYg%UejI|m-w}7Rp^#=
zO{Jo<!xm<W&J1hJtQVagws9Ai_v#f}xUPmSS;tf=x_q@ktm{iHk8s0XTo*%I)-jcc
z&R_i@#`U?DMYv<8=<L-OVqBkT8H5+^?DAZ_A;$Homde_uBGJjKJGOA040Tz@R3zHJ
zx?xL~`|5(2*2SSFQLKwYP1Yq{)RG8am?7F3ws8m7(NKk`qYFbNqFlokh6+R-T@cC<
z<+>nLWgXK6ErIZl>7wncKWyeY94fMI(RnS7@Pp~1)nN<MMJvM$cW~LP7Kk}IH}poN
z>jN!@@Q>TM_J@91+mtU_z4}75>piUxVTIedc26y26s=#|lq;IOIw0Ehmez%^!fjle
zL#M2Lw2Ny~XwO=w9MQzp4ADogYwZcUxRq;NXwF)vY|+To2cnK%)mjr4SlV?hc*DG;
z%bF&0l`d-<%zbo8Gt58nrN~sjg;iZwf)~tt^hIRZat&M7mzr+=id9_~f*a;N`YbYU
z`3oD?=b9RGlRk^gs$YJ>hV_}Ip1<Ksk!j0U*t9MQ4w#qpNo1m*VrAEfV262+K8j56
z`}jhnZ+SsUm)r7$lCERHI##TYHAUtsUDOowUs%EAvfQGC%Xzs*376Ayi4rcy<s2nl
zM}irwSRZNroAc;{NS$9|Mc1L=FP5wiHGj-WI<NU<j?#HeHvh)wB2D$ncNBA3FXymf
zeW3Zz?_qh@zTgjYlirI|Ek9w+dQbD6Ut)RJuHXxElirCGE$^^qy`y>0?_pWjw%`qO
zlirGCE%&fyy`_21FR`p^Q*eyGV_Db6;EuUUZ$uK7|FCMkuDQ$aU}@Ld;EcISuSFu3
z@33mUs=3PTq7~P*z#OU8Wq~PD^{mSRW2z1ax7mu+^Y8~U%*vYch=r%+xADZOVM@ZS
zveqJ%wO%n@&I`9&ad|IZk+MjDbsndg@O7VA5$=ZHI^BAt0z-Naadlq~nmkEeBlW4W
znn<MjHxY43Eta+yM-+t@tLdpve4%`>-KkV$<zfffqc1gl+ykw+E(P}VIhBYktY6F^
zd-S=6O<+l1Q?bYtAA>BD#uF@y+0Qz8`0U7i#QW)@%YzP&kmCLoGKrQ-LPe`4pUTa;
zFlp7=BQI40mOFUo6#4zsT=L84;_(k3_#bf`TX{y)N4TkZ0>?_WnHQXo9%q?<zr)>H
zxLny_xs!3Ddup1~S(aP3I?k><E7<eEEyJtcD9|ivOUp9Nlg9<;sfKwcNFDSnH8s1s
zWU0#4#l8iBxj~I}vwj%O_L}Pzz<Kthrguk;s)Kh(U0Yv<Nz)`wBjM{plf4rBB!hGX
zUtUyJI(l`JQ?cqXHP=6>t*tvuoU#SyE3dNAcV5x9!o+JAhgYqlm!Wft9+OYYi<AzZ
z{+UYkX3h&<ZQazxu$Sj)){_(Glb2rg7g!Uk$ThKG$r_f%m7gyrD;#qcnXO^ssbD45
zqEsw0LqpHqkhArvhL+=+&?whQ4g#%90t5Pzin0Y_rW@E!&Ac4E#$T09%VcT$`QVna
zdApjQYi?S8gK7Sv>MZ^DZ#1_ozjSS$*5>M0?=)@pEw8`#Xx^miSFhNAgj^SYB_H&E
zK~z-dYyJiG9I}6zS5AK>Z)W-bf#lEjbM`gsG_#)kXm_t~V7ZyvrgriZ|6~JWZr-~O
zo)}~%eGMqh+}f<-<1xRfT0^PVsYqnv;s%+ck2Mr}A6?XtaetU0((86GL!@_cgbCNN
zK#g0>l3gx~BV=0ZA882ORV)>050Kc^tfX|#OQhA!F+-%;O_77M%VDvH3uA^z$KoHx
zU55jINVY!I;Bvpn&iYW}$ATNiT(*l(7<U~EeBh{P(PcgRgjDO?Ko+~LT}%Zc^@~49
zyUKIz3w+b-#P51f<AYhDYvK0}e~~hcS8k5xT{{KWXgrZS*r~)<&nnZkJ#a_wqFj-j
z#SztA0<E`{x=che7F#$cnssdojB$4~>)IID(W{grlCb!PRO@w(U2X?WyVeF~^eSbG
zL@eGR)p}K9mEFZ(oY(wwezh#~Px-~N%s=MWkyq+EwTmvPhuBa2#d*blPTip|!b|5X
z{5|qQ-Njz;7v}~4nz}=uh3n_efAEK8seewL(`VtC^AG$v@>E@|_RuHcsq-g%;XLK<
zQ>S!EUBzDTC+7)&n>waT>T<P*J_`5QU3?+jV|VdKr|bNLKP`*=Rel|Lq%LCL_(Hhd
zF7btMtDWNu;buF<7s8Ep6Mt|z%y;;6WWK*l-J$d9JobS<I_>5w{9&2z|K?{){XG9C
zKaV_6|4`%fLAcy5@wss0e1<<q?yLW?yZD`RpZ}X$r}x5@^AG$!a##J0UEp`lUH)fk
zo!$u-&TsgA<hJ@9yNlmAxB0KBb$TnDIp5*;k(=sg>;k`azE(eBXZVeCqkl^+(;MOV
z`5%6{Tvy*=xA80ITK|+<rq{yZ^Edo*xvCabZ+>uBr|;|wTRMGaAK23AJv-uV%TnKv
zTP?5Dg3KFtbzb(Jk+-NscuBcIsEOe&&OqUXvl;Fld9G$-esL$~Ip2U=N1myfm`~iv
z=_5Q{O>O1|nIj8cscD%jW(rT4J>!nc5?_xzr6S=8vn%efEb+Cu)$&+PCU=qG40apg
z9)}GxGw#$MS>&K5VZQK$i;{5n>;RL-6Gs;MN^IKF>7;FFV0m>Xr_*c+6VZDi5wiuh
zbUFm5`YzqtdBm6D7R#fM=-EG#9~B7KnI-P%JmmYOlI2NA-fV>$mnR_w{S)SRy%U_M
zWqvSSxLPjJ^6H(C(%_rErRJjZS8{OXI-OVh<YSt#>WI5Tebtf0zC3wM=R*=_3)FC3
zJ~G$$MkUK*WfkA28N!XT8B9K!i#`dN8qyeibLOjaAx+J*Kiqb?ulB=iqxsc&zAti>
z`ioYX2v-Ep^=;kOx!d<f?xH;5l91flGj6xsd7!K|v*R|)9c7{1N4dgz{Rv;Ds6AJ^
zCAV=W=QiIpxlXylnX~I1W_uM0rw2#+xmsR*qIO2^qE)D9^5c-O*&4TxlnSTJmZ<K!
zsCL9ma2w|a-x}Yel2)QGlpW*+twfi)cld4n*>TagB6rbi!6maFG=1stntkI|%e9at
zzA3p(*}~!dKkl?#RrWCtEbYAJKE+P8lJlB(&aReaE7!@`YE678G()ej{-x0B{uebZ
z&x0rECB77zs<-ghmE<SN8nH@Wg;q?rs6CSOIHW-@v8pr3ak@gS%VO`IIHxZ{3#T*e
zJ@Q=DX8A9z8m<zp4a@(;Ecz@oXZnJY&a=y%mP^g<%31X~q_u9D)ts)2swTnmjVmt&
zx9Lr+<UHdYW9M{P+03>z<Ks$~{)GCyN0xX;#4RdXb#$eW@6wEmsw%!m%|q{p)ceaS
z#WhXR`YbegdPfQ8NpF`prcXls(;G@U-KQ7qX<6)TvWsQ0w@F;mMO6v?g)f9U^)^;;
z9`#n(b!4HpgjLHj!K#%09qVkF{O`oh16`}z6zy_1O8sevO-uic%f~+m#wB!1guJMq
zkfh|c&;83^R=Y!e50u&T178TW=o!8cYSI&YA=EjYp@j2@_n)0d9;*I{IrKrOb^429
z&O_cGb{?7M{bHxfJa3*jrt_*S`i0Mh%Jc*)I1hOLi9PgQsCN2;-AC@Je$ks)&bi0?
zPVAv~LZ#Ce>^^cw^@*NfIp+@VJ+X)03gu2u*j<0*mg*I~iDjHyyywIo%31GnQ+3^R
z1#QDHr#C{06OVa0%3mz)xZ>KP=k!G|ru&C<;8u=Z0xP;RY+KSfLcM3VU)W>PDfC>)
zO8lWDQ}HK($xFI5Vvi(qZt$*&J@i^Ac2>K@QIXHwj+(|S=^bYUrY}oXh&s#?9&GFA
z++bPMvZb_sIm>m`ZF&n!JJ)z8#6Ef@6gGXuESJ|R5uQ1-T9$dH%wk#Q88hq1D-|8z
zMVC}U)F)bTUh$mccc?^Y>12huM_#D7s0&(gUhu4udt^ANKxp3N7jsyit7!Np6${N$
zF;Z`|<hZhGwT{sA$umqiPkV;=IbBlGP&c&f^qA@4;ipt2R6jvQPMtARs9)`&1*iMu
z3=>Yb$r*EwEb=t)bGoP^<eO9|)HT_`q|<q_fk~&+WCfE>$H@XFoeq;d=CCaARPcLr
zUWHHnVY*P;WQ{p4^L_n=S{AAN@JZt5w4Hpyxbxsu&j*T%JJ>xur%m3mx&1WXR9TJv
zRtqL=Fz!6y$>8@WU#Pxr@{8Fl@|}A<U+7Lcv71?$(?+OV<&9dPIp;3VGrmrFLWPqX
zW*@n&az{YebBk|Nu2A;mfY~m$R4%9$nsILSoZ|b)igS}^kFQgXP~v2U*+;Ic>`}XD
z%DK)n$JZ%aD01?FSx2s_tWgUz4b>LSUZ%c+{nAP^-=!<pPHNw^d!><Yee28qS3(@d
zCZ_!5YzN)8T1{QLQp<O#Rp_gb6~S};QmsN?hAav0l``D)q)}n&i;#uEjecJ(r#=sv
z8(iq;nz`!i^04KX=4cgbomr{3e3r@7ry)~=J!f?ltvb0<$ye1f^hwBsU|T=d%vJ8e
zmVQq&R=EWm&RSKt$~D-~Z)wIVm*9F`zoizUi&paare>^i3KpD|bs?l_8J9`uqmYJW
zPIF$J4`~nnIrCJ(szWP(`M6q$&R_Y>XKDH>n_ynQ)^x3dE8qE~rms4%l41U@&sQFX
z)Cd3cy=pFcKcqVNrSDX8(R(4~!8d(F%|-8q6bGO56*U*V6Otdi(>C<yl&2xN!5e+I
z)|*Ye6_Ode(6`iV>dlbU;MnC#v$JxvHm*E0?~}3Ujo>zaQM;?@tJbgFv+UKZuGgA&
z{%<S2&WA(?XZlVx6}=YR=WjXd)hkW6;7D886}u*(SCymW5B`GRw5x1p>vUP!$hP!_
zrgQ&+FCnj#^=y;A3Qm&?d?{GJs^6oQ<(0CZeB!T;OUqT{9e;IPbnmcJ`XacX|3?k{
zGGC7K?j?3jpI5r~FZdGjLfK4y;ZKnl%0}{yKRZsl`&6|&Ro0SMd?`4EalQDh*QMX8
zX6}tkU4Q-SSEcH`$Kv!iUe|u3H@m-5`po?VhPO6qeDA+9Rqx#wr@y}b`s&wTA64z$
z7N@`Vy7ud@hpP6ji__OOv^1E~JTb*`hG+Xn>of5W65lXb`7By+c+K9p(ERY%w~ziR
z%dOgNvNv|-Jw2cN>r1z1e|@{@OIc{u?vVTIu59Q2s#jTl(>a2pY@5X9qb<6}xN;1u
zx=%`94%<58;?9IelRSMMCh>?rK0dj=(6IZ6iC){qB&oBlmy)l^9X6A2X%3V*^C02V
zjhCBV>Mfhw{?X>l^F#-J;VN<My$1Q2-8-##79HNA_v}(q*zSG%RFZQ3c;AhcyA$`w
z@4nvU?W@1MRjS?Xc3<z}cI_{3nQC|2-Pb$6ef8(Jk7{;n?2VnBKmFP5sXxmS_r*@W
zr+2!3`|3|`Cw(ak+!s4JKYYn{?@w>LYIZB!Uw3>v_odrkU%V}<*)6j-w)dW%TQ%qH
z?i+E()>R+8?R_s!!lOO0WX7?>I#xZ44yV|;y?dKgvs-L$Y)}65MccJ6-roA7Omkms
z$GvsV`O_C}Uwz@W>x;KiU&=VEb~Ejb?aUWnu$}wk+h3pS%VhV(*4@)PynXeDx34~z
z3GR!nxwp<XfBL-b+UIYZ{wRA`xtrzwI_v!DbGK`Mc*|6?``<mi{o7Z+fBUF<_qTg`
zd$()9e|xBU_q%&~ySJ}?_jXbB?q~P(c5c^x_qM5e_q}_1+qbWN`!=b1_qBU^TeoY!
zed|=c``kUf&D&SMdAn<0Y)XCp^&7WUzm>J_jZMhEe*N~-uVtlsV`K8Ki%oa#>eSGF
z|6t~Ay|vr5U%x$6wR@e>_S3K4uBzG@6|Z+~diLe$Ak)1uGvoAp^sg_Sp8fUdrY}XI
zRXaoC*Ik*;{q?C;?M|Qgb(f}he|aiZyVE6p-G%AgU!MM|*=ZBM?%ee5&rcV9Dax(i
z7c)yg{MqT&pGC3zVkXDwou0n>)6+>`iURk=Ox6!yGTr;r)2^DG3i0cX?|gFb(y!Ck
z;;;PMbnTn?_6vJECjDa#Sm0Q%sPpA>kz>_PIoBO24>+@)zPPt*w@sn`^v9>4zIdAT
zrO2>qr&RnpSAFrvr<cBX>h+~acVA4GzWAc)uP>agPkr%J>r0Vf)lRm(F->vn9Q4B<
zoo0RU)Tw6YzdbSS`qSr6KmFmUR?W^|dtzGj#ph4YK7V@Y^QWgi7xC_ksfg1%IQ{jx
z)2Yv&a@Fi)h+nsV`sw#iUsdn?5w~vdbno|1PgU>y5Vvmk^waO2uBzVoB5vKz>E7?2
zc2)0u5Vvmo^wV#jX4O~kyb-r<>vZq8Pra&lUWi+_dHU%$PqnIduG1I4dD`?_QRm*6
zM1Aoar@wwJs@xkBt1o{2^wzIMnR{a*^~JB9F8x{*xi=>Cec0>WM}OtzR&6)g8$I)$
zuFv~xOUtvr-re*iFSKfV$o;if%DKPZwW{6jbARon^6oEprE0gk++Ta4oV)(Z-Cs4^
zZSJo<SKj^k?xHVwx%;ALy$^e~yY**Y?7ry9_jFH}ul{s*(wDrzebJNOhb<}h{&csi
zX1l`uwa3f3FYW&N;%-sRcA34=z4vt8-iJNjz4gW2q?+v_d!sw=tvy=q{qe5Tmps|3
z?L2#<Tkq*Q@yuhJvhBuE{kFoMRGkzhamo5kNn-D>EhzWCuv_%SU9Xz$411$H-d~$v
z{`$k+PoMK7_eHn951U`!{o(GT&v|bvw}06aU3qWq!E)~NyH$VW-L2gIW>0kEd$9+*
zzkbjAxi`A@z1aQTTfgVM+#6l_UhLlP((idU_ePh#7rVRL^n2dPz0rm5#qR9>`Ymtg
z-ss%-Vz+nKZ~d0Ha&L6zd$C))OTXpK+#8+x{@T~OO4Zws-P7GzuKngNQ}y<J_jK2n
zuYP^^QPuWs_jK2mYrnpGsA~JVd%CO3SHHTNRl7AJey#8NY0JX3FRyNWd3D#9thM{1
zrp2xGT0ia8>Z!l77Ve8$zJA)v)uF$#Chm(`ynfn?)uO+$8uvxbUtd4%`Rc1bvkLb`
zO^I83Hr)I3)l)TF4dU0H4$r={I`wB(=)R~)ak{6%vp-$!`jX|jFKXg?u_vp){>XBy
z+A6m<s&D<Y#o?<ju0HzWYF5owvAt0}>!&RW*S@%V>yIqWeNi28Yn|6mTNu9j!fMwS
zSEasWaaL_*+8fomUTi@)_s6ThK4;a-?u)96(>)x%`oq;%pR)w_Mb*TuwOv1Lp6s0j
zHvJt3*y^NStypSj&}4s*tInWBndbomcf|pgvM7(KvX*%t95y*~PLvOwBJeXX^?1LG
zn}iK-#FnVaxU~nvxzDdw{gHLIa_gHtQH|@x9<2WQJ?rP*sM_^n_g8QIp7nBXRONcH
zd-bbJzh~Xt8&$eq?CxsQ?^!4JMis6XyR-W1x2&CeqjJ}a-Cn)*Th_|GQJL$-Zmll;
zmNj#4RO<R`U#}`vZ#@>LyD?n*%~huAt^4A1*N3luef3e*)@^aRYs0l)Up-W{bzPk9
z>hRUC&Suqaj<~<ZH-FkPbM4EsTVI~t^(AfXzQ}3!)_B$DPkS|c>aVng`y!X;PkT8#
z^jF%%eUXdvr@fdh`YWw*U*!D!Y0qb0{h3y{FLKJgHD}GeKc78Sv)SPOn$zalmu9E_
zObgu?Iq9CxDf8@4XS=?ndG3pxm@oEZ_SYY2j#ZoG_D1&QPg`uh`r_=PFV1GwY!=%a
z*^@tQk-7H8*;{|4Y3_@x@3^<dIe*$h^VJt-yS_Lp^(Bq7YBST`$j*GR1?Joz&;I(H
zCc7`P?w-zJ^VJ{DzWSUdxG%Ei-WuEdY4gmr&(Ajfk@m21Gt2!o*7?)snrnYJ%T%-Z
z-#wlE=BwYIeN?^q+dZAV=GyPi9;)8_?w-zW^VRRpE~?)A?4Hg}bM1F$o2ob8tG}nS
z-F)@ivq{yPuiewxYOekEtW)*obN6&Mo3DOzcGtehl>BQqW~+WnYuy`}kbmv^?5AJT
zO7}*_<X^isd+FD-)V+}r`PZ(_PW_q|x;J92zS!&TtuK#W`jV7dwb5X2#Pql|SH(|X
z>JEK*G^loCP`u6+@z-CD8r5#}ir2X$p8e&hP<`!2r+A$U;;%m+{ZzBjDqiQDc=x65
ztv{18_eIRq7kk!S`ZLM5YNJm4np5K5m%3elCi(7*m>8#XQe6Af(WaV>a`8GI`qvhV
zpZ<8X=u47m)kcZE5xx4?7KwL%JR0;RNwsRDSiH_raqf%Vr7w;eeMu6n+Q_jtqB%~-
zQ9o>fxc0~TqfB3t6!%5c$E`Ume)@cO>W?JReG#>BI)}u&&v$Qqe)Q7kq^Fe|+2VC<
z^rt=OE`5G<)8{1CeGv`%*Y0;e{hsu7Z$yp$wR_!5zb8H28&RQu?QVDK_oS<PBTDqI
z-RV~So^*6?M1lUb+ucvUC2idsk)wa@R`=3xNlW)eWawYJ*_~SdEotiBi0k6rZ;nb;
zZ#)vWW`j8Qo1?#~HtvaAvrfGG_0d~Z8@I%*StHK<`sl8zjcel8topv{>)xc=^=5m+
zXWd)v^Imk>_g9yyxBiNYtXi+NH+<Fm&{x&1zvAZZ3t#p=^kuc{uejcQ;fvmfzNlvX
z6<512eBS%e=ha7l#syZbH@mN0fA+i9=e>t&*6ZD0?fHJ{)9TQlah_G{HSVuI`CaQ$
zwd&6}*L~p=-d}xO&H8e0)t5NSec^rgR=d3yUHpC3$GuTs;tcnNcimg<^8V_h>ZL#8
z6sy+r?G10cx7z8w=)&(=7phfX?B)6rr@Ak^;hy%9@2}2Rm;Q+RS-GC){^~>DSDmkR
zt^X1Cv2y*lJ>gaNR@=P4`k=b?`Cg%#_3!qCm)%=^;5*lcy}zp0|GBq%-}kQfdv8^*
z|8j5jp6^`m_wK4*|K{H6UEjOj?VVM<{>i=7JHB(h+gnw={?5JC+rD?b-5XWC{>r`8
zTfTF>-D_37{>;7Ao4&vL6*qBjc=G$HH>yRy#Wn5?kAFY4{(AM*uW^NY!=v9%y;eQ-
zYh2>q@bLFjuU3bCjoP{|Y|Z+s%dUG}&Yt>m>!B}Eu~los;<dfkhc3PD^>wRP?b?9&
z)t9fI`m$B4cCAPJ>WkOCzHH^HUF#6P`uz1%pSQlMS!)rm?X~{uv+Simqf+;U%~*f+
zX}0Oht($7rYQ<}Nt`~ipU2pm`%C%~(O8n{**SS7zt*Tk8us5tfPW$-vQx~(ZzSx@d
zCCYSPSa+QEvFlzJv!}k;8dbAaBwpKP{ndrnPhH3keX&*POB8R_T9&<Gjd81wT<5xw
z-TEWyZ{=FPcy0UjQy*rB{)qZoxt1ed+iv~UdDp$pXHR{;RjOv~hdp6U>#xqeUVrLb
zw&;&2#(iP+>!;q&zWP1t<KD39^;7R<PyHVCaBo=o`l)xbL%&B|+#6QBe(IfU(eF_Q
z_lD)KpL#p{>bIzkd&9EVPra2r^;^`!y<zF=r{2sC{T3A)uf6Gd)|;(D)oTyMX>Yjx
z>h;!7Rcm*}X|KDU^?K{2s<oTqwAWmJ^=j*;s<rj2?yb7Ez3c1RTVKL5t5zHB4V`gs
zm3RKtSJA02*RJ{!wsK$S%6!pR(WSq_X6_4JnlJh?+Vodg=f2Q|`JykPzy1uX+!s1G
zU-Wsj>&vybYF3-vUv*|X*XOmnYF4Z54V{)B`ZQYg<=RCxtJUtW^2ne1BwF-mnB%_C
z{(R9T+h1Lbe)^+6%(7~=)ZWmZd#jFZ&$<|W^hcOx)oP)=q22jYA4RvmSZnnqOtNY<
z*WS>Ud#fDtr!Ls8bs>7{k1)on)dKfd+2>z<82$A5TBDlPT=!Sm<%>RyKKgv^t<PaE
zD_4Ko6WW|FI&Ztzhqb#thuy4P&2(Su!1h<~*M6#A{p+6AzU^7>*IufwU;XKx)}HOJ
z-mTqKz53NXtzFx*-mRTfz53BTtsUE6y<J;Wz53QYt!>-0-mVR*UVZ7F)|TzB-mEpM
zUVZA`s@Ku3-@<zLh9>2Q-iT)X7FN4AG%i2%di2q+VYz!lqw+(qMYnzpi`^R<mLGbx
zG;00fs=2<`FP6;pxqh%@uJ`rGy?skx*N5!tdsP~=zPW1d<<~RfmVdEYa$Rz7--}YG
z^~_as&%dsSTmIQ<&h>>QbI-och*SS;HRJluJ?ECZj)+_S$!gL%=gPS!U%Tw;ds3>j
zp1E@F@z)N!&Mkgz5qJDzsZ?zH#ZsyDn_pP<Tn{Xn>vBDEPv4_bf!OC4N_k?JUnu2>
zO}|jeQXi{+p;Tx+^9!qv>pzR<9)A5}XWzq8uJxCnTeVz&SUlJE`o-e8HrFNh@XdS8
z5%>ID>6;k!4^|D=f9~$PU;1m^;qtkAU%!Y|e{WTBedq4JyQQzzIhW7f`T9hx`a7$F
z>y^9vZkOI#cerfs*4Hay)!$lWT({iaceC`=y5hZjH%pJLQ?4(YyWw?1?DN-Fao1n&
z;=5kDZQbJ1xoci0#6Evz6?T2)EWg(!5z9AcTFqKEIn!#!vf@mu)t5J#%=Nk)IM?r0
zNx<@AE7{90r}(v(SS`LRIM?q*iNo^Gma^wxmiV<7TTNNE*;4lG%M?HMVyo$wXPU^K
zei`EDeyK!bxv}M3kINJ1_|-otQCR-jLe}GQrHSnEmlm`97QZx@<@dNm%(uPJs%zQg
z46E+Tfphp4y_A{NxA3LNEWU*=d1m!3c*!!$?@<YZFMFX?{jy>US^LX|bNn8b{PJlp
zu&P<MIo+yi+2nMq=F10-We>i*GqZ2*OBO%&0;~GVA7}gBFZr=-v$^cvmrs1z>+`M3
zFK?XfcemulGGlYuoiC60vgcXlUoM>Oce~`qvdw0)TVF2mWzV%rzic?$?`Fxm%Zjtl
z-6%P-OxR3z!^;}q<JnfRmmkhLcdca0vdN~hYhK3q9?!B0J)c?2_qy23*8Os^kuCe>
zVm;gRua?v11;4ahb>6d<?^Uti{N!JAE<K-6Z<qeXa?yFtTD}*>cJm+qoOAAZfnEEh
zVzc>+f6h7cJi+eyC(Ft6l7G%Q_1vS1?`g5xeBqatlg@Y6@I5J(o6r2xvVY#=A2ROe
zGr!2VozJW}x9GWno%_XNA=~timR;u^zszwyZ}?@7(|N@&a~#hLewpKN-m`{p!E*(>
z=jV(0=0AR3Z`pQUv&L`!a{;^d^Tpq6+|L((vPu77Sus!f#~kbP8$ZuE@SMT!`FqQ{
z^DnFU?iYWXxA^;<J<lK5K7VIfc7A0w-`(P8^OV2O+41~<?en*mdFL~$`ED0qo45Ge
zoGs56*gk(_nRZ^Y`rKE`l=G6+eK(2^&13#HXZ`aE+vTq<W6s~Ks_(m2ylGzZ*Ey@7
zN7ydUvRr#QG4|ZDrx{Ux%bq4gom={Jjo$G~MOwP<rIssCJI0=SS>!W4c$dtjr#*V^
zC6)_MGsd2KUSu=<@=lp^PXnUPJu5PqK6$6inWr&&>6eOhrU&no@jSgS=G>DaC0+L-
z%ZaBOW6nJ;QqX;Vu}Eh6;|$B*`e}zVEPGE!Zjm|mR3pl7(Ni5g_d?5#X~h|qZPS7?
zEL)}-XIM5(6V9;gJk7X8=E&1Ok>?&3{n0sIVA*>5<z|^fPd`MSoA>lZq~E-!JbLWs
zi&&-?r(2dy6W$?n;OQUT<N21gryoY2yI1sO+T`srd!F9WJ)UP-dU|2>xjRKqrU`Ga
zm)Y@jkM8kY%iPn6(dTXzU70p{o6MG{b99gASf-xdsn?!knS5F>+V4iufoY$&%B+7{
zqT8Nr8GZU<l;5?Y4bzIZ%B+4GBD?&n#hT+cOJsbHpDdB_Ili-G&eg{eR(?w#uaHy!
zYO&(DrETBKLa%=3syP=QcgU%Ku~=~Yr%m7ULaYAz!<8~#$8Xy7Ju5WoZ?2qk`mv8y
z-_t^^e&v@IQ;yHH@muoPLr(pZ#f0OPHhfDS+gSBIE|ig7ez8zOcKJt(?!LnnGOoux
zOXeJXtRcsKv5-&p_=Q3)+4c*CY_jeb3YlcpKUy^OHCN2BKW=H$H~+DO-0}|=wSCSN
zGIqyr7R%TkuRmEl=iuW9mVFNj-^m<5SIE@QTrp?=;~%oi-&@oiziHifukce}bNQUz
zk8j8>e`is0e5Q5Zox(?b%;j^oKi(m`{H;aK@kr~wTZNbUn#<;Femp~V`5TMtk0;2c
zzp+R<&S}keqi|o}<I*|n9v8@_zqW`ve$tBXTH(6B<kC5-9&X`luP?Qj-L^Q>VrE-&
zrp5HO%~mqrhgX`&Tz$BNkG<4l`C&s@zn29b?Z#Fz7az9pv6ooPKm1X~?|FemyK|<+
z?86sj{GJsUv=>{>@jSdy#_wr?3U7On#pJ`CCNd`<y6~|VS@a)nG@0XmxKO5V@k0|S
zzQqqs_|h*HNVG4`u;^^tY*8<B^r3>(xrGlUr2G~>6p%W%;30>U--3rKeC!tr1lm8R
zTeKhkXe@L1p$Omd^93C3htn;p+ZLx=RJIvg$k-eflsPx|;SEW@2L%l6pUq|VKm5Ym
zo^Mfo_@cDmy@C&I#pW`*A70^Y&$B2#JW<;3PQin=&t@{)A8z4o&$Y-t94PHqf2-g^
zTd|qU=7&>wpIgandf3D3o@0@Cm{I!N^@2TZmrZ5XJ<Q>C&$fs>d{FA#)q*u`!Cz-z
zyT9RI+U0zcziOBB4gNm6lpj`~{L*}C-Qr)fuiRho@7WjgW&1V%^1jS>t5^Ot`@;Q(
zf6qRf&)fg<5AXAQjlXH1&1daD`G@yezFxg?{Y&#{`&a(yU2;F*U)m@0iFL|9XP>z5
z@bB42^9gmIUzqppFZ?pwZGYmI*~jkd{NjC_FY;IIV!l}Y;vdp3`z^mnJMY*0BJH$a
z@{6?Ne$FqmkKAYY#rr7#-=Ak6%<Jlsf6P8~|I1I_hxtGLq@B<I@<;7_K3jeBbMvPC
zJ3mWX@8|r(TmK;cU)|&Hv-jQq@Hg$fdDZ@tzj^QFzpG3BK6}^w3xCtznHTNv{LOnO
z|6bkWZ?m`E-|#o>t$Egd&)>Ya^3T;Jf1ADOeoVddx7i!-cl=d*W1g`8=da%D`Mc^4
zf1SPde#T!l(0-+zzk09cuPVE|OZwW~oLjxi?xx)0U3NF-*4bBiI`watUCIk7pS(-@
z%H28dj+K}%-K}`{?29~?a^YRl7w*=)J63EyclX0Pyi4!qymKoypSk<sowHB#)ZQK|
zGM~D8;uh&scYWTeUCL7_7v3p-;;zj*wo7?(Z;ut4_my4FFz+e5ykoZO?!-I2i|(r2
zI{PS3q`W!9yuB<r!@RZ3Im5iUtX?_8ys>QZ4rzzoj(5(^zbo_Z*!eu3^57k_?RG2P
z;hlf?&CT9<cc0um`ylVb8@B@U^0MS~^Tyqbch26=`%`v#yY#-hZ{E7)n^*2Wc>C<#
zyf<aR+ogBiJ@eKr&%AJV<L$Gz^X`;g-X^{6?wYr5x#pR>9dDn#nRlivc-!pPc_+$@
zx7AB;yxa1YEyp~5_s3hl*YkFiZQd%q_HN2swrun8-5YQDUd@flKU_82cl*VX**@D3
zmdy6v9=W%7>FtnRy{~eE@|&w>U%ox#-m))dOSVhy?R}B!l+Ro>`~2;Sd&@qX&Dp-N
zWcJzH8TZsao6Xq1bI;i&w<GQ?`(!pL&$)8;$=fcwdY{ziD&;d*&OUzIVb|Hkw=M1+
zyO=9=x9wuCRQ~1{W<A>jOJ=)lkKEJyC|BU_vkSRAcb8qr<+z)6A(!Q@+J#)9eC8Ks
z9ov5v&pv$n$Ijk|xm@{|pPRL8e^@-*cKgNR**4oH_wdfU&2jJ9x!gB*)IOLsZ2!5t
z_kQlLyu;<Q_uhVSSM7bhS;h99yL<2EzRGhhpS|<;iMwj=%nG(w?(V&vdn@m7+3c;i
zSKL*5YnHLya(C~|+*5hQdwFl>9?MfMo4w(7!`)}E&EmGd+{Jr6cU#`#(%EZnC)|DZ
z$}DXA%2~dzb0X3=XPV7Qo1AGjBds{oZ1v`iCbPXZ2hR0<l@pL&Y$bj9=9F{wZ6#)l
zHw(`7eUamk{@GIc{LPYcZN+9&(l%R4pS_uKj;+{i`sSG?(x-2RoO8RBqmgcGIoo6N
z#5ulCaum`(TS$9st~8N8e$!%>@8X*VvwR=th@EXKH0w&6oMG0zIdBf|qMI_adKcal
znZ>*CCeN(i1vgn{`98{FILlUOR-aaEAzg33*>H~U!<=7d+6v5S(l)1?Ri#Z%H*4N}
z&{+E5%{w!D=iX#F$5vogzxm^A-}^Z~(l(n*@4fltEL*-=`R0wYeedSHNHaE<-g)!L
zS++d0{LO{4eQ)R7NZV{Cz4hjjvuwF$>6;B_``*l1w^?!a*&8`W(uB>VH{7f_do0^5
zcJsqoXX~%!Y)PAJD!t}r%-LgEW})jdYk6O1o9%VGoNct1?Q*u>-n6f#)8c|(nyy;!
zS<Cw>+b=%(*Q`s|C+ti6V!CKOXD#oGY`gf!KWCl0Ua+t2Qnp$A;-9n5Tu<2d?33x_
zxa6O+PF?q?;(eN}7BBqLbkh3H8r~<_a`DVBP5a{>|B!NDU!VC!%58mS&DllQ4feTR
z%of_4_R+L!z2lcz&g%`o%yL?<_+^&kdciNV9M*f*@GiKnu<zOVY`*x%&rRFbYu5PA
zzb>$^?R@sPJ#Oc-KkZ5TU|JEU{9~5&`i-Aw9k|Z0@7a6Py7e!sdGBX`i(CAC)}HGR
z_C9-OTDE>=HSgW*XK~8kXVvexeqisjx2AdPGpl)TXJ3n3{B72j>kIZidt;imUbFh_
zSJRaBlGVL8vJb^Ef19=bdd1#luT5jt->mArmc1#i`RlCJ*CX~W%Q9WNIx+U_va1<U
zzRRvAM4erFb<MhCm$J0hx|N!)T<sWp_GOk&c<?T%OILf=xs{kMT+JAJ_IZ{~_~rVY
zQs=G)M4f$>WfDGlr_`CNG3(MUW$A<m@09Xfy)fqNlPsmRZbhaOS2xC-eVnDR_SwZO
znefLMroCZ@GfaC|M{bcic2y(FchOayb#8^G9bv^8rfp%t8Kx~^#u=tfVZs@vovRtQ
zNFBNQC-UsWtUqgx6_~cJez{rd(A5u-XXjmgQ6K3$?<&taw)0sm;l=5uWnscQqz+vD
zv-ViNY3=HV(P!^veF>YqU24zOJ8O^SnU=0z7=89m){`*d?NU3g?pb>**EDx^V)WTt
zSy#d)Z<E?`b<WyjIi{(rcdl#8F-=}A80~u_>p<A&ty1f+maJ{dHjQ3=G0OK^)`qa+
ztx~J6hRj{|wccdS@|z`6zRORRNck+^Su*SD<p?X^rI%OCQ~PSNV!5Sl@5@Xtf9I-M
z7cY0rQ~P4FVEIp*-shQC{)a22yq4dz>3x=I<lkI5>-1$GtKO%XTK>u}O{OfLY2&-(
zvd28NPbL$VSK9C{xol(A`#4i(?y`%S5_6Y*H0ky`Tp{JU+_Pj>{n5)B^VlwC^36SV
zA(Lxv+l5TFxo#ISndYi}G->c_u9#)N+|s6Z{$+`I%RZRY`Z-rf*)6|WEM>d=Wbv$n
zmmgU6KFEAG=h(SSCV%FNS^F>ln7iz~NzL+`*1h*KKlwG6&)R+Y#@uD^OiGr|wC=r=
z`N)sCeAf2MJLWEXYm&1((z^FnedZ;<=CWCvFVC2}?2XCw%M<3Ny)j8z&S}kiBXghM
z<I-8{E*H#Adu<Z6{G=7{waj&X$)&SaUEI>wR%$ZaZE>c_Ot<Dtlj&}ot)#pcuQZXm
zdT~h~TdB$N#fGxJFEc#cjjg0EUTo=OD>0eB_@j*P^9&1j=S-8?i!aLfKFct0FSe|o
z<+*sHjPKJ7mEN`@lgW!aO{7j<bm?O&GU;F3Xfn%vaiL7_;)^Cyyo)cI^rc<QkZ@m|
zVbbZg*+S~*MFpv|3olAY`7XRDAa!=ZMGh(71s7HN*e+xUxPMMJX<z)&SnBXak-lZ;
zGdSE2r<+u}ElxM7bThV)vRN!Bb9U~<8<M^c>N6PJKbuSKzxbuME#IVi@kMFhdl?_x
zip`~VU%b-WmS<ADc%roLos0)=pUtGUU)<8$mTQu|I8fU6R>lRlVl%1D7pL?-vy$3$
zv8UH9$0Ttvqx9M98GGC=n@X*_nA7W)Z4$Zopw!u`8Ef2vzs|gNenVZ_<#dx;wae)S
zwa+f4huPOBzcij|xA@o0E9V!~J^Nz3Y`*4So|oxv_R7CzUO3-S_w2Lry!kKx@H|h~
zs7?E9JZt{RKRnOU_3Vvb8c&<Q@=wo_^8s~fpNuEkDgT^#;=DuMvya9T>^{FR?weou
zWv1Kw#4j_Co!9xr^Eh3kR_$WCnEm1(k}mTtzeqaI*Zd;sG+(m*i=^Xx&Mz~MoM-sO
z^C<ma&9e{2b#}==W*$2K<tNX>^dB{8=hMH`sGUz|vu}QG+%$jZXG!b%oPT&8r2n&f
z{C(!W^B-!{-WylVKlz*IUiv$`<nJ?goxf0<_RhFye&=tVJL&iA9)Fv;?fiz?w714t
z^F4p_+)6)Zm;7z!rt>lO&fjL%Z#>^otM<k?VgAowJ=fEB*&Y5mbM5(zTD8~45%YKc
z>baV}%Ixwk$!lkGZuKlXn{tb1+1Z#|XI`c0<Sx6E7Ggemm*kbRbMlUr7%!czc=ybU
zG#7K>U6L2h*5n;4Hl92C;T@i(XLIu0ij8N^K6vNM(=@f*V@1YOXHVQBdFrfBp4z3f
zdKGiwosuWc+T^iaN|VbyR%qO3b~(ei$L#WsnXa=F@AND>t8(kiqcjoo<_zO@v*Zlp
zRx{@e<7PAE4C6+#$vY$+W;@<FGykki-m&v(Jm$eWX4=hGyu&m9?3<fC^UglGdFDad
zha9&8<8rg)bmPX^jCao5Py1tbdAsDkvu|?U@{Q{&XCJ(M=5E>>v*7KLyUw1;b;~m@
zoZWc)%<Z&0W|y}~Zacds*DcpLbGGB{GdI)Dm<4Z}`8w@{nejHsjb~eO*>a5IXMeoq
zb3JW`+2*a1YtN?SvSk~G&)#^;=W1${{^6>bzSA$3%=DRluw<t9^vJzEOHYUF>UotK
zq~BaM^YZB#am(tz7%iDDxwq#<s*^r*)y(s!E8>=YHkvbiVad$1r!(TzJ{!%LzH`r+
zC8s0emVGjsq~}~Y^W<rlT|G}ymGqe_XC6Q8u<Oj?(-v{ZE~ZMwwp~n>(%<~TsAqa$
z$xN5&k$ZX`r3%D8yO7EgyX-<LM{L@KRF+t^3#mf-%rA^OrvEISdAR=ckDWaaQ@Qjn
zKR0Tb{;+ta?evSqGi|0z?%|ntnj`Mnxzsl?Y9EXmrvKdCb3gT$-r@3@dr!ZJReNt#
zF@5Lmp1Y~9^qk9Q?mT@WR_&cp!Su@AJ-1VD=^ZYcx%KplShcrC8PhFy_uNc9rB}R{
z=Vt0LJ>{~Q8%{UGK6`BxH~r-<p6m6g+w>Nf&RlakA@<oTqp;~KXZgHNiBR91X*5f1
za;DJ?wc<>p)sr`x%=DTZIM?S@N`QK?mE`4<Q~cUWj22H8oa^%<#X<eErR4dOC4Ozi
zMpM)_TS}finc~M*Y&3oHOcTk|Cqw+)E~RLw8(Yrwm^^Wg&yy4d_0JZP9+N9gB#)o8
zsGsGt_@u!spT{X;zHNm@U22mvjJhWW&f!^fQf5}q!jmGicov@Inbou4B+D$HM=1=x
zY=uVkYQ+|k_LB|g_&iMc<<nMRRHL>z-Ka`!a=KCT<b%eN2T$Ia*)#VfiyvEoQT^nP
zvwiNT{7~C$F1h#Q6JNG`qw>icXZzeud7)-(F1fS*<Pl%CJfr-{g|mHbr`%B6Y$mz&
z<Pu-DT%+{KhO>QcrmUN+IQz_vlp|`wW|A9D*7zRFHj176aMqb?DO=Pgn@X-Z8RL5_
z%P6!zvzF&|vYD;h<zypUw#&(SwrO7tr^yAsG+fp1S<CY(*-t+C*NjWYC)lNZF<jKo
zS<CYx*-rlP&-xkXju+UqT}n2SU;J~%nd1p|&psJWmP`IQ<J57FDxRmwYVyJ_4JY+?
z*6=(@mXl|GY1l9K_=kjhf94knxBkqUGmDNJ*tuOy7P3wIXxP>7_+^H3zu}h|PW_5s
zW;pf>ewpFW?^(mM;JAX_v-8P(@{gYzw)Jb)_{={pVApm&`I}9>+xg^AHfbLWE98`a
z%&_j?_<6>G;|zAs-W%5SzpUoDpZra3@%I^fjz6${_Rg@Ze`Ph#-Q;I-%HL<~IDWwP
z*;~WB{>*Bg+sW7D7Jr+u<@f^IXKxJC`ZcT1d^Jqzm#pr&k$gyw`P+>3$17}?y*7;L
zzgg9DEqRk%^Vb=xk4M-p%QCEA+npGDX4%n<D4%6V6Qa&6J-SBk*rg;bUAI!hmEDf9
zXI>`xhzIYIxOB8f&#lC8VK-y!ndeD1;+J<yoI4s2b>>-;iTLE55@(La=%rms(h(2d
zDdE|@Fy_pYBqd$9BEyN@jWK5)Cn@MYyO<;+{y4+1SL|?xVQ+Wj7Kvj=HKKeL)gRT-
zb1O9L5G&3wY!eI4Fl-Ss&M<5e6V5Q~>}K2|apdTq$TJU<{^%SlFl_C9xmn`S(GQVl
z<{f<z=`-&rj~?6kBo^`Fbi*<+;T;kOj{ea-mTy?w{V@6rX!qXa?Gk&A-qAglXIR?3
zF#61$q$gs++a-1!-J^Rf*D$v`G5XA{r1~pjlebB1IXXx8SdL+8_fEaG9K+;p!Dycw
zNe9F}Z<Sbov_!Wp+c3KOVwBIdqzz)lTP0Q>4Ut{;)nHBg%@PUU_LC(NKJ7b8W?VfS
zVdb;*@CrG#uLdjHEp2;VCVKHZSIxM1xI<3ui@}2SpEf<u6Rr3US4w!b-?Zs@mT1J^
zTsfou^kE;Xo~Mag{K_v4rnJwr@mX@%Lr(3J!G!io8=fVHZLE48C(6h!yO<~;yX>Pu
zH{an33D<Vdk{L%2Ysj%(OyrY2b|H~Vw(UY9o2=V~L?&6aj|L5V%@s53+bwN+<{y@j
zTlT@Ame09D!mj;hv4m~=$>JFY4?nQ%d64){=GeK!dM1A6iW&P4|Bzkw-k_%arghJ~
z#7}(9<ui64z9GBpok2<aOzWOIiI4c0%V%sqyhC=`TZ5eTNb8<kiI@1A%VumoJVSQb
z8-weIC&;F~F-U6XwC1^yxR38~>5O%U3uMz?8$`9A1Z|35$Cq3>W7WYed~Kx$v)LAB
z8q8#C&NP_Lwz=L)!n=8;iNw`|OZeDI4VE_>%KE%a@L)H#lDK%Vg^#VoV1DyQ8K36~
z7VOTM2D6(l%J@7>FkmmXoZ;ELQO4(Kf(mb2k-_BVP7{ff2VMBsiVXUj8%<`oHy6tE
zEIw!=#k2UJ318a91PS)V83vtfn=K@c9#oJzv+$sVl+VJ00#fy7798Y|@>y_Dg^%q*
zf&lyHbc6QhkH!**4~p<DJD<S8emLErnr(5qK_#29g@jGBpv;-M2X9FFJV;<*|7<R?
z|KJziwtR!?=8Muk_Yyv^6`M=!K6r(<Ezh91d7`w>orDK$pUot;AKb#*mTQpR94PH`
zE8zlLv6;l?gHw2)SxIcFKiI?TmSd3E%qV^4dcq#I%cc_R4(9N>WgA2`ACx+CHDL`~
z@Ym_r_HX!?dO6<Yuj=J^gTGHN#fSY*dZ|D4-=bgBuk2s&@97u)W%ZhWxnIV+{a5-m
z{lfl+e@{Q_&#Qm=hx>WF#^2P>`m^d!{^5QWulL{RrT(<~m4CXI><{>t`bmFc{XeCj
z(@*So`1kaq{)B&@Ug-DL7k-)UR-gD~`my~wzqlXAi~Lo+7%%pJ(GPK#ddn~3&h?sK
z#GUFTzlb~5bAFkAWIw|%?nm+e{yhDlU-vKR$Mi${zx?EW82{r>>iPIDe^k%Mv;A*+
zuHRI@^Ru{hJ?9_p2l4;@J^DU<-~JDOQ{U@X)z_c=&3!Na-M^&o(|7H^@Hh3Heo=kr
zZ|*zs_x?TlHhtUv4S!SL>Sxt^{^q_Ff9_w>x9OYq$NYEtHhts%j=!pJ^b_iT{_4IS
zzw6(juhZA=&-knQT0f$G=dbRo@vFXF+9iH%Z_cgmWqVU@aWC5&bL;f0IGwjkFU5s?
zpR`N-%HBEej+WHxFRfO*d-_G3%Xgt&;urSTygOQ~Kezhf9qy%jbKbcY>(8t{c<1!f
zIJLJ&i}a^fPuwDYYOl{b)k|?I--ULHpV({jj`dQU+}opt`hDLnW$5>OyR>7vYjxtC
z?nQf5Zk>J<C-S{1L%;o7Qigu(H>V8!=5I<F`i<Wv?GSgUcD!?X{@!|-cSq01@q7>3
zG2O0O@ecR=y>D)I&)fUt=IIA<AKthY=$C&>O4o0!X1sIye%zmLm$r-V+xzCNYrcME
z^}*Yx@5a6P7PMV_*WNR4UGww{s~c~hz8!bx+of&d+xD(`>zb>dS?zfH^v$?4--5PH
ze;s$?o6$D$jeA?(vgYW=SAV?aeLb#z$G1&e#n<jldCQuuA6~ujmiN`zsP~7eru&v(
zESc_8ez0V^cX{OA?xnj!c6Gms4SL^HHU0AL8TXcc(OXh3xwrd8tkZj@s_Ex<SKM3r
zS#M7H!jkD{cW2yF{j4{meCM9iOLj-xTlz_F(mSWh=_hx)?CO3JtMs0!a{BSz4!ce-
z-feNO{^-S6sk^NgW2N42dZE`-9#}Hnr95&^_oG;WyH78~^4wi|A(rEA>V;UAyQ&vr
zh2As0(CaAwSv>vl?jJk5AI5UMzw}(MrTk&>bldWa#nWxdCHHX8+s$$B>ABc9cT_*<
zHI)C{-F-jy*SkaI)A#OvaaZ-dUPbxN-Q9O%U%hiGpT2W<{fWD(@AL}FD|dI_j=lBn
zP}%gYyI0&*eXEyIZn?YrX6&hVMSHn##vXg8R5pFX?uNThU+cw{zud)rJ$Bo>MWxf%
z>`u7*^p##%`N~<|uVW&fZ_3o0^=wk6-i&8OnR=^>H=0cMDh{0M{VFEld6AX)<(*T`
zwU+2DE*6~Y{UXNU`6o;9`tv(W&b1cnO?kG-QvB@Blyj`bdee(%nuwp?8FJ3`QjErP
zBg^R?#S`avKZ#Lz{>ehzqqx#U{P<3bS>B6x8qD&393ytNwNS6?*`y4;?&82X+>3U~
z%<5jaQ)CwR!ks*`x)<zZndSW`hT$x0p<ey7A`5Z*V#7J!4`Y6vX)VyJdA6xOU9ald
zq;$RJ;)BNG2Y23?**$kB%Q@Bpz53#hv%T-f{CKv>Tzv1&Cudpn^~#Gk&i1|=^WvG2
zx%keVN6xb5>E#y}&i1|?bK}`2Gx4oEmz-tI)k`lnob7!xW?ix3?9(@5jyw}G6W_42
z=IqgIz1ZT1vrb=&+45|XsrZ_mF=vlv>4nzkXV!ARjyButdO6x?FYD!Ky}hYlb*J46
zda1iA-?NtcRkYvzq+io6ZJ)3&^^5MJe9l_#7twb2AN`zmZhOJL)=SZ5_ZR(~c4m9R
zzNepL?TaKdKP+ht=$N4D!eS$M!|0{%wEUGd-AlFy>`VQmJMo^9W|H@lXodTqeu#PG
zSAG#Yu3N7=WrprHiz@HM+YPF`A4iMrZT+a*b#KxO-R}Ir8tz5gWvaRtZWpQIUbvm7
zs(Zn9mMZT@(F}W8KkC-sEBYa3pKn;>{V@91p4Jb#HTO0>*R8rY>A7xm{=v^;2e;p;
z?4G-w1$233eg4O4@B7g|?rr)mws-rJy{zwb%kwu@d*6+&e{s*~yV%a{NA|M5)6LH>
ztoFVgedFGyZ(>`wFWJlbRyRH0u-f}(^tyb->eDx(kK7abCbnUF&EBK0bz}1%R-L{U
zz2)AdqYH|-uSKuBm-KboD#<D54wSIXh>E&?XxB8~?2B8b`D7p5GR-?XGPZl^){v;~
zS5ZONn|4jRymiL9r6syc>a!(dyI({(U1!=g?flk?bxVtN=VUM3GVScvjCHETY*V5P
zt{3f`=9#@Q#``Ic$5xLh?x#^|TV0~MpF}BLXWBXK_*RFg(~GxStUG!!N@{KE#VD!k
zn=*8JvIDnFbIFd3>3$R?u=eSND4w-TFGO*yO}!AsvR3s%l+bmi4Bd|GpPQ%EAKv;S
zvio5a*Y!*3x-HocH&3(8zPNdsO}1nV_q?qf>z<yAdb38gK(`_LXLR@ds9)C(ZJ)Mx
z>x;Fj`MMR^JEObrM!mY`w0+vnttZy1=IIt>S4MZ=j=FX2(6(t?x2{;LnyZ_UZ5iEt
zGwRf}qFC;mQOB++ZJV}XYs1>7*}8GrFQd5YuSadWwrK0LHCq$bKF!h%%U)^a{W>z@
z{HB*Wv(8O=sWanT(Mz4x=^IO?d8G&1dcTScIA2sHc6sxZd97b`7N-l^dcTNtIRB|q
z?EL1Ed99yyrkvYUDRy>q$~@N3I@8l<mWZ9+95T=KQl!RtqsnO>=@V_dpF}F0|5PF7
zkzQFMc6@Wag_ZZ>%?4K9k0ZtAwtm#<IydQsPIr2s4fmqWGFIISH;Y(tFWk&y)xBUd
zi<S4INQSwrA9d=_6;+7YryJUMKaBh}r}cwQ&ACm_b*j!ydal!)ey~{V;O0A)-E%jy
z%wzqaQ=k6P+WUUwk8_*K#rAG~GMDwePI>x9Ywx>}FU}d2i`DPkd}J=`JDvRWLTm5a
zkvGn5DihnfdC6SXw>s(RhSuIUBiE%XTA#iVdE}f>nb?NSHFJ-?)`?AjXm$Ep<d$=j
zO2yV}j+uM(l}>1KrY!gC2(w<-%MnJstd}G7dQ(evrX35))LE76Da-vT!tZ#J)wD|+
zC-kM3=qyU+l;wUAVR!sdz2&rX8w>hcFGZLgUt~G$%*KSir$suGk0n`7JGIe6iu-AV
z+Hs*wok_`^GTcuh<c>3C>hvFbWFh9BoM|HFmYgYbdeKIMKG%y8LcOVlI$g<*Cexgg
z4NaywB`catb4(UAndXq}DZ{;Bqe9=)^AUW<AEoQGC2Puf&)+D}*LptUTYZn~`G`+F
zsRcR}$CNClStoBao_1g(L*LVUox0?g(%knWz8za+K5fs&2fa_<3A;}DqY#wh(X?FM
zP0`;!FlJ%Iu60{xO`8;FdGyg&(W~n>Y+QXaTtk~x(aGjZ`%%Ajxw$%Tv(%2IwtjkT
zc<IjK)thaLx(k{^gAN~AGod&1;*m(t`in|#eR8&LZdxuMLKb}K%6bv*uzpF_rafow
zJo)4Ge7VL-l`HGk%hW9u;9s=Rrb*!E&r|a~J?&a*${XtH<9B|Zx^w-7n`;-=y1sp*
zof#i~U|mJz$t|-i*gY>KFHzE79==8^w#iD&YRk26X)C(4(w{vSxR`G!INz?T{6j(H
z&Zv*|x1=^Zm5GM>&W>6ekr?V|yY<R*uLTbklNKpBwFwA5bC{IUK1tnTicE!8LD$lr
z9G#?OMrrS>;YPKsS1*OL?7!sRpZF+TN>)=nv#jpvXYIwy<30a+EnVNxmzu2;8P1Zp
z@;BGQ^%`=&x)!Zxc=WdRDIfRUh-b%?%%|;Gf1sB&uU;oVxlr2scEpWio6N+vZd}sK
znyZr@JuzkG&Xbi#Uv-^6?X}>tb(PM>cbR)99X)Yz!BwBIEvpK;T2qtObxoSJ%8%`n
z@X8l?=X`bj6XI`Pp3LB+la{P0eY#X9C0SCs`$oi}V@zh#)^DunU7D>ElYCRE`&z`N
zV@;;hR&R{xUHVG9er<f>-&4!hXZ-S7wm#w4sio`J)E&JPu2t*$ReNQ;<KI&+!+rJ#
z{Sv*jzNgOhi}u2J#=obYhuiGG^i%ZQ`hZ`jo`sw2pY&7o%=(zR)Jx$y`-6Updd4sO
zbLvUBQmyMJ?TPV?e@;CPSEzk@F<fT<qZit}`wqR(?v0Q9B6@7S#xJi$_3L%&Tt8}e
z>??Yq-L^02g?7t6qZisu`-EO-cg8b*5k0d0&(Bj2!~fJA{h-|%|MIiwq4ghro|?D*
z#ZRw!>v`%}&xf<@FM6(BwomAX=z;ZrYLC9xu8n{A`_#SgFZ(8a7u~b|PVLcm+NJRe
zf1kP&{$!ufchMc|_tYMJtDPI4`1{nY@cJwJCVdm#vVKnO(Kp(u@jL5U-)JYt3;y=H
z5q@Cbr>~;x*O%0`zSfS8zxd1RTKI;2MPEf%uMf#xTB^M!`sNl<-{_NDM17)nZkc*@
zZNx3FrE6E@sg`Q5h_<}j^)k$ByVI_z7uR-x?yOo6{qs)O^DwLJhjxm3Mc=&B^(@S2
zd(+OT^{3bR-0FH7rnOxuQ+rDE%sXC7)_UZr7HLn2uDrvwWUbAuuE$|Axl1pGN#rgq
z)b8GPXosk4wC9$oN7riPv0e=0%RPD_j4QYGLKs`F>xD3;T-8GDhHXtdrrJka-szgZ
zRw8d{fp+aSryZhp(Kk1X+D4z;JoVt(2RFMOguTl-dM>P<X*<)7sr%Rd$X%MRT@!ut
zcGtbIPurTdPu;!tM()x)?ULx3x4Z6yJ=(^!ed_kLJ93xiYUe~p-tM{;c4=GFwyB%f
z&d6PwqkVntgxu5|?WAbV+gvxo_HBE#b?Umc1-Yr&+ELLbZ*g4<TemG~>(o`Nx5Tx6
z)tbF-(MzqF>zZC_O<%XEzDm?Pd}WE~)zwSlSifp54>#QF^)l3By-}6u#nmlwtY5U|
zhkxAT^*q#Kz0*sr+2I%Wcs&a>SYK2*)iZqK9<QgNDzUAfv?hmlmWZBQ?GnfONvl7+
zv1F=yc;TL|#j8zraV=hL5|?^0RAT+27h0X`HdTloU9GU|)WX#gySx^z7T8sPYQbub
zU0w@TtHiNh2o+fW>A6;W_{U<=!>dK&mYxsgSbylbR`t3?&$TMo8C8hdgbVIDHFx!m
zon8+@8P<O)7u~=5OKj_Vt?KZLyS?s(eppvjF1ma5mDtvITE*cLcYECleX#CRndtV_
zTVh+^YGsE9?)JJBdSP8rnds)#Q#d6*q&U={;r8j~TIL(N&dax2;OE38TvsOdYF%GF
zAvX1mR#G_UZmt`l`_?@wow{yyL2T-4t*G#myST1}u3MK>I(5~`Eq<+~TC<le%G8><
ztSM7#`m#+{qTazPO+>G*T;j)Cs<k}WaIV+O5Rc_XR-zY!mmCOu(k{T{pCzzb<mbW%
zoD6rST&`c<<EL7pwIKNCoUZ2~R?820wq6RhTE59r^z6zMKh|Qc=@a}r=bT#N=3jW^
z#}wCY|3H-&7ZMjNXV}!NzTtyrTWy{H!_QOpZ(Dxl?or#LZ#9eZvoh0?Q~eo*e}9_Q
z^)y6lxl*Rql;D|jyq2u=(0f{>H8Hqx&Z);C3cgP-hR7^`RG*>MyX;VgR&Q{miRiJF
z8ne6>t<>>zE!65*vO(`@vF23ohcR7CmijQoc0FCXG$G1s*-{TZRiUZQ!G<PNoxB-M
zM4dv|d|fYuF!`z$YBeltvY2WgY&oZE{z?hIr3G5G%bYAk?YuK%ycR6wh&r`ksfu6f
z`H(L@s^>%4mN%tqHPw47Zkgib{nL1=wRhnbk>g8c^qyV}5?G#;uGJXKIOo*;kUy`M
zT{0KlxAKjzYra-x@WE~+sVva(7e<>yyRHVBEVc=1-n@m=yW6`*=agE~BSlxY<Hy{B
zt}UwA)i}**(wrql=Aye-Uh!?s(<%<0INR$^$b)5{%tW`Z+~V7stCd|J95~zSR>*~A
zMP{O#S5EPLY9+d9Wsk4x8;xoX?!N(?#?HZunarlHUs>V1G+Qes_~xvxYayGKHJMIb
zy)wdg=_}2({)uxpz0{mFZ_-Q68S{!>YOeO*_+^The_*ZGE01Lh?4EwnT;{J?%k?tY
zZNAd4DHoPE*ggHMInV!P4cGHv4cq$E&ziIRPu6ff3)Y)&^ip%0|H_)KCCdZsQa@=<
zoTv12%8BI;c27TQPMG)Ug=U|B;g>0H{)u0v99yna#q~H?#8&lUu-N=XKSW&oEx(93
z`)hs?aq^e^BI4-J`DMzH<qTC^kAnZ%JpG_qH!tbOltatERB}BG{$Z1PKKP4`>iJ-{
z`SnfDHJki*eipIz=d9s+5d3f6qwiDpE&pJf`d+ij|711Sz2JBAlD<#bwfur@>O0LM
z|ITWzJHhwnJ^D6f+wu*zsc$v2{5`9=ZUvv4m-KDQrsXm7oxV-kxV*zw^^InN|Iezf
z>%qI`9r`+D?eYv;)z_L4{yVF>t_H7~c4?Q$wWT>xUG>YBrbKZqTN)E}>Q#`A?$S#^
zA=4-A61lQ;j^5D{&86Oov8P@Hxl9+@C30bDjo#5>&AHwWW4M+s&Czo$)|}~mFy_?L
zAT`~iMVeE+CvFirwbVyX^-_?^bfKLhCzjgiv0e(2(>+?K**EP{hGx&SOFO2xdMCzo
zEn2D)b?Q-&$n>U+dd>D}Ng0~0)0{Fio2MydXf{rpv_r(f+cD<U{G~E_N6!cGOb^;I
z#m-wXhHL)PH<4ZQmOhC*^&sejj%$Ht`Lv{T%|>s=m{a$I{!F{HU1Z<VH@dF*nw8!M
zqfgxpdNVC(yU4DkXLMcjGz+~Oqfgxqx-;$4Hj!;h*XX+DYG!&nMxUy`8FXe^(6%YB
zgHB8{+9tAbX^Spvj%K{~$0)DsK|7{x+A6YkX^Jjuwr05Z#wf3=fl>X3s;2n5Uo4s8
z<9@JYinn{DZP(JpAy!?l0)zUSs-|3CJVS2j7mX$ElD1th0-gGqs-~P@Tp_pgv&J0v
zg(XwYF3yls{j4#=eW%T-C5t2EmezmLnAGP~IpySH7ptx(flB>Ml~ax{cCb3Nc(H}t
z(TjmnvaJ^brTRC$(CBdwEScis9%<9{C{RH5>4iWZ*`*f(Ib>5W1hUAgUI-NGXL_O0
z;r_FD%HhR7EV~{Ca`j(&uF>NDuy~5C`^DlZHtv!<`3dvP3qJ53`OL<(ud)1|gLQs=
z!UO3a-`RfcZLG~#xG%lqJKL+hjg|Qd_oOSnv)$U;SembJSK8t`+o`>ch4~71q<?&4
z+qJhbH(%kl^p0<AtM)c#<}2KiuK30_Yj0y}{)4Z)D%H%#?>THVH+aL#Qq8>op2K?c
z4X=5hR55SA=djk?;5F}&D(3a~99Elec*UAi%UB;7f52CN!7_1!%iJ9=S@(QlTDPxZ
zTHFCI{ROYMXZ&JXw69^g{(_g>5x<xw?Q2-9zu*P8#4o0%eGT*V7d+>_@sp`&U&EBR
z182npKC_;wVKj_Ca9X_J5_iT=rm%etlj0msi5Glg?fJswwXb2KzQPmkA3vC!su<<>
zHuUK)SS-HbVm<eX7pyrojN*G6dh{185;wTWz2gUy*1m?0xC74m3l@rRxWMi4f>q`V
z6IT@@^WKI|eT4<$0v}obd}fl{*H9Pda9Di92i7;AnS}N=)WjXI)n71A+~7R7#Sf-O
zm5i+M2dwoM%oR8Iz{*m?_&4srKJf|fS?^RcevLb@M_k}N>z?X*#<y_?c8O1T$2zB)
z@oC(F9pVD-SZk^o@5UY2CO+XUYfLrc)wly&#0B26+Eg>1jXSVO{KGG%NqZZT^%vaW
zmiWfhw6`H%f5CO`8(*1<_BKT8FSy1%<116r-iC1f1y{KvzW&>~uYS$@`^&!jU;aJ)
z<=?|!{>4`P54&&g{XTx_cmJ>Tf4yt}2i)I(`TO}Vf3<7>d)(iD@w@+*zudL|9q#Wx
z|NZ>uzprclTimzzdVl}f@8v)LrS7Yr@&5kP-{vp>Zm#*Sb>H6ez5LVP=0E?rR{dAG
zzyHK{{!f3aYyK<jt?$2QfBgITi@&eG_?!IYpXt8(?tAvfzWZPNJ^jVs=$ii`_w8NY
z->+Zz{rrXB;V=FwfBDB-^`B*LedE3TN51o4_}%{F-`~preE03`-_L*eJN(DLua*Bf
z?%UhFzd!H0|M}n3pZ}Gv`Tt>0ebf8<bHATI_gnnOKgNCa_3z{F|33cx-`l<QRqx~P
z{civM@9y6EviI?Kf4hJGcXn@m(fjy2zuCY4+q<_u?|uC3`rpUD{ad@YKI?t_t>5k6
z{>|N6pY}ff=I`>_|0nM4-}v4C&0p^7{|D~vU;q95>%Xt7{_nWAf9-ew*MCn}{oinJ
z|LX7OU;SNO^*1Ws{@V5Y%deNe{CfJ!uf(dqdVA|uub;p4`ua<+m;d^;bYI<y_4i-C
zPXG05>b|-q>+ipKt^Vs*>%O`L_3P(9e|`PuuflzGQ{wiYz3%_{>*<=m2J!n(U(dhv
zI{oLb(0z52;_Odd&;Rtb`^zuSeRUJp%RhPj{l_oIs=so3>-yHuUwnQ2#n;DQe9f-;
zE4H_;XZ`#|*Yz*H-u~m4=Dxa)xc$!S=P$gz{=#eb7hk2n{Nk+o%hcGpHzhgzY<bS)
z&$9Ke|I{3JaJ|3r;-&I4B41^_*M8}X-1Q|+|Nch%1}5IB;~bJz$N4n(C3nv-*_%8&
zDt^<d)zf)itDe49b#liZIoo~V9w$$J_lmT9sjIAAefrFWEB7{S-M0M<?>pV@*{AQ+
zte;|Ke_wlr#>;A5Ti@FCtESz1y=c+*Q#WqB*t>1--jss+qLMQGo3Z!gmONRaa{Ba{
zv-_r>;lI%Lz`ITTyxgHf)6aYmxXANX)jPWSq{!anp5FHo%I@!HC@(30WB5sS@|>FE
z8rt^_PoK7ZFEQV)t$ohC1&ccMBNsTf@@c9i<>Wl!@SGg<JpDz+%gj}v{;aOnC-0iS
z414Q3*599h{r!ippX)#WlH6C<x;}pX_5KfEAAkP!w({?nJ$03F`wx1po*^MEy*IJ%
zp22bRHy5SZUh-Dg99Fo$;e@%*C*JNaZNB>wr{vFAV!q}R@8+7rYI_r>=Sw`3p7yiJ
zwQ7Cz`>C(@mhBB+|NYn3xP$kyZ&Zs`uTOqI_08V6``I_EO{>?ZzZZSGcUk@3@U7o>
zeT%z!FZ*_NY4!Si{hKem-F{7)x-Vs!{>_)(ZNDZh-IuaTKXU2zo_D&dt53hVw`uow
zp7*+^t51Kq_xe@!-Y;>6d$0Sv54~J{_e)&l{p{D#VPB_3?oAEPm%J7|?d!D6y{Xap
zlGmfJeVtahH#I(A@<z1Sw`rYwQ<L9^zTR8USGB(7UiQN8Sugg=Rju#1xB5|aYxVlV
z_o46hp4%I~>wDDqxVQIS->p7cy}t5&==;6z_J;5Ke(QVO-+Qm`SF_fvZ+w6CT=m}1
zajf^X=Y6;O(e|<Oq|V-y)%rJ=PUpGoJ?*9H<u8+Rt4>D5Z@Tt9>TBHEd#|rnAFWy+
z`QG$=_0`XD_22h|+r6LqVehxf^{wx(e%Sk~a((;zuji{>Yt}d1%YIbN`z4NbZ(T*4
z{lV++&%I86{*}AtFGKwP{nyXG|N6T6?~l0sd$0Sy|9ZOm?}xbkyRV;r_jPsk-xqQF
zcV72@_qDtF?}NDg+pnL0`!&1z?~S<qTd(`S{pwx)_d?wM&DYPr`Kn!C{de7Z`J1oJ
zzy0dmTbH<A{>JO?Uw>8Zt&3eRfBp6LufH<))<v$DzxKNP>#xYYb)ot3uWuj!^)0vR
zx5?hxnfL5`^6xL*p8xgj<}cqutA2;v-*;s@|JS$HwZDDt@4K|U|I1tH+TSks_g&b|
z|K;uPn%_3}_nq6`|M~6WFW++a)y~R~uYY#C{pYvXeYKPC*`40L{?psZU%mzItDT%5
zzht}rr?=fTzZLH9JHDO&((Ugr-WJ#Vmf2g|d(X}-KmPIU?JwRY*ZdaQTibbW-_h;<
zAKyBE`6gTSn`dur>peTC{QC>G`(L;%{^G57&2NUiwH^8Q=Wl=i;qB+o-z4|dw&usr
z-`@Y>?c@5--`-aK{<5dG^4`9K+xgGmR{!zsZsqScduki=<saPs{{7p}y|uOZ^7n6V
z|Niae-rCB1`Fpp^zkj>Ax3)B2{_bt_@83@Dtu4%#zjOQhw{JW5*5>BR-@d*5+qac_
zYcuoZZ{05c_HE|g+SL5}U*9TM|2}rlZsT_SH*cA%fA70zw|@Kj`qytCSN-00&u;B@
z{nu{~SN&dh&u;bh^{<|0*Zz!%-{-48f7x{X%ct94KHdH0)7pJC)8h7d>Cb<4dit+V
z3-{G5*Ps9Lboj4N6Zh3D)}R04wD_-2jr(fm>(76F`ufjLh5Krz#O*sf-T(8`(=|U0
z;`g1No`30d`p-|H`)Ve|*`1o6|LJLc_m@we`)VfY%Rf2&{l_QAs-JRuYx?x(FP^^s
z;_2fro@Uql6x&<Vqd$Mqbp4B`xBvL0xv!=pZlAOM{DsrkUpVdl;;HnPPn=ahnfBIn
z>dP;f&j0c0@6VrP_tn(J*&Uv~{=?JPpFauitEq|GXRAMd-gN!*r_FzSdRY0BC4Qf^
z{`|Sq>-9f8Wv==8FV1fN^!4wbKCb@xEzWN5bp7{F4_E(u7iYJ7`ucZI7gzs$7H79}
zy8gST&DB5e#o2A2zW(jg<m#W-;_S9g*MIxex%%h1IJ?c$*S~qXdtXh8{{0)L)xUje
z-CL8OfB*XF=U+dS?yZT@zkluY@~@v#_tr${-@ke~{p+XDz18(=-^;z;z5V6g%U|B5
zR(&_vTRr{W-mB&3FYOM0c{jNBd(eH`E9LLM+%>NK?sebxQhENDyTY~Ko$lLSD1ZO?
z?&q5CR`+esmG@uTz5VCA%zf1}-^)GQUH<c(Z`F65`+HB7`(N7a{_~yhzUqnhY)_W!
zf4bXT^Ih(~t;hR&i_6b{yj%RG{+(&ncZt2#z3=ZWD)0YzH~7mt)vE7e_ic}s^IzOu
z{^G9jmv^F7-#PYHH{Y{$d>^-<T>s-;<}dFQ_f^;5+k3eD{Q2GKKi-M%tFFCgd#Jqs
z{O;|~?_U1=?rG(Bw)?g=@8><(UH<&;=Fjg~_f<E%zjuH4^Y8Dz?yatQfA8Mz<=@{u
z-CJK>@&4Z3-Ra-oUENz<^8VhP-Rj@p9o<`9@c!QI-Os<h+q$<p=l#7~yO)1^w{&lH
z#`}9Wcc*`QH+66I_458VccrVpAGx=8LplGOyT7Zx@42^kU3vfOySJ;pZ@IU3O*#MT
zySuBtuerB(Rrva^SCebMn(eKc6}Q)Cz1*_!_m@_0|Me=es{X6i-l|pW<6f<9|MhC_
zzN%&G<6f?I|MjYOU)7@ZaW7W0|9VxsuWH`<xaX^n|9ll#_0=ri_H4NR=c|WnzUsyA
z^;|#i>FV&GuRN>1YQ*n78Loe6wffIjuKTJctiShoHT%n})n8s&?yKsH+v~PoZgKef
zk5{9=yfWNZ)fKnbW&OQJtC!dRc%@kNm2YoVTijl!^>Pct^DnGce{q%j%PZA=RSj{r
zN5bEqUtRv=)z8YWJn?%Eg|9!q+Wp6?kCk7)?WwAY+iSD_-h<Wc&#wyCe0{g4sw{5r
zfpGp0SASQ3{S&u$UwHrftGBDaeu>+=C!GKN)!o%!-^A_R72f~u>g?*TPvZ9O2<LxS
zf3>>$>z%m0+rs<bUX8B)dL?e}mT>;JSFNkRo{8JLDg6DfR}=SEC9j`%W3~9VSB-nC
z;@8i+zWVytSA~14qSw#6wtD*4SBZP8!q?Bcx;p&pv#t9o*W}+@X6}D^_Vkx$4}W<U
zTlG2YzKwT&+){J@uV=k$KL_03bJ_g-m$TZnpFQsHxma)R|K%)q?PrJkd(NAm|9tj!
z&1Z}IHeUJnp3PqV^I7V?${G3hp3XLZd3JNnXRZ4-p80Z5XPf_g=34bx<^G-%=KP<|
zR@Z!1*jw3u&*r%K`HQo!zc`!x<(cWe%I<qM$ISgN&Yu3_Y;?_Mk^43-`S%u@pT96W
z{KZ-2FVA?ZKC|qtY`j;$=ZHD~h1u;tp8c)-%y-|$K7ZcB+2KE)eXab=ao@%+|K2=v
z|MRn_KR+v7^ZCP`%BK8#bIs46n=St18RNdn`uutKXJ7yR?Bm|b>il{4W>5eA?BU+Z
z^89&sXNP}(c5!cIasIqJv&FwZJGi$pKY!ls+1I~4+qkzfJAdA-+0(y0Te!C}y*_{5
z&Dr7Kp2gm`*<_yo=B#k_=R@~wHkiMEefD$J=Uw+~)|uzOK6|<9^QL<?Ys}xjI=i{*
z^QyQ#*Tnn39=-kLQD)UgqrDX~;`Vs!-+R@a{_^PRFOOF4t5~To_o}=6*Q1&HDwgWY
zz3evs^{8`S#X^0#7v0}~KC0YTF;`#idAIw^qqpm8KAObuIU~;h`RMMNk7|1>rs>B$
z?N)zzbaBl`wfH?A`tzQ2i~oG&xUZsLUv7!``-|Pre>}3R`Y5%xq9<<8G4cG1-N%1C
z(yaO@w6~&Lf8L|+_7_L3zdVwx`pC7nq9tyRqyD@F;`$f5r~i1wSoKjLeviHWy@%b;
zpC2`@`N$Q&$F5#q?qT=w=SOdUe)O{P<EK3p&H8fl#Qi@U-TnE|&B~8V@iqs<-@iZl
zx%%U;IGcUq`R|WjuKxHb&SsDJ`*%k-SATpJXR}K@|J~8a)gK?l+3XO1|MqBc^~YOr
zHrvGW-yRLF{&*?QW{ddyH%E=DKc0%)^Sayp+oRsS6-oMWH@exsJ*utWTM?%pcfI@g
z*GIW~E28w{u64J6eH6R5B1}K-YW4MB_crb;pMB5T_kHZL?|hf5%U^=d_|e&0KJ}jU
z)$e*=_d3_U_q%U>`TM#rdzEY7yWO|G_+9VIUgp~OcK5B%e_!``@8g>H8hguUzn}Z8
zdiu|MiTlc@zrVZW`?*hhSJ%8(*;`&e<^A0!)#5MrPOf>cbl>{K_je!nHh;NiTlHS%
zzP0=N*u~%bE>>UvaZk7Ey~KTM*Y~lDzUO`18(i~VU~hT*J!|Lpu?xTVU8pvHu~+!Z
zJ=UuCLiep5-pf9!{{DQgb<O)fd&=A1$3CpS{(P@;&HEpF%B$~L+rE#T_dV~!-ou~o
zaqcUxFTZDP{XX_VHT#cyfA^Nxy^p<Lef;~qw|mR0-pAgnZvTGo?%wjU_px`Y-M`;E
zySKdPee9iT_V4%h?k&%IAA7s{__up&_m*e9kG)mh{_Wn}z2#}|V{cZM*S<e-Z}-OU
zesA`2SHC}SZ}<A|=U(r9UG;v)z1?fS`@P<Ky6XLgd%IVEKUe>1@9L_zQSsK-uIF9O
zUjB0H=`XhutKRDEEnB^Q?$Yb)E@dzOb!+LqvK8y^zRXVlb!+OrvL);9zQ|Vpb*pt>
z*@E?VpJzY+d8>3^*_`#VORwjB-g>#_tx>%7nd|R9ZJqt)R^-02sq5!1x!(6_>+G7h
zN_)#Dt&e?@&HnS2?Y^=Jal75u*Ux>dK1sz;=|Lv<*K6l4W?z4?HTlae(|u*#an{GK
z`(4bQ{$gu%&0CRpYnSzR7hXSiAv^rVR^>0Zc&pyB>@91I+kNCZ--Yb<AGiKizU7Oz
zwqHN@VRrbBTVE^Ra>QHPt-m|(y5ITi>Cd-H*S!6(r>trH-MQD#oy!*gaf@+ZS^fIC
z_v^E-f4}u{Z&~&Fx%aZCf4}u`Z&~^Jxp%X}zu&sJx2$;m+&kIg-)|k<Tb93m?(OXB
z-)?Q(Tb8|k?yc<U-)=43Tb90l?#=A*Z?|IOtv6lId$U!z`t6}O>kZf6z25q{>g}#L
z>vh-jUT?iz^>$O7^_uJNUTxi6^>)?0UDvkveO-I|%eBm^`qxH#OK05M<(+@`Rdo8x
zwX45eTe+`vWxnjI=<;9JX6`FpnlJk@+WgnG&V8i|^JQN|fB$){a$o7(eA(yG?l0Hg
zu6b>8f7hAqe4p3uu6eDtw{%*5?9*uVmunZ-yjHuv%Oij8lW6gu*Btki_UFqk+5YZg
z^z$FrEUR8i?Je!Ox9iyUy!wmL$A4VYta>f9x3oKd?xX1T7i+D*T$8MN&9%3*<=!sG
z{J9IZ>s^SR{^J^B)oX$KyX^DtK8$|;e64ZKYp(md?DAzFMjwB^_V(v%FDqYv+Edz`
zFFS9$--orkKVQ39`I_mz)q(Br-mm>!{rcBEt9{$^-mkq}{rb~At3BJ_y<5Av`t_^&
zdse%)=e=7yx%%~^dsaKPzk9p3xcc?2dsf@F=e=DUT>bjeJ*zF--@RFDT>bjgy<M-P
z-M?My-CLTJAA2L3{oA$Ly`^#avDc%If4!Eww=^n0_F8oN*K4tROT+SGuX<nqb!p?i
zlG$-qzWTAtrt@9)E`PZ+y7r~c-jb<tR#&I%eO>BYU;EN8-s<x7bzhb$*S>U%x4Jl8
z@5@r=+Lw0mR_CX$`@HmV%}b5FCA0PCKJ%Xb^HSozlIi+)mrOtRY3b^kmnwTprs&^&
z;w}Di>ExQ1O7T`Froa2RwE4>=+p3o`@mB8ov5TkoUG%>G<C1RGONn?ZSN+&U)AK$q
z4X$}9u(zZ=&dOQ8K6c^sz6;*wFO~{_xx`xaQYhZaL0|Tf_xI;Zt!rNX*;CS{AN$bz
z`tzmAH7|ecDXEULvel2BH$Cse(!-xGaqcTAkF&DYkA2|H{^Qc$y(M+}vG=`?f4}s0
zZ%LJY>^<-H@0afGEh*EFz3c7%{nFXJB}MwNcf8rZU)sC3Bu_u~w)gS+Z<p5YEy>c4
zz2)8h?b6)6C29JxH@(YiU!I8DwQ;)No2A^<FAv1+T0i~V>!q)&Uhat7wRXDS>!qiw
zUT%onwR-xwS4&q{J&(F?d96I}vi0(pb5DOcmss^&Z*TGH_j8t(ue)Tu{MWgq`-)e*
zzw^>M{nxpv`-+#mzw^Rc{nxqHeZ>pj->H9Y{ru;-(tX8q-ped4&-*<0a?Nw2`<7?Q
z-+h`p`^&k=eZ^DX&skF5_i66zn&(P;izmI0d1B4}^PKIz;tBV5y1$?E*!ua4x!GUN
z8CE@)y1&!)z070l<uB%Xe>tbSuej^I%%bvl7p&7?%+>yKPO$1Z+uq`)dpjN8$2_uT
ze=*m&=J~(+J;m+s=gcoZ_hGJf&GTP-id){x%rDP7Z@v8a+|!@W@$M_GxMz8={M|Y0
z^yhQAYo0UQ-?_j1-21t&tDpb4w{vf~-}||ztDk?kw{v&-xp#9{S3iGoZ|BZ(zjt%H
ztDir(w{v^>xwmt(tDoPvw{vT`-`ly~)z2^7+qt>?+?%=D)z8<xm#M#LZT{_C=icJP
z_cAxEzkfYfxwkm>z07s%?O)Gj?k$ddFLTYh{Oh^My~UyHV_s_?|8*+2>Z!@zqM31)
zKI`u+4bS^Jb@P`~p;b>q;&)yN=leR<y7sA0{LV|^eP5<Z*FJTL-+3XN@5|KRHBW8g
zcb*IH`#g2=ms7d>ie{~kd8Xa|^HhE8zM{!-mZ!tleVRJ?%c;P9MU&UZED87fG_||t
zsY3kD<KcXlw7<WYT3qu~W^Yk%oTc0Pn8(`NUrbG|c`CBEs55To(Qv<yQ=Pw@lC65m
zv$v=<&eCc9odw~37qrD+O!cmL%CNVnWBr}^;qN|7{rvfq<i4WT^)d6q`#wy4{Q1<|
z%BS^T_7qjd?K~LHcV1il$EmxOPv7h*YFsb#K>PdmQ$P0>)vlMhuf6^Ish4|;D%Z>0
z(=Pvh>gL{}()BWTwaveuI=Q!~aJ|eO?eE`C?c7_GyI$tD_V#b5R_-mzTrYD=yZqa!
znR|;;*WdX%Rk`}<u{g_(;d*bTGFLy{7iYOXeBJA*kE@>6Z;P{B8?N_y>fx%V>*6d|
zhp&6pn_c@j;{Fcb{5i|a^)5@dzwF)p<=EPNh12fs@XDX_N_zUQV+;2cF3+FyQab$C
zv5ET%7w6A;AuayvSmVCJ`T296OJDzatZ-l9lzThQn)`k3JzewI;Qo%&=6RQ-(|;Zd
z-B&p2p2aEiyidK|Uygb1t1q0GFY`qD`;TLeRgdNN7WU=OS!}-UqV(|>z1cO7#r78V
z<j+}Tu6I#-`;TLq`wBbm?QqVYv(S9q1!?yez0zNfaaKKM+FRI}FSEd$?_=-p&&Oo<
z71rIeIBdS|L+|U)#{~Bk*4*1+n?Glsx!!qc^B>0^Rz7CAzr#9z&Rlc7553Ga^^gDE
zv)FIG?tSm$>c`*iS?o2}d*6Gw`tiGa7Q4;Yz3W|E{rK5Ei=F0r?|Pf7AK$xYvE6*#
z+ur2r$Jg#zY&F+=+v{BY_}o2<&F1Ug^zPnQn38|zhP3*(W377&6Y}p|mwx{BSn1xv
znEX4}q?dm^mb$kvBLB`+>GZG1LiZM|)t7nAUBCTh>*X(pQmY;s>@Aocx8th#xl7#P
zFI$6a9|px+ToHfwrPa9hp;x@cCGos3t-`ero#HJnh`;;X`nl$zRlLPH@xDvk+kYO)
z+*dGDU*;Ki`OibXRS$LIcbpRUyTtAO^N{bpf{Ae!C&l$XwKms0l#93U(7&@-{M^UZ
z;xC6xs~$?!?=9%nzq3fZ?_+E5mqV&m55?jwj*9bL<Su{FYW(GpXw^fGy#>v27LNKc
z3&iz4wlaS?q`0r3K5oZh@pI?7(|;Ti-B(Z>XK_fp?>zVR=dG7NA9`B(kS*TAMt{x&
z?(*lYn?E07-B-|{f9F2;^Y4eg?k%X%zjKd!`S(Ll_ZC#>-?>}Qo&NpM)x8BJ`giVd
ztA9UqbZ<d{{+-+0&%Yhoy0;)l|IRJ$<=+l1-CK~Mf9EE5`nN+<_ZD0i?|aiKUH$M#
z+>Q<6d~aHRS3TSlw_}}n-|N=fRS&ns?N}qu_qug=)x$M$J63&P`?WT?_P*KP{8{(5
z`@EN4_WkXp-`jrei>$h@wKsoN{rl)wzuSK8o4YT6+5701zukWA>)n^X=za8y-)z73
z)$Yrm_dfdh?_)pr1y<cRyKjE>yYA=O!!`Hy?r-;eKl|zLu%G)ptL|&u-+uDD?xo*q
zKli!r%b)Q6_T%4dFKes6?6cgL-*<1j+k5H7-`9SujsCLFa9@7cz3ndVZ$J9I?8iRE
zs{8ePd-L1wZFhPvz3_YPh2Lr~YPrAcQ{9)}aL@e6_qXSNm;KoHv+_RA{q2XouRZ_U
z?Z>{4mG{5x$*;P%-RAx62fy2%*9zC%f43*U?B4bR-+4dO{;t0N=ic^x-+SNJ-mbp?
z<=*x^-+ABH?ykQ7=HB*Q-+SNH&aS@y<lgoj-+ABFR#)G@Q-5#!w(q@fYon|0U%9t^
z%Xi+lwbs@5&)nO->HFJX`zG$qPkul9#&5B2`x^J=$G@L_{r9!6`wI8wN57wa?f0~=
z`x5u&hrgeF^>^6UU0e6%tyzD2*>&H`ucy5%J^W=?Y}MVccysUd(MzxUel7K`y&Dj}
z{qps*UrM!W?|Q^<zj)pEODT7K?OliX?dPwb{apIG=B`D&x!3yJ&t5P4xhr*F-i-CP
zpT0JGS-QFAu2#Ic=X&X<ug!k$a;>_n62JY#b>2^<)irk&_U84+nIFG?_TuYnFG`cY
z>@wY#*Bxhm?7Hv8*VA5<M%UaGi8psye|zEevlm{6y(m@wvWvIsF3aA$#<=ZAuJc}~
zf8F+D*Wb#!eDUV?>t{cF9rk0_*UGyb@#c2xZ_m5#d;ayb=cUp$cR%dOYg&JM?)9_h
zUW@(M#keo8e*NtGudjXI^>J@r_4?WOUQheJ>*3zK^7XUtz7G4o>*C(L;`OudycYYu
z>)_tJ{PnYMzrOZu*T%hh+3RQDdOhviu7!K^($~+v`8urr+pgGn^G(-t-;@eh-#rv(
zzTx`Y*QKAU?(T{+Uw1wCb?N1*yPM+7*Ia-5s&sSJ-BtItUEALKHTU+HZJAZKjrQiw
zxVOza|MsifX)kkEf7!NjU+&6$=~uVQer=n%FL!Ca^vm03zqWPm%Uzf+{o?kwpW7<;
z<<8BQetz5SW$x{o+a~w7)t}kU`#E=a&26>4xzqBapWaq`nY*~=w%Yw|9{IDM+!p(}
z&2e9Df4=mR?QbvMe)eOVWz}t|y}3R2wjJA^d-3+MAKNsmZVT<r?arV5=yuzST<b5}
zB&%+7?aghux6Lts_JZxY7j94cv5m3nw!r;u_W8FT-hTEx*SO|3*ZpmF`O*(>AFF?!
zd;9aYmzB3a?a6J<m!7xX_e1XP&)aTR-e$UQc3}J4_qm^|Z~wYywr_jx``pXbw?Ex8
z+q3=cyWGvyw_n{e+qFISUGC)S+mG&<?b!bIZEkV(?OXTEwr$USn;Tqx`_etLE!*F|
z$u+LNed^w}*SFoiZR_2eo0K1Y<2Kv3ZMA!I<MN~HuirlQbzAP<+^GEMYq#6JZj0TU
z8<rn^_4Ku0n>OytnH^{5s~^2=I`8GvWiL~sYj5i8&6yf!c6GY$*Hq`)n||?Tm#44&
zlB!&L(=FcY;&k0Fsm!%E?c&YOPha~v^>NKjjlDUu^=CgjJ?-bF#C<u_^=~hke)d!9
z>YAG>dvm7f-+pqsUhHM+<eHmG@n$Eczx|ln{AH7E)lHdrGk5*y#nXE)p1$^DlWx^b
ziFh+t{pdy0b3djA*W47?o6{a==ByvRaC+~B(`GMHg}-cKt-2``Z|0yc{pj?!=c(2;
zH~;L(Y15B>c>3D&ROOnRKlbEQ$C=scN6(v{`yuu4=S`gZa?0b(to7@oADm|UvFY#L
zoI3sJ`=^h6-}H8GPL+Q2z0+;qH{IQvQ>Gt%_q5yhO=tJ!6zNCbInDNc)84%~dHT_}
zPapfXY3<&eEdA(Pr`x`5n!7hAO+WhP>9X3JC*rnkobLN3mAm@pfw*n!r=NYD`nu}o
zj<{`Wr~AH6JzaHkL)^C2)6c$2U0rp(KI*>dwesA{yO+I;J^f`}V%2rMz1geZ&sti(
z_R{WUzt%0?m%ZZst(Uvgeyy9jFMG-RTQ7F2{aV+$FMGlJThDht`?;=kU-q2$QcKHo
zKgV9Kxo&jd^i28NPqDMVtc%>2J@x&pCFQ-JVrSP}SK6CB>3!6b-E2SC+3w4paBr*o
z`&o~7KYLLhoBd^-Vbyi1`&(V#OFiDb>_x2imvy@Pvb)|(Eh>L|VRzb#SnV(C1goyI
z?agkwx7Fc&)T7;OFJhf*uK(MU-Tr>o{PMFOVzq0o|Jsw?@?L6wdG7h$%bv%c{=ANN
zUv|Yk(}U%2&+Sfo9?M;Go#Fo0{pDxh$G!%Qr)=F@?)yIWbanmp5BIk2E<gJ&c6Igj
z7x%XAEcbmE+g*MA!M&~9%g?@z&91(F<KEV-<-TuYy{oTZxVLq4`PnzI+SS+By_dSV
z+w9xA&b`@*@1<_+{`PfU<=*Vr_fpq)Z~M9~b8mL!d#P)?%f7CQ+?yS`KI--AW4~7A
zR$VpOn>91e)Mx#zrQx|>LpOh^Ulm$)H6(uPm2lp#q1Lrmed4!X3h(_ADqVZkC4TFL
zaNaMWziY1A#BV(p-upRp@t0M(`?6-Ok9xMc?dPi4eOZ&^Oizce{S-R+%c{VAS(DdC
zEeZGi6xv;LRUv-s@o?TttG~SnEv~sLvp1_Z&eUyv)Z^9LUW6vsTou`y)fu<-Xt;0v
z$57`lt7NOL^6brOjWcyxe``Uw?}gQ3FG9U*t}^V+>R5kke)!uDp`SmmlH8Zox;|=t
zc<+bM$Ddcdt-ShWPgZ5z)`Q`^=U1!!SarAZ>YF`Tjq9Zztp4_W)z7_Iwd<wsuio~3
z)yutEmFuPMtuFh%>gL|8()ChzSDSrbb#iZ3;d-e%^{c;qTeWj<R_=PK+pD*ITeWg;
zR_1!CTdT{yt(v(vD|P*?uc6A-SC7S+ZVcCb6UtnDbzhw6`tY@{LmyXN-4<uMHeC00
z=;5lX>*7pThp&C*n_YW3;{F!j{8`J)buZ6ud+EFT%d)lmGN;|!;*~$^)$D1%mMz?u
zxjcW?%h_SSmQCE3xwt-m){EI<zm_%b%bcG->-p?!KbIBm%bap=%UN^Z&%UQ?E*sq6
za@sui((JUK%R={MPP%7u$~^azZ}*pFp8GN<=1V=9{q4sx$EwS6do%m;XDv2gdvW%$
z7rxmwm&NvG_T<l6WUhO0_O>6(H1}n8+}q-uKWm}++6%MYUieCXS;kp)nQ3o*W@o<C
z0(0JvzP~>&liinDchBUo`PvV@uRkvn+?QE%Z;NgIta;|T=VzP!SoW~;GRyrf*7>vM
zn(Kb>Wv;pW@1Dti^R@4NA6H-gcF$z5x$b-4!_}AH-80#3zV@B(;_A!K?wRZ~*L~;P
zTz&c8J(KO`Yv1}NS6{w%&t$8)?pt5y>dWWq@0n~iU;D;)_rA=O{989>t9@J6x;HZ+
z|JL={&%Q1z-J2Pcf9u-pWnY)2?#+zIzjbwX+Sg^Fdo$MROTF&i_R{t8mqn>n7Y+7i
zOpn`gRs8Iw?y#4x!L=8I;!UoIzy0EBTzk<g-sF;a?iW|#+KW!{CKtrtes=v_bI~f^
z<eYf#rS5I@KNn^0%b2Mz^{l(>=OW*#i#qXJPKo<o>UR6N$ai1H#5j|a;<}$)n`<u0
z#hZBO-&!nw_M>a@mqn&k7bW&)^y=SQB;NbcHTcUS)vAkP@g_&bc`tUCy>K=DvPiV*
zBFEm0<~S2a{ip@vx*uJczbsPRmr)<L<*@kK^WAAb7K!f5sI8APIV9eDzI)qq*UO(5
zJ*~XR7H?vsKkGqv*>l&;pBJ(2%V^NQb-(-B_eEd#X4L54y4Stz`=Y0NGb;3N-R(~M
zzUb=Sj1v7@ce>TSFFLw6qd@=G?e1sa7H!>|k)wa>R`;@Ri<a)q$k4xavpenEqN#f`
zu8a4+ah0yVcqDGi265guuD|Q6F7AojvQE7Bwd?Jwi(BHhtP$sZ?Yg__;+nWEtG=)K
zYMWep-fVCBtb3b%-b*g~{^nBkwqNritIlifO<(ms@>O-)uX%I#r7wFQ`Lf#W*Sy|+
z>5JY+zNlvVHLrGG`n>m%&#RC9oEKPi-t4~d+3z}^Z4cL+*So*j^Zm@H)nPy9c~+gT
z*SNp=<aeD*)oMTIx$aA!@c!oGYPOfQ)nDdW?o02xx7qEz<l^sZKH5fqnP<2!z3bj)
zm-jaxRWJK7PqFGe-`@1Ldz+o!OD_DLbD>)8g)R4&d8+%;8}1n&`Tpj7b=i-3KP%7k
z+~0iY`<nCBZa?OItUUj1PkPn8%{K3EKB#VcZYx}KzW&{w^s;-K4}9nOVEeoJ{GWT9
z_kHhqZ+pA?{Fi&1_k8DhZ@att{F{55cYW`9XFI$4{F8f|cYNo0XIou;{?5J4+rIa_
zwT-Smf92lhE#G<G+FDniKXY&Mrtfcl&6~J4J^B628`Wap<~8n3kAFY&diAxh^9uK-
zN57wWt$Nzmd5L?|!{5)Wzgivkb=KB>X=~QsTz1{(a`v>BriZ`Gimf^u7H{mmK62@G
zpRcCgwPyq3H($Pf=8LIz?OBib%@?oxd@<#&J?jv^`TX@WpG{xaoVAEI_F8}QS@yD@
zvr_k^%~*f)X|~x*)6F$!wc?FE*GoRlHv2itwd$-&{N@wac|Ms|*PK<@o7Nv!Z+!gv
znTy%iUYI6-nPs{!tvk;6*ma+a+0$N_M%SDbi8ppxe{<pWGZ(VMUYII>nZ;XmmSt~R
zW8CH=*Lf~vxBZy)xAH7sys`cInGdtWe$4t>d6pyI*lzvJdDnf;XHR=>DqVB-!=ALJ
z^*85UKXWcy?8hv|eQEXUXWq}g_I=jJy=m3!>u27}p7wp#!@X(c>u2804*Ndq;@-64
z^)v5ei+!JUaBo`v`kA-0uYH@fac^4o`kA+~r+u5XaBo`r`k6Pg!@kXmjW^zOJ?D+7
zaP`?kamE|2zj<x?x$5k$IOBEKb6%TXt~$FZ&UnrBH?K@LSDjsTZ_~ByJzsTif0>q9
zb=qie>Wq7vyz}dCzKTwJsk{2iw3Yi(SLRE;iZ1&#ZRWnzrTLOCqs@Lz>)e;RFkkXT
z^tYeWD)*(%&6j*0?e<dlcFk#%`<u>e=lQI=yXLgo-qdONkx!%5Ug|EcIjweolSlr{
zC(&X*r#bFR?a!B7vi;4)=x0BsSyr8v+MC*QZ_}~uITxdk{g|d%by}!?Z)$h`%tz5}
zFLbTHOp~lS&9yhR<=!U8{Fw{3>s*MQ_G21j)oFqIo9y#%K8$|$T-UhfG}rx2cKMPI
zqmMn;z5RLG%gWQA_M|rFOU~Qw^Fep_=V>=9Pcz*&I<WoCd)?2~r+?iu+P6LDz3%1e
z)1U4c?b-h3o$lu9)35Fs?b@F6PIq#3{pm;djCO2)^H#UG`t+@PM%%XMywwe^K7Hw)
z(U$FR-sl=vpFVYO)9YxrZ_|4BrY7Y_-iT)VHm!DVYFvKg_2^??r{(TVjmnR_7TxxB
zTI}A`u>8oY)w#9n*Ss&iTz&ORobldppZ8xcRmaw@pLQ>M>GxH?;)3sMzpA#aUBB%8
z)-QV})$hB0@w?WqIOqG?FRK66te^LO>*u{i`@+56mww)xweR|w?_EFR^zXl3@;&Qk
zoc8_IOTK&kj8ng#z2v*r&p74#+E1!$Yt~P=_j>X7S3lxp_g;5<KlNgDZq54cd)kky
zL%+lc@4bHX`>G#tf_tw!zrT8+TK7vF=icj%??W$uRu&20uh(Aiz3WHZ|2@|ae}DCS
zuhhQlhrZu>zE@~pxZV3w@Cv2Rd#)e+-t~Mh*S_o4ptVlCHR~JRe|^9A*WT;<zMuLY
z_x;}Ld(~&F*H^s%`fl&7z1Mer_xc|9{NCz2)xFj03*LWyyLZ>#>)XDc`WAQn-s)S`
zzSZk9-hX|wch=tPo4!}o)~`=_U;1Wm*WT+JzH@zx+kbEM_3F1(>to)RzTTU)_xhUe
zU0>tY-&=jPdTrIosCc7m({nC+FMFwa`pcxms*`%4g;q0{PG57$dl`70*b2~+u{7}N
zu_d5|WNN=AweCw<pnvnZ_p_gqO82GA(U)90J?FFP<(iX5@kVE+zp4MEI{V9{$bBhO
z^=B@b-t$RycFjqpy(yFQBcFJ){hVaGFJ(g9CU^arkG-G0P|f}_$*}6ARQx7aeaXk(
z%U-B@f0?AaFQrRga?$iR7rfJ6sA_+iBv^HlZEs3b+$IP8$Vc96FI1gtPX61I(yl*q
z{`4~+RJChP{@Rn$qAxjrdd_+8W%bWhPk)}oyDz08&gkIuH|M<5o~v@#oMedKw14`U
z_o}a}PyUG8w0FACd)3p`CqKk(+CBZuJJr?ICtt*E+Bx0looaXW$p>+pwogCvRyDi&
z<c+vZTc`WHRrRhuc_D7o=ILkNsA^ZAT&FL2)7$LZq|Uu5iTaW^yuW>&RJk`LR$ubE
z_qO`4lQQ?FMCwak^Dg^3DROU0==+G**2jMJ<yIXx*_%A`o}thC8%xV`zRGU?(id8F
zJmmhyE9E?2Wvy$E``q7nsl4ZltaR;hm-`zpl=FO%{ath1=KjWW<vpKe7k}x?-IqM;
zeZ({Cwx4~m`;sT$Gdx|s=9BE?FMWagk|)29SW@ouNw&Vb=D5QBjmOJ*E?IwjAzNH?
zTxM@_?>$4e_Yse+x4n=}t~oBUH@WlP#-rsvA7!1t^vPBo=h>Uwde6}5{f!0XJ{PRT
zUdVda9B0^@-0}X#{PH&+WIuoIliZiw`aWWQdCv#g$DjM&Rv!PdC%N+8#)IWN=dIO#
z^xdsI{$@{d<9mq**7e`M_x;?PTnn1r-S)lj<=*7V_Y(K4%f9#B+?!nbUgEB`+4sJa
zdy@;_OWd*k_N{N{-sIf(61T0led}AfH#zgY#4YQxZ+$cOCa1o?@l{s2`uMSXh8xRu
z-pDdnAK!P+aDDlj*RqeRj&HkXxVBv9wd~=l<LmAjt}b8mN;JFnXhi(Rdf)XkmWAtF
z)^2+#y8BDl+I>mW;x>A%pYck2+OMvK`;wNgpYc*V>{r*seMyVg&v>CN_N%LLU()>b
zGoEW-``J~vFKJ5L#<Sr*pG8mC95smFcse}il6Kn9uF!o+lj00dh39+{?f%l`xi4wr
zdWk36-+pvCRvnewo7A^{#^Ufb7qySQs29zyIV!d{sb~F+Md3OZwYUA~(%hHS5x3EK
z{fvd-Yc6QJy%3fD(#2VIlxc5L=X!|+;XEHje}C?h-Ir7sXLvY#%?HufpSuM2CDp`j
zv|T@AUbxPAZL=R;4=azd#Ba1-KVxpV&IeKEnxp^X4EKkxc`y37`slYf!@c1;??n$+
zAAJ{BZ@4>r%{$S>)kmMj8SV_%c_-Rjee_<O;r8$~Z$*=<k6w#2+#0U)R@Axr=(#w<
z&Ead_i0<B(l(PQD4Q;h=U9EeQ64u|iuKnz5SLxoQnDsZVX)pWQmAW@6V*QP)+G$_A
zLiZ-F&6jvBz3nCM<u7fiRfi4sCQiS%;i~zWOVVL4d4p@~4+q^hxMKe13$JnQVXylJ
zm&|j%@Cw%+cDiqH!Til<-p@6Mt?nC~Gw-=1z3pdP=Dx(4pf#>#Kihn(4(r_CaLU~0
zlC;~;Hs5`T6Ym+EG}rmW+gx*4?!JLX{*A@vXFl>4e`zzVIxMj_u{Zz5BJ-Y)yun}E
zRI3h)-8VRD&T~<^to{YB@s~Eys>2+66PxcDIOazzFxUCW%lxHHabIHny$y%W&zzS|
z`_U%4FR}KX!6EaW^U~X%^Irbk_O$Xa+kFF@{233V%bxRY{@lj8FR>y2#(n8$-`l?K
zO{~ekaZh^L_qL~d6D#s>+?7uI-gb3wVoCmuJJM?3+m7x{EXcocTl(3z`nIim6La!!
z+>&1Qt!?Sv#EkqKH>K0QwN2fdc-_3`4X<?d;Uo7pY%u3}!~47H@Sb}c)|vOb=Dl5Y
zc+0&FYs`6G^X{%Xyyo79YvMg$S#N)7%B(tQus30PoPoD~#8PpeudLp+2Ls|aToym`
zg;l%uphx_Mi{d_CSh;Ht+Ql237hm(ap7n9fL5;l$v-M{@<DT}jDRE!Ibp0Dk#Ls+U
zU0rifWpBb1{TolX#a^;bt~sa_Z*W5V%}3VeFHN>p2W8?7-1Q?Ci}zgQ-u8kux#pnI
z-h^)b8IQQzUa(q!X_Blu$h9}2C2oV`Y>!DtIvUh9+r)e>bZ`CD#9DPwDBi$9U*gg1
zso(3<9`22-kJItg7kfIp^heXr%FXNU>8v(i{fgDN<{(%620ML;hup`WvtIt(^tAFI
zTfEK%ah~(sYCoFpRvvt_C$cgB+I?=ZA5Dz=!n^NjAN%fgk^9^CsEzx=X2)s!t`A)%
ze&+pFsoJ$J@vARf=i2g~^>Ov)i2FLL!?WM-HL6+vV^4i}b)3OoaUK5md$Vc|zKb*1
zExzU*>*DHz&*BVritD^%ZLU6eFV0}Q_?ow@$<+t1#TjfB*Lln8Tz&9doWW-CHE&pV
z?@LJ0zj1?G?ORjp-h>4G8`rs?eQhe;n-HUa;~Mv}uT80Y6C(6)T;)#t+7!Ase(id(
z*T1*E{Cnw3U24^4h5GwCo~O;TFReEHk=403D*5{N*O$6mU;d4%-LJDZe(F8_tKYT1
z{&lL|?{{DS^7qwW{wme(ce}s-!gua3e}C2Nx4FOm-1qL!e;0kJ%iR}0>wWmM->pCE
zV)w;QzNdfs`|3}BCw-|4+!sIjefW~^-k<(<)$CWezyA1l?n}SFzW7^IvtOouZ+!1P
zeYf}FkAH7{@i(bvzsTPB&U@>Re)s<P*Xc{0Y}J0Az45L0^qt;cU+~@g!tbd+>KLo`
z3*29C|Ni>J-%p?aHLBUqb$`9xd+~?Ak3Ro<>vP@9%Ke}A#5cbepZDGS!{1$>>uy%=
zXS%O{;QQ<Me?L|4|8-A)-}mhIe=k+<|8!4(PyP4T@BVJ8-v8>J{;u!Y@BU7z-v20W
z%@O?_-(SD|TU5RO);;}g-?QKT4XWOM>7M?U@2}tdHLBi!>fZX-NSDQk-~4U*t*&!#
zeByiY8^6DPt*hJ{ANyYX`tPk@>oWJoN4^)o_Pg|JT_h;<#b3YP`ts|gFIA~kdkyx+
z)lZLGfA#w5ORqy;ehsSK8x*g9<@)I_U$tuYdc?24c-{NUSFX8`dnSHWHSUX>zkd4j
z*H?d574D0h61V>Bb??t#Pu1)-h+lvDdiJH)sXwbi_k~W*4_&g|tH^5Bvdx)RYc6N*
z^}AZCvNvwZ`s+_#i@y9isb;TIy#9&nuRnfm`chSITeVjvUf+Fv_~Pr`7hhlfQKeh8
zS0Y~Db$$4v*D<l|udO1ZuLtfvcPl&9@<-Iu%C&5J!&>52JFTC(@cOF@(OZ9nsV3E|
z7TX(IeoyP*cCPc$ra!`-R<8EDuXSa+*49hWtUtqC<Jdu0d<eg=YFbxZF1zRTlUVon
zR@I=3WlF!-gD#n=1l>1t^lMb<-mtiY&Nyw?^`einx4ziAs%GPcIGrux+Ha3W)oi>L
zr*rf=_r=$xFTNUmsS>T)%dt1EIZoekefWaw+8@6%eW_C17gry*{_yqF=U=D(s1n^5
zR~x5)=z910*IS=|z4W>2Y2{wFczv7o(;vJpeg1XR=PK5HarF)Buix)Z{hAcIH?B@U
z?EdSc->cs4jjLK8e(!bZ_o|zF<4V_y-+gWRz3SxNxWe_~cV2(}R<(0)T<&`D+pm{?
zt6Iw1k-0+TxrFb+!;&&C23&~(4-}RN6me<JVq>^0z`!8E!NtOM`1s>Bo$MkE+UIOm
zd#Eh5=~yVzX6PokxWkd5UV?!^$$-Ixnddgc0}j1B1`pvKHIKU)-*~++m!7%5{gnR0
zkEWA<%g?A?aj~k((pIx&Uu{lZeu!PvfyeJP>x>SjbMJlLdwcq{8|NcxXFmCRc4qau
zrfrk;Qjh<vGM*GCFLSr~#bt*V6@SjKeDP6td&m4Fu>E-C#*fAgg;rY6+RxNaV0dN~
z6_>XrhWXV0Lr(%EX1cZbDB0v$r`$Vu`r@Lei;FXw9*Xnixjazp-~aN#Y?i&Z72nwI
z>s$2NI%jUnnwyWa_(G>Ay|QF}XLPIX_^qFgx0V=4v)??c_-z+MS^ql!q}LV?(yZ<*
z<IOH_yb|tp%-ZN<_vH^Jk00z2D&V+Tu3P^#PUz#h$sg;r<eZMYoG_EeVEf^xwh?_s
z&HFAsSNU{io>lRYohrHWCO;EOt#h97xnyRGPVhWN0Zyg`jsF*a*+1nk|D^w1-}eXn
z_ju;-|0nTY{G@N|BkFs<+<)-RGtK|h>z!-%HD5k&_{(7a|D?~BtNiD`WOmu#{G9*N
zpE*zOPySQy(0AVTBWK%v-w($x{hoIxKKOS;lE2IA%$WWCukwxm&U#V*=}*M0^Cv$U
zs_Z}X@p%`^0!a=HE4~K4#D+rw3?__>T!$Dgurg_gFg1uVIPm^se^{^iE1u=Q=$rq}
zT3`5;U$cbBI$g;&skN+F&#7ATqV8EVld65IYeAjno8wI8^=u1Tt~@n1zW1;D=*d|M
zHo0?ozrLtG({uj)TkAhR{6DvDPI<9@x6<T>O-F54XY6Cn=FU+qIy6nY;9_j>8NT37
zzjcbPuAN_aD$*9s@+_b6Lrtf3`nTOr%(9yfy()cLxh1gu`hpD|ai6!j#Tc)*s1tv9
zz<6WF#pG<`%AJe+-X@)^-*4u>CQ)nk)u{)3Prq0q-RdfJ>dV}vi}lX2In8o$*ty2Y
z=DJr|RNvPFnPx#!dp9Y{Z;XF2iS=1-@#U+t{ymx?nB6~1p2;WX)3u6+*8ennj|kfF
z*PdnbpL&T&#pl_n#JF3hHKX}wUb8sziHmz-)$GuUa|&|a%R;hO-AULM8)8$x<C>7l
zY;}t}2U>oxzK)*y-h?Y%@Zao{Pv$6Z7Je+j|9F|+ob-3k`mQY#O_BOO=cI&s=9E2+
zn<7pbuGffumsKw2&bnsKyp^A)T?*69jeCFNL-5LTt>Nn$)@bdm5OP`jW!LYkS%!xF
znqhj<KPE5K^7^=F%MOcMswZc8E4xSUYwoSD+J3ya(IVGXaDgy~*n2UDdH-^(R|!47
z{c;EU?#mlbsFd&8=WZQ)UekK+QSaKdm$#|zVql$7wV>%rP}_2zZsiNYp2yDco4xsV
z*e+ULu8MmP*XdFQwvP%fwks@ZctstIt$8F?^mTsQ@F77j`~HpQ*FRRvbksP<746s+
zdDFQdvi>b2M`h*@!|1IXsTbsoe8pHg6Y|{LZvME}Ecf)l;m400Zm=!rW-!PKaz7Tb
zq(5Z)^!bymPX|8k{ovPE#H>;&`Cvoir5%ix693sYAJ_B@UT!S=c(MHT)RSp5vW_@R
z{57+Y^GU=@X^-j5ytiXa)iQ(5f0^-j(nHB$zXeB<*Sy|PUwg2bH!5d_HPhCUF**+q
zu}S5Ayv2LcvC5&S_Q}@3onq#XL?pvb9ba%n{CRiawjWVhS%z<ST6>qh)#Z+4Q22bN
z^zP?PjG@)9taq+@^y1r|ne*3`o@?5Wbl6&8TK3KJ@sdFiDYxDUUMujH;*h$r(O4rR
z@%#L(#+kb%<Cpr{i~X*zdjF~WWYF5Q1H#J}y?b<iqDCf{*y9-gE#Vt~l<?2}B38V<
z@6<*K)ycKy_A`>%$~Q#k2Ux9g+A?$VO4Bpd>(6xOTzK?M<nz>{5oZtV*>`C5X^F6I
z-{ePaQ+uy;YU(e}sh8~wKOSEDWy;<gj|9Y0{+C|$zI-%KKdO4;4DC$Q)>-w%PuotY
zxu;vF&SXhhtSj9YJp27A=3wVkA+sM7jg1N;Eu;<yWX)^gG?{CDBX(lquj$H5A}<|M
zH&>R~_p7G3?PC@D7K@#HOS)zA4EUetid`~T%WiORGmpTzjcXsU*raV0lvwtC?xI6e
zmKLg<JDTV#Gt*i5P3<gs&V3g036G2B*XtbmYFqY4!D<Vu!ROPr;@>nRI&To%J8y;V
zCl<rzvL%|D)|#@1I)b_usbtN1qo#Dy<XDHQn*8L2C(o)~czJHwg2}I!H2Ezms5!;3
zG~G-8$!UWXPhMKQ>OB(Mapl0hzzH0WUih<w@CUi=UM#G+(0%c84nr+9&2HvNyL<eb
z->ea;-z|Ln|ApSrV+#|%Y`Ad1C}2~0isWxeZMo|$mkS@q%RDwT{P%u_{^Ofc$2ne_
z&U^HusW!)GN@aS3{o>=LkGsOm?7HVNK9}6&(ci8b8UD!Hg0;rHPvZIE(;H@e5MRR(
zzg_;N#oYz$+)pm8Ws#n?XYsF&#~wWsVv~6e?LM%uwnwTyppBVn5<6=```;#0rX#Ex
zo~9~aGEVN8`2BYPlc{o_Pp-#}5K-Ng2LAe{YSVA<tyEm;b=y8PvBI;R_ql4-YVX)1
zFIy~PmfA4-@yi{$HRF=%g;gb`C%5^%d{sSV-KKp?OOLNO@maQaX-J#O`HDt47U@fO
z|1O@r?9M)U)w{OQ4E2ErzwPv0dp5_rDfi#E&1<=GOFx|J^*58cFx@ohl+9<g+{caz
z5_N5N+X5dJM?^(%CdTpa=x8Xkc=^2eRnm;$?^+SB<~i@&z0qUc?ssfH=k~nsY(7vu
zU7+;1&w^dA+!`Op%5o_^jNQBRb@^mXjy+d7jrFBd8>gFRzLEO-L@~dmzG>3#yeVw=
z3}sGTyP-ajJ@CItnSznXc}pg5#@2=VOeXI>Yo)ww--MRF6=6&EeXNe$esE*$s~0D?
zc@#55T5mbG;$eZk`|HFX4$^mJ{`KhPM%YQoXi2i(4sc(U+F>GZD&yrAdekN-`oCp@
z_2dWd=BaPltvop~_GIpY0;8L?^ETAKeWdE}SL9^(bk1*AnLBR_Y}`6S`h7fWp!Sls
zbCZ93yDPMQ;VVxm+v!sR%QpnG+D{65Aai%oE#7H+?`Pb*^Hz^lYEDk(tfvVpvgf3?
zxbNO`Z~HEzW0TUuUUi=7KWw}2mj21fdwD-DYw@4-mD63Q!LEU03fKR~DIa`~-}utj
zkn+F2EAB|{m%hVxZ9R+E_O$oL-IM*obGv}S)F6*Fdv{^G>wCe9dIqV+)TKvwtLGkJ
zEO4l}DO|ShRoh=D^)tKw#P9zRHoM$^W_-_#^25{PS@hn!O^X-Me&40J|HS(6`FpHi
zEPf!qudlvbU+ELGaqp~Gug-dy#QtWvt581ulhxhKl=_O3$=?0HFEl-0_&aFr&Nt5@
zx9J6c%iHJnr`oI7hV@3AZf9Wl{>-zczZtSDs!p*!-sJH#Dq3w*@|P)RoeOR{+fFqI
z_&RU7%erkn=Wai^JIQ@>!DWTo!wZwlZlzw&EW4tVXMW@4T;7LFE6shpHb2+*PLp|f
zt}gQIGqv;PRa=)h)T`+ncqhMY;_Ceo%TucKw)lO0x#+_?J5PgZf!jAt;?L9xy>4+6
zSKAx&^@Q8^ihD81a}$>{t@8e}JHFJ+`9aww_c`k()K@)RbnpLVLo>boKGy~AUtB8p
zMDJX+@#f=VmlAiDW^Tz_^P>H^s`uTHYNKymrAO43hkMjJ&DXlTQ=?S7-oeGT{($q;
zT>@XL>YDdud&kDFXD`3hy`c0!XX($FyMG&&zo<&9eO-33s!#0v53jj;f#2g_3HjAt
zx;4FF;lG)_M}x}OKZv?=aKSH;AEry^iJx7vHRhJ;^Fu6wd4?%=eA_PF$oW5g*VGm7
z-=rkHE%oB@yS0APrU}+5TD+RC_D$bae|qiv-Tvz%uIR?@eYV`(>B_||T|xfq<OB8}
zo?T;+uX1PkRk_o*a~q%Uyi&Pz#pUJQ^Gp6NROhVR^wM_4dEr{CgAZ<5-fviGb~5yj
z#sdvTJ*5>j32kN*_?VdwD>n-_=>Ljy&HrI&-t<#tQusvfNw4!PR6D;L#4tBszrQEp
z*`jIn*FBF;-n?_Y$#m^crzc(iv*UEn^GOw^R*U>+{gTT!`@i~W*OR+8_Y&r)y?A=%
zuI2r9J6o++T!FU1&rSEp&X1jX-}m8P&bNv|oVQn;{%BC(vVZ-78m^OxW_c4Tx*r~W
z&{t6P`Ao&X=N9$%p6)!YRa>(!a^8!knghjG9{%;=o$~Ns{nk4*PnVj_Tlr|ojYnc9
z`@B4BUp~t)IXl_1Z}FX=iN9A(x8M8CbXwJw{Z@g~#THe46mwX<B0DB9wx%g8a@qeX
zzco{<lDez*1;%<E4_STX_7@cn*RB=(-(TKQR4~>1DVO%E?yt%Z=1H#?r~Nv%Tzolu
zWWQ0d?XGp-URoc%x8zcF{iW<c_WE5@r*!GoK3X3A{b!V)zo~!1%U$+&O@3|j(GR?C
z^wPG0Kg%MvLH7RN&}Hd`MIsl!o)9vO>^FM){WAMfm)Hw-J<nJDKfP@=U+=4D%!@uA
zz1rXOzmBnK)2oGE`}?-osmaRjdh>I2%7FukdqThSubS=A82A3;yV6F<GS{#5kG^<$
zY`2+bFfUy8#vkP;d^6uA{q?xAc4M8#>!a67pMPn&Aj~w^?%kAKTP)t6GyZ#M%az}c
zF2@$kyk{%A^j??7!_y^iU%6ee-@tC=y7Kbt$)cjATHb$u{o;~Y^!JO#Q=RT#QY+rQ
z@;kPKV?h;%P=EN9gR+10w%D!z))psj{4&+1e*J|PE1o9#y<2@x<@v@ZdAdKpY<s1c
z<`?~n%^_~Hto-ROChPJfKMTLEdR)cv)#~fLY4`rxge|i^bZPOOsw))_KCJ51bDa9C
zZm;%k->vG`U(9@b=2)s$>DK3!pC_puO5V%Nq{M3=lF-R~q-(G0D((*559N-fbG`q{
z1jOFyHg_+rZ+>O<Qt__ks{gSZA?*RL4&~i7=&8P1ZCgF3|L3z6s=I&W7;k4}EUnlw
z=O6#I7e79{EPKHfdsl$rz4>x=6?@sY#&NG0cSqc1<lim$@K@x*#z$c<0t98lzkAI&
zb8q)j!xfb*&tkOtyZ4m;__=s(_7~2*cOQP)lYgXkh5Mn3I1b->M!6?Gj@M44L>_UQ
z6E)W)e_cRz<LnH<wZTya_ES7Mn9@R`x@Z3t3A}%BnZ{;E=1Ar9$DQ6j)UHvR+5b*{
zqV$D(@+PX6Us-0XF<QnO7yVY|oaNKfUs{WgsPCEmN_SFQXIZ+>+}nD-np1wbIL^un
zx6xfZQHn|Zv(j9dLvyCDbgs{O_kvU9>5cslDh-Wf%I|Mud-D2@#QU1-S%H#SD_$4!
zzq_!a%SBU8@J7eg{{1slm-2~a8`$YiGMuZ#_*?(?Es3Hl7B;T2E0Uf(37_MT^l8qe
zw(QIcCpDG}l>cmNntif1%GIIMc5#bHX88*S2kt{m0cv5nhHFlWURt`|X6dKd^}nhG
znZ8HKZT+^&GbNX^A$W<<HRIncSN(IgOp`wP;)Kw2RsE8ivlz^~-_CinQ-6|=>yi%7
zIo`#Ie_7m52wh0C>#|<t*zPT{bc%w}#2JSSoPt!eeU3cXwMm~PsqfyEe|MHlopgy)
z?eXhr&5g`!H$>E|WSASb#j)zs{K$#!d90V}+ho6P``p4_thfKi>l+6*xwI$UoG@91
zsb-S$n~w}eGR&IMr!|gd9Ifr%HGOj>_l%<0jr>m{KP>W!l@a(*-|fzD{g{}odG~~g
z{wg5?F-QNou2%^SnIUTB`djY)edz=d(eh7E#e$yn>CXBSQ=c^F+98(MsTCF{XC2e4
zDa~E$E>dq5!B@)oq{^w~+D6_DLJK{93;#TmKBJ-bg2Akf-!nZ*uZXC<c=k%Uz2U+V
zHnCl1hAJ@}VH!+b#g1$YV(ZxQbY*s}+ny5`-=0*bqWMH>Veq-!XY5s_avq;kb}!RC
z`|QZZ_xJ7|yYppI^`qZy{4*b>Hl=!0{(EurM~wJ^o59c2>K{*(ezI`o`l_YRx33N_
zXAfh!9eJQgN$%p*DZ1=|R<6@7PutNYyl<I9*Va<gp0oFx_C7Z`x8qWB!^$rW78hT%
z7k#xnl2v`8s(pFP;W;&OGPm3mqNnxA#AUN;|Lo}fn(|Ha#s&$OxQAPUD#KD%a~%mv
z@!gQHdRD^qU4iRE_p-gJ4~kfStu0)CnO)55l((K+%ikPZo29Wk?b!1p|5wSq$=o?J
zYIC&tj_jv-*1rR9Ox|X;`qn(P1L;@XXS3Vh+qr(Z<@Ncc*W%;q?e_)WOZ#qkJvjSG
zSoo&sn{}7nHkana#6|mW-r=|UN6gDNrsXs5XMA%vzbIOidnZJO-~Z6%1Dc!ae?PvK
z_jqe%0iQ+QvC_+0`8vP2bnC<BWuCi~HoxlM!_UlWFSvj2S+~t>&DXalx8>f_`0~T}
z)0`f;)7RTxPu_ZJ#<OoF2Dz_=wqE0#_3HDhsolTCbVR2G6@T&EcEw<s^Zutx_E*e&
zFzIv_H~(9W_kte+4}I?ZRh?GQy=R>W|GBB!_3UTr3(rhl7rI|wx9IW?-S*`N#J}}D
z;oEll4a=J*Xa1{aUp9W>tgWasvp<r&RQdjtofSKeKNKsR@g!MIBxUufw1b-})+jF1
z=6GxS`;^aVIpg9tIXo(pwWa>%6scV}|Lx}Hn@OA-!zDPE{adv2y6d#n#c$s9pR^1=
z8dULgPTjPm`ndI5Sp*Et_C9J8ILPyaWkLLAg~kf+gN_MNQp%lu2iXfZO?}Yy|Fh;@
z_C>5qM4Go3uyL*0yWkCL<n5Vf)KuHA9l2Bz`~SGbne(#p$@@!V8Gdd!StAwp&ELba
z*7xn9rHi|=?(?az*!`}OuRCkqa%HBP**?aVe9o_?HLf@)wbH--w{Z8$7dbaSxMgab
znECNV#J%0!Ws}boT@(K=P^=&v`MSj*DX_Rv!?M65wtNNifsGai1fKdW(>GnJoO)3t
z%J3FXg3m#r^8Y8)-WEi~O<J-=$#5a}w@?<*#kDUMt&vf6cUAj0N#$Z;k6`eUg))aW
zI*OcpaX)GKf_AH?@m^o@-qb(2Y%knZ@<mAgtekU=c+*qW%c(nMwZ0rr(wvfcwf}18
z?z+NBV!rZAE^_iV*R(i4w!L%zwMKH5YpKs62L+d(5%M49?is2*zHN}I)o0{>#(O!>
z-#e;BhaNpj`{eWUm-@~gZ{|Is6CYPTdZPCtfcw_%0L9+L88XV6mw6uCTG6n!-toqR
zv}Y+AhP(!!uBu=7ths11zgMlv5jn}gQ%lq;lOvQ?yQ-}JAS}Fz_kq=_jf<;%6x{!3
zeR{HpZ+74Ilir(8{@u866IW?pt?RQt35%NUwKy;`FXC0mzs6@&>hfrveu`$0oZ3x|
zqnEu8nW!9%WAb5NIZ<(~xYwTLt1INz&-SXHT@riP@3GV4b7@u93$F&I`(0Yi+`eFo
zRKf-}w=A)rO{!d%SRTtyvs{@d<TUlcydJAd6?13)Ja(kf&|%M#vn;A}njV;R)QctU
zRocmUl5vrm{9mg>OIUWgr+B%{k6;Va)N}3AI9L#LKqw{6+3XdotJP9vRne~Pl|dCU
z-ZMpb>+L3o{)rWompPp+CL6g%sb*=a>I|-{B3mVu#Wb(Ws{TLnY>D)ihD(A|HoWgD
zDYPrp`OcEDxUJ$J>#YB4C-*3-ik&TF=HvG;6ZJNj^<=H&e+al<-{GT?!PGv1=a8Af
zZHLLcflVy`SpL~Jvh2Ej_wL=;d<}t({ylGZaM!>17s~iQVGpB1()=6hf7Das+Yg!R
zJX|SaB4RAEY5LTiYkH2IN?YS|>{QYko@1xt)|@$dDr!y7(Nke-e2$(9TElbnl;4^&
zM^1UI={a)BZH>>7Q%-Amj-0YvbLKEtZlt2F_LS7t4Qnn;72UMSDKxC(6qj$LqNcV;
zYU_eE7bc4qZK`+j4eL0`r5mZJsy!v8b;6np6Ge?SIk|>)oZ#Y(R8-U!Noj3ZbD>}K
z(?+Lw?I&BEVzrNKb=og_D@t*#=%=*-*SHebUbxEjHS9!I>#DGh?M}Nz7ey^xDLN@?
z;R?~FsD;Z#FRh($nd@oTip<s-VHugNQ^Gj5J8c*Bic(x6Y89nezgTqB+JM~FuCR`b
z*0!*YZBCm-C#{`ufs1u*Ku&9dHp><#d+jHioouy_Y<9BNzLMNpvF1Xb=%vVob3_kC
zE}SiTX`_>67{_t0yAg`g+9AoUIbkc(S~J2j(ppo(G}2lV!d|4d#)Neo<2oCmD5))y
z+?ufFLbqtqMkn2{j-y;_>mw9JwWlPt2CTWzDQdLQNj0qF2v=`}qM)`&Qmez73+<wx
zHaJF`d7NfTy{VuhU6R_oCMRGj@1jkP!Db$(*j#TaXh?raX`Yi4Fqt=LlcTqp$4NHT
zn+ht@B`M84IRO)Sl{Ps#n|YjIW4)=MApIq|xhChrb+*dffa`39xfk-A>$jPCWH;AH
zPuc1iAziZ7aWC(tTMJh4KFYn2*Sx|kBdd9dnMPLg0<#yH%_Y)Lwm9zO&AO$qj5q3*
z!cyKtxfgPqCz)|%HussG$Y{=y4p}Uy+q-CKV6@b>rHM(gerve7mMopP#U#(0dHWWV
z8PnV@UpjHJj4d~vNAzvat#ca`-}clmnOk^Ua>v|-Ta2fbv0a`xH!&q$<ff#{8l7J4
z$Z2iUmTpXqwP}eE7kq7$6zj3ZyK@n*(yavxc^Bng$Z1ZI7TMysk+&&V-~!v<oC)XI
zzUBy=XM39y(9ip5qocK%M|yLS8Ap0^o|(sSwx>4~WTZ=yn{#pkdU-e9Tri7w(ai-j
z>v<>LTrh*T>E?pzyhS$^q@+!fn^STEdU%^QI_jI9ILfy5hJu*%mZav8oPaJ~r;U#4
zW+#rYO}(KYByEz^?2;4E!OOJKQQqvt;bYr!d`=(Rl*4oS*t(oEr;e@4={a?5S&q-C
zV~cWlP92+<bLQl+Svfr?k4?+*IeBbS4$sMBeK}|9PaNyY={a$%Eyw4?v8EiJ6UXXu
z&Ky6s&+OUOjq7>~bKh*=xVASl_ssT<YkCuN-()54?TyS`la;)u_pO=R){QHBJ#%%k
zk^`ixZ_UU{_LDxHyCyT)M>_h}j7!G~q<81)WF~t^Ti=>-@mP-Z>fALM$u82;w<L0t
zH}{@2Q`@rf#<BVYY35ru&L68WySCYIUhmtSHOG%t<m4PbR$?}7v*DcHyE#6`j}_(c
z96y$qbLQBwtei7x$)?haZ{9d_EX7Q0v*C>1y*X!6lTFObl9P?h#FCQ@%)TWh>zQ3k
zO4c#kmXxezHZ3Vx!>lYRS<NggDOttLEGb#ZOe`r`!R%XNvYc4`w$#KZv1zG^5n^Sj
ziD6=4sfi(CW~qrmVq&R@0b<`$68*%kr6l@@ZA(e?5}THi=pj~?lISKDmXhcqW|orZ
zBqo-U=pgnjInhq++g5}1t);p>*@^pGb9H^P6Zf^I>hfeKhI7BxJ(HCf%Kcb3XS>0w
zR$pD8ti(X>=BOK&57n1&7e__pCHinjN6ol&sDOL7u1;p62e)<9jEjeIxL510$w+kJ
zmX4ChP2AjiQcP{jh6Sxh#n_^6oIg|}c5So4yw<lmYmOhP(8)P|s6=eqW`jAccXfP@
zA1cz}IesWl=ghG~SvqIZ5>2@m-;@j~bDKIhF*QBr<R;@SZ+djS4<Ei%|6_xRgHEr2
zxAT!p5`jsXGaAn7_@pHoayLibIDIHa%q=<5SWGQB(NK&nIZ<EiSyG~|*s-KUZLwuZ
ziJD?<Nr~!WX-SEyVs1%^%3^9siHc%uNs01e&k`HA=}ef$dTNtHgxHHyOp%cbw76YT
z8<*)!n8Mn%$ss`O#Yra1$OUTk+(%Lxr|C?X#Ok%l!9(oD2`0(N1xnm5DUEG96DF{7
zZE~;|J8_(;R(Ha6rczyj>rA=26Rt7+6w}CV+#vQMtFekZWvfFd_mSuYcbNipFI-{r
z)D5`8<fwb$GSf@36Pb-g+*h_Z_;7F8;^4*I620Iyld7)3B_>(j2^X1eimlk@Q18m^
zvc<uf+a-FzO{SA#9vO{IVj9~VHn1{9Da>bm6{#?f^;YBpIqsI*l1t}C-jZB4H}lra
zIeF>x%Gk2f7nQkXr7tUM>)LJ{YMq>v>8HcnwbXN?iPp3<*GY2&HyLl6Yj|ts;w5u;
zzCANp!&*5tec2nHsdHCuG7c+ycIsTh%}plta?_q2zSIygOTb$>Dbr1dw{vObCZm8T
zo!;)Hl^abArl}pfbRuGwq_^|q)XaowYH67%)6&v1Go~#|%gmXUcJiFT&6#S}kC&V}
zz3<4IZl6h|ft&QaueEkgF0I_87jRAW)Gm*OoAg4j9X+)xCQ@7{s_fXYb9Zh?%2*pG
z#e2N*?yRphT$mIe_J+H=HgluC-nXYm_Z_$~UE;S=^Raz9o_sr+8DH}I(-!@J-$GmU
zgMJ5X);IedlpLS);Kp>B-;0vt^S-gVr^lDQ;qI@!xk+FC8*58P?ZmgIbK*088-;Aq
z-&(uzZTH1}GH<yr?NfPsIyb)H_o6NO-oFoRF^baOywzy`)cS`}GuN%3`f;O?eHhz`
zQ!J5^irU6YPMng6oT;qcoRT_eP0ysMk(W|Z=dAIWGIio6qu^C*dZtd@xydLZ%<c54
zoSTyT)}Is89p3nK%oW^dtX$T1<lGdCjm8>fYDdqBy!FXV4|#K@Yi{C3W8JtnJUw$e
zHyRt2r5!tW;fAF6jJcgR>m_H-oq2QSthpO6ZZtNxZr*HcX<gQK{M?rtGw05Icys2w
zxgQyCN!~bjq)aU%y`{`;oAKs_a}{sp@~rAL{K~XlKhG%2;HH#!PECZg+_q!W)-FuV
zPPr)+vF+H@wTZ6BZgmtsK61}sqq)}`ULn)y=CtfHH!iH@UA}c<w6t$_b7rni{l$RD
zSz6x7shR6^c&9BrxydAITH5Jr6*p$_D{gZ;a;@ivlu&eVQnpXd+0M0&innLoJSVZq
zI3(w6*V@F5rn=jX9lf^ahLl9KaPqNh7j8&RUt4)oYR1~mn^H5^&Rls@YWCWd8%=|^
z9m~uvG2g~^{MwTnQnJy-n@ugF7jHJT-qv=!{@Rxtv*xaScyrdg`D+<(N!_@1WSd$>
zI?GK-2eFLfOfPi;&M`gY$>qIxO(fShH#;Xfd5fugbaTmVsXNy+a?f76W{~T9`P!4d
zZEY8?ZMb{mE$^zmhD*1Y7gRsqW<Kc~+ogLJx1?=Wx4zUpbM;VyuFthYKemZI+inoU
zeR-=v9QWs~^#*og&yF8DAf~p>z>!;di-FP7(#Wi+>34QntnJQ=oww+kYgX*CYpq$a
zWv>rKOWZwV<Eq<p<xqg`nY?7DoSu$e$&H38X3LHon{i`?uyk`$a?qL^5@OPqlaga{
z&UE)SDkdkV>F`Ww<=kZ8B$jsK5RWd;#X};xKDmiG?%d5=4C+0(i=!p(9MUk<^|^fL
ziP*8s#7#Oq(^_wCGL$oWcKBFBg!uf@pONAVN*mXD`)t$OT)J@W=?l9A_P+V@=Jfe}
z3~#w};**?SH#r~Qb!QFtxm{1zbo2F>zTBv1{rb@3t$OjVA0@}ut>G3>d~K8x+p)%b
zVyR(MN^IA)rQ7tjl^RA(uV1{Rbmv;`yx5q8YpQ9nDc4fdVl#5CEj_mDM#OaK*FjtL
z!d_pBp1!*D;HS0S*LLNsJ)IxBBS!35YGT5aYixPBcN)Z=9X`|$F++e`IVsUiho`eu
zbEAP;fR0aBYv4u$ZLzkahqhE~G%yfTJ9g+q#0<&o$471%Y&7#)!z+}XeB@TWz(zBt
zHM|1)Zr`4s*r#xFy2|fGEhqPx+!R;;{V64W!5i->wG%h#2Y+ilwJ+qRxc2X&)c8$r
zx~J9N++-m4Ej25#L#JnAtK}vG4>7fqhcqH*sQQW>J9)?^QbL1UI5lxeK;#T9?&Q?O
zbviuLX0%R>oH4U?VdRWits5g}NO318C)Vfb@bJlU7jHJO;GVqMz>0hG;^T+j=<u9B
z^hKxV{Jwy<r}N?~eg|E>J$-fU!CTYU)PBrmysI;zkM-0>2Me(m$Cz$LERf<(+3aA-
z?Xuaym|JDDgCRG|W(R%lCz~8h#4?UCos3u@!OfD~7^gF#n>A~rgN|6nQKpp<3q-hE
zk{bQ$btZJOYHf5-5z9Ej)ETirfSV<$(N1SV``??J?4!P^pZ=F{bH4WP<kb3AZ}_MF
zow><A=$rbfe-1b2tN(tSQa|er|Kz`so9w;5sh|9(aC5%$@8p#Ft~dM>|4MGMclxG&
z;vd7!`SQOXC)e-$c09X&*SGfU`nunnx7tVjetdiWn!g9@Z_Qu*_vPFEtN%jY^5@r=
z{dV4JAN*T+t9{^a=B@VrzZc(@U;g*xTmQ@d4Bno<^iSh0e_nmw@5x*2J$@H&v3L8O
ze0%<_e<E-BFaG0s%b#1H_FMV3{KCJSx8~pYci<a)Mt$A4<>~bm-_q0TtKRVU|Gl}<
z-s<<{&Gr_*i#OX_eN#VP|L?(#`O?3Wlk2nI^q>8A<qiMYe`ntGpZRy>4gZ;cd*1Y)
z{&(TVe97O+$@NKZ_<R0VZnW3?w*2V74L9bC{$89^AM}R5>#yZTd$n)NkNlf(W4_>T
z<)nJ2H~bxce{QgsyOw_XS4QM~o!8B&wd>aOPyM=blU>NQ^i#h)BIj$oW=^f0SHGrz
z^4H8wc0SkAPyW(~oUih_Ii<F5P5;ENnw#uguBD&&#SuAQ;WcwgZQYvw{;xly<kx?F
z8705&>(904ul>qc+n-;%=^A@>?Ye8rx7&ri4&G|F=j+Y2{8xYZtnI(@%VjP9m0vb%
z`}1n&TvOj}=llBb7CZ0Pi?`VA__}kg|D|6FYwOQn{3WrrKesmPb?_EDm)FKy?6!QJ
zxwb#2w&j|7Ms3n-=4kl^Uw=l*&;R-`a{k<}FE`rRTuVRx>%|)XbH5&}Ie+%oi-`F$
zubY!=^VanDeqFiI&g^yYW;>JD#+&VoUJGxwGkE=Zlbzmc=j7V7HT^wbJ2%=HTzh`>
z*N%w!Vy_P;)z*it>F@gLxzSGJ+Vdm7W<<;vdhMK4>$ax9<16PzJB4e{5C5vTF;DmQ
z;?(L*IsDV!?%ZS>wr%;TZvi*wY2H>&tzMMFKjm%ZCR@L4%TInYxH(Vt_TrT4NjdzJ
z-db+5b=$W5#5aMP^Av9@r&KrP@K1RAbEB=@w&&T^d$ujluHLcj`SyC-=-Z38+U|RM
z@|N71w>xjmyZ0?3*Z=Cb58KkW+lJgW-fA0kTX?H&!0pdlY<ImayfyF6H<Mic%inZz
z`!9Wau<iLaThH6gTWsBLCvUOc_I72i|HW?tx#ur@yRa>No9(8zg16=^c-xuFpHtni
z?Rk22&9>#~)n(h3AOH5@#yr{Ei#OZWTi$NoY-@gdadLH04u9X<of~b<wk<#Q?M9CO
z*>4we&Y$^qBFF#Cw*xunPk-By!{7UM=0;niZR*Fq9k?-1{PyFd>Zlz4?zfQ}ZMC+k
zAN{uA#ysKM$w}2-IsBb(B{$kCZBsw;t>MNz{@afeE4S(NPkVZDlTF05=chhJM9$MX
z?VMU!zf7lp%G1tGHUZO~pZsJIIZy5M;grg0I{lNLdTz4unD+d{CyB^;N~fJuD%*7W
zCp_icWaBXH`SDLbBId1s`Y~$Wx~C7L=H364quYP&(~oKD*_9inJ<qDFI-R`LCiL{-
z=y`WP1?Zl?^2tNj|H>x^-Sd|}y_j}9v$E*)<t;Wor#El0srNeF96j&$Cly`(OP^$P
z`!9aFF>U!a8%Hy@6UPK@&QOw0W;uCG<)(zH^yZZ0896?adkdLTlNaUmOzEAu$<Rmo
z@)pCLy@j_V?jEyo&F#5z%qN%U>ajN&IX$P3?aATkIdkkx4$s+RH*$P>dp9;FCl}@P
z^!4t%DKV$_<jswSHfGD}j~{!J<8%F3MedpF$9~+HAusKmlHT>EXX0GTO~xKc71F_1
zZ_ilWd+^qbHN78md#>dtS6LTtHIA^Jyw!N$+POd9@?1Yx^0w#txtg~<{0i2>Dd{b5
z&P?dNxXCa&?3r`NRKbl#%3*CsPECoB6xJ?QI(lkF#7t4`!%3-8YkV%9x)WB<w%y2E
z`|y-#$>mcou03=4l*3w|E2nleY&0?qb31nGOoXJA_Tx=P`i9!dn~jXMoi`hqYA0_t
zGR<4V(>L|xMkA}RXKASgVQT5gD{_3MYD+h#CU089bMchOS|8AjEXhYU8`|1SGjB26
z(A$~obKw}rS+llnhR)K?TMS*LgKtaJ-@bTEA=l^9u{&mL+YP<6m0h<Q?VkE@t<Tj{
z5o>+&Q`c<@JGMPJwPKA=|J0k2lJlm%{23{^U~1!9pPbaDFt?1<lr2*wFKsllyvF9v
zd+`&GE`M%i+G*uzxrI+TqvqZCbYL2LMrGYJw-c9GBBd0(KPP9_=$xINmpNye+IG{>
z=)?85XWhLPkbCyZHIH21E7u%u{@IYY$;|tj+sRuhk<u#J#VNTxYkVheRorCee9i5|
zEtW`Wg>2<$>4jT4qh{Z@b>JFXMsD4;W9hk7*V@u^%dRaue(OWTY}xF^o6Rh<n>U-8
zXFpEP-FNL+cJ8igZP~eX*_*eTMP)yZp1o%4!Km4*x7NR0+k5p^$Xed~+_G%vt!Ba5
z%3I9>vx8G}SFAZZW$VOEW`Wn(PTjJJlvdBaoKjgcZFzcS#kBPF$|@cH{--xL+E|^Q
zyxGR$bn#{zt7+=TKRt+;Cw)3OxiU+q|LmtLI{arpozdw(^XZ5V|CvvFbox(!x)3o>
z^0abtWs(km&(q3{^)`CbmLL7JA!45B>BUKvK|1_hPc1jvs7+gb<kN(Rd4i{vlPaBb
z_&c8d++ZVDmVWwO#?84pyPH$X*S+bRdUxd}>yWbaQ|~-(&ehn>oLWBbP2c3ZnVYPA
z%F<81)3`ZTWp{H*dEcA9iFY+OS-X^_pLoY{bFRW}=9KcfH+}tgf8LU<Uw`-IE!lN<
zf4)6;?On#(zWnk{W$fAI>&ljIw+`DKyw!To-J5UuuD<hm+jr%i%UixH?`+=o<(1DV
zQ{QgwyZi7KYwz8Qw^;AEyYsEzrFRN%&s}^c@wP9wJZpFG7HgN?##^kn+@1NhFQ>ev
zOg*DKiP1-ATINxsl@ZHCPPZh@^wXJK-<hhl(MM%k<`JXLh-Ct&S(0Yj=}c~qy|u|Z
zs!a2=UgFKA+PhOyr>}Y=G&OeCChwp!%~N`gH<zmKev&eM)*GS8u~D16y~;FC>M7n_
zs=PZTWqQ{ep^33lo4lRMG*9R;-drlb`$_WjePt)Jr|&B3%${Did&^eusNGL)FI^LR
z=+@Hu)v>SMPP(cW`c^1^df9H5t=_@ARknHu?q=EQ?Z11;ZPn$mr``r#)-!y2>5`u2
zTcNz^dAp}<@%GqVvc=nNcgpRhxAa8c3SHFWeJhkZJ#DwjZPkUbT(_3q&^uVhnK8Yt
z>}2}%s<O`X>1AarkL!KBu~c^VlFi<hyIVGUo9|wdJiVy?jZk0gu8rPiWh;;A-Fy>t
zR`24QOK0>>z6m;`cks=n(|SAK2=&I!+URXmrg=>7;Eko?yPqUYk9s529UHaLTdPd-
zsNTXGONDo*Bu)2vBh(oywb5ItO!J6d<Bg^KyPqUZ-L_`Zw9r$VyduJ0p3;hpT&lI&
zC3WhuHIt@<c5U(s2zyz7Qp+-OsoLrzDO0DdnKUWXYm=8p*vk`Il95Z5R=cE3ZCf*G
zLMYcJFNd&~$F+V&EL|V^DQfAu&__{A?`!3*opep>XP9R8)D2-Tv!+(9PTA@ey81};
z(z{xLYcE~V@?0BqMayyRrOR3`!%k*SEn0nLi<i&pEnB?2R<}eiy{)CXR_Ic_mh9R|
z7qxDNt=#72y4q!nm-A|u=%qKcPKJ4AOl=C&+~&0*lqqWI{LoL4OXr0?id;H3^wmZ$
zo3PB|S})fGozr@_=F(ZMmk~>4R<|Ti&0906H+0oTFSFGlo4rg{n{4(nS}n5K%V71F
zO<sDdU6QA!t(nvl+O^TkAnfH)t(_4|#a7oJNtznAW>QzE*G4amu$M=)W=1R(TJ4fF
z)osnBj!>?RUJ79^4{KFM+?jSXGcsn{(Zt9*Q;$YQ#!NjL7<p&PQP0SjDMuY6?@T^w
z85uMAsA1%tNk=s!V<sI{jJz}PsAOc!#G`_dcP1R=jEtFZlri#7|IwcjcdkF$BX%8h
zW#nJ6?e$wN)*k&SHhrtbnxnD0>$Y30J{qdqw|&RmM=Qk4w_2<`>Z;3^Rp{S+S@&FK
z;m)I`x_z02-rd1bGI@pDkE-hOWfr=38%NE_E!=ukRJSjq(7AiDZr(PFn~z$=#JB9Y
z@hG92IqJ^&M>S&CH(Sg*`c`M%@kbRpdB-1>h)v&YG3V&rdL6&xkBW5ojz7xNId|+)
zmd?4fLeuWWk$28KN)Z#^Y%$~LUY&EPg(hO=$%RH@;>m>uV&9Vr^~A0x73zp>Pb$<B
zo1RptAy%GLs3sPkRH!0mo>ZtLCZ1HNAoe}6Q108d)cmM#(^B&zzLllshkXl6%@6rz
zmYN^*O)ND(;M=#9`h34{*HZF*zHLj%_xd(1CEw#)SxUa!x3H9amv3e%`A*-&Qt}<X
zeM`=_`}S?C`TD)3Z+o-z_wUVp>zkdwZ*S^b-t7GF>ep}2X61)gKYp9L-F($v-?zS5
z`GM8Vw{Bm)S5jSkD>^UVw>tUO?MwHjd~3UXJ2&66+WFS)i}!lIrQNQN&dqnNR=#!n
z!o7^@*>8QfnJ?VS`c`+F`Hg!G-@a`&pTGC(o8I(%>+07V&E=|ZZ#18~_vstn^nCN`
z%Nw`LR3F~BUAlVn#_dwon{P&+y_fOLY_s{yy+_~hrseBb7vGfb-Fx=N?4G?xZ%Fs-
z-Fstp_uj2Hq`UX7y)nCM@6sF6U3=%&-<aLGcj^u4&b_@iW_Rpuy&>JPxAw;DcHdi@
zJfqAsPpc)~T%tWYC3W(u9HFVcvo?7KnQ5L<bG*4kefE=-$+L2VCi_Nh^7Jy(JgKI5
zbBXfol$6O`IYJYCr8apwnQ5L-W4yUUe)f~($@|PsW>4N_)|oxIZuXX~o>8-(++MQA
z_t35SC98d3<xaY)7Md%RKe=qS%T~|e*(zH-181{r_4J>;<hIIk-&46km(>h&FI`g8
z%oWO;oHu*Q7Eh1aC0jh*W~ba<a!XA#SLmV|Z>~`8<h0o;w^bJUa@|^TL+zj$XU60@
zvy<tQtIRsnCzqM6Jg)Zf#uC}tOE!C2&TiT4X+C>N^5puW9HBnnT^l{k%vK&#yO|So
zR_$WWr88<LbAryO9n86OT5V^JP_OT-jh;qkn#a@*-dG|&`$^K|s2rhg->8kAT4tI@
z)fV1ZB0M`KX|h+2P^YidMo%R(%_C}!H<s|vev&wGo6e+ZuBSG6M2NjSr4$*tM625+
zb>cFeNmE?AHhBby)xSKcWEr_ct@}vI#A!N{Cb@cT^6(IQc|u7ta*0y6OUlGHok<g1
zxi)z?h`l_n^fO|~de=`;OV+tQidu4CDOY#WHKm_on%NUKh`r33Sk;}f)g!d~Nc57s
zN`bnUt|)ox23=8d)V*|B>804o%!x(aSGIWgbZ^<>;nm#|z2vr%s;*G|B_&ziNf(uF
zimlw{;o9x8#lyMVC3?wCrITWw855htG`D$daAk^GGT-%6<dS)=k0O`Mb$zwb!$vIg
zxYA3VpmRzObuOJ%dKs}qrn@D1VxG>VUe{F{J<Pg8HhY+Kn{4(l>K57TVbJ|$lZRfn
zOY+1tok=~eT^l_N#9kg%+8MD#tiJn5(!?;GNnNg98$C3{ULH}J8L>pD+a+nDo6e*T
zSFVj73Suu0D^=cDtXsV#wSUta!D+U;Ho1p=TX9M*@aAI8YL(RfMQ;SB*j8<F_xrZu
zq@3Z+#j4dyQu-&o5u9XewaMM>+lmu%f;Sf{R;#4+H@y*@VEb#MyWO`J+5LOIt;p`L
z-|_9mcK7J&C0pJ1*`B(kyvBCdt;P4`BHspHmHYTDW4n7uwaHfZplXq=?g7<bwz%)I
zExNV%j-2UR!OL>GZzo=od-(0eHh0hJmM!k?)hS!tx7n_G8+cJp@a@G5au>g4Y;)ga
zD|Bn|0^6>)f;s&S-(IBm*L+)%-e2)8BfY=sjbMMh?X8XOR@GBByIWM3Y<9Q$rg2>E
z;f=-8)hWsSS#KtumAm>z@T}a~Hxtju9epEsMsDw$iKpc*-dHSIt&-fI^hU79wrZoh
z-nSJ;<u=|}ELy!JsXyqAV3)1cMt8MuD~`xbys=oYS|zF9>5X8A?XL~)a@R6WOJznb
z*2!*3?OnHK;?#Q6Rh!&Gu4SB(@{C-pk<F6YJ8#Xz$);JG+<dNOoRrdxT&$AalG59^
zX5vIstxaw&*D_8>aYimy$Yx3Dty?p(-}G0M@_N%(QOfH~f33ZEO)7Kk#Qfe(*Eq6!
z*Iiq&-7PFTWUJdA(_3o=uS)r@op?pcb*<nPDciLZ^Lpo8)7b9jTc3Soi<@`$k}YmK
zOn0pfyd<T#_Toh;$+Z)6d$Y1bwz#=un{090VmfQ>#GKxiYZ@87N!cvX$_q??MJmrX
zeHE!Z&-7O0V!7-i$-QN3CZ3aex<>Gv)ZH}``%F)5bhEhj;+WLUh{aObDVyC)vt2g3
z8E30(b~DUo+3cpD{bZAy$+e97V^Sw07E5HaB=^Rxnb>Wbwb4!ITE<bSl@W_YvRjgR
z{nkwEG}YSZrgAOgh*W39Vu5Uyq+Yu<6Wh1uM$VqLH8oOt+Sb^}*;BWMMoLfJ>Ki$G
z%2wA%=_y-nBWF+EY8oj$d8=;Z>`7ZyBc&&8m5rP|ajR&g^u(>ak+UakWsQ`cu(keg
z#O(g9wQJ92=f-9~j=G(n8=ZYQYIc5ZWcJ~x+gEQ@Wp9p(zIv-7`}W%2tlZ%2$x+c)
zZY{X>ZHw96TXU{Wi;lj0YsR&0Tg;YjeR!=bI{MPB3D?TDm@VFV^IBMR^u=2p*UYw<
z-MW>QJ$bEfMy_La_gda<W(&6dU2`@)*Eaic<n8)%x2o3k9=}z##y36JGW&4k?X$N^
z*61F;Rj}smv0FK7)*ic+b1iJM*{rQ+*Z8L88fG^~-adUR=9*h_uJJXs<XppRY{|L$
z*PbQi>RvmRl&gJhSyHa%wYH>O^=oNKxvJOPl5&-=sU_tqUSmtjmB02ZF*|zOvefLz
zZEdO9;oH(u>$5|*xus?YZ&ORn4&26;n(e>sSxUC=wqq&T-rJU?WP5IFOUZWMmX?z3
zy3H*m+j*N>O19%Rwv=r9ZO@Xk<D);{in@Mn$F^^`Z(X~#W!tmcQP-|**jBc6>%D7h
zwuNmqy?3oFdhxAWSFSDDCbrdd#ai23-|eQ$*FN2LZHwu$wYs^!^_kf_*52JVZHwuW
zwX(TqGqSg>y}B)Ii|L}Zyt%#Gw%)v!5-ofy>cX|8=;mDBjBNYp&o`saU#r-*ZSz*S
z=*Jtk%0^$l8FlVj!M3u^rn1qeH=4>s@7`!C9ld&^>Fl*vb9&RVjiV>uj5>2IVcWM&
zrqkD6ym6~%?a3QaJ!=o%xYfOOXZ?++?zJ0l-0E7p@<vqG+J!f6b*`OxBdT-l#2dFd
z)^^^A>R8)&<5v6H${UMxqnD(1Z^{vzrn_sCYuL6Gr^EtpF4BxvN$p;gBREC3YLlzq
zwiPGE3~w${jb4({Jt;?UlDvZ@cgtp1_iZOmh>6}*Qi?W7>2AphoS@6J$<=<_iQ{6m
z^|=$Ti<RaIUKjhjEhD>o%eE8ST_dAgwz`H#U%9QcT6fhgrF&v;w{>K7FWcsk)xBsN
zM^^W|Z64cQ{i3JbUbIX%>DHp9x<R*;?ugyprjgk_VcUz0?w)NYwz+QA)w-p$NLT8X
z(k-#G+dQ_pIz@|Yaowoflq+~a>~GG*^I~6f1ka1rzs(8k*L}3n)q0ypdUw$_j`Z%l
zZ63$Pp59QBi7rX*&dCYv)!lS+(Jb9XHy6#+opf{24Be)ii>B)q-Bgl_Hc9SI$qDSy
zZQAInzwN|Pv8^|h#G<z(b%*2xcIi58bXDJW;)vMP8%jdaCQ02cIe{IzOdDP0x1BgF
zlpCp}>pdm4vwnll#i^>BHo1gO>o_Ik8>yt}Et1-~K<DCQ)uK%<zSBBR3h72Fsd`UI
z>71Z*aiXfxCKuOf9Vdi%Bb5}rMN&E&bT0O*e%j~~@BL(}ORV>ituFgjZ$&AsRsEzJ
zcugo#_u^HduhULsb*`G$vE602>Y}JcD^({&En1=46t!r%>LuO!iI;_*PFs=LIb&Kz
zX6KY?9NS&Ct9nH#Em5_KQd+FKNjEUJvuj#MMrYf!j%_ZRRVV3AydcD?8<^9X;LWnd
z#oqhLW*1xUBb!~Uy{{y9R_I*pQ@s?qXpZWk$VIbNFKu+OoW^ln=x&6Pw0B5yXU?=0
zX`LC<GSWIzrfH;gCQN&gTHhHnt>c)`*$5>`Z;|BA1f7fBszn=Jbf<M36<QmiB<ejS
zsWU+5VyCLnMi<p-9Y=(EBa{TaMUpxlbS}25e%jz1UFLC`FZHIPj&(_D`<gcaQ)L%z
zat<!@IK}6BQ&GeEOG^8kHvyAnlQubfmwBAzQ@yFEVqKEb-t#74qO8&;=X&R;1rwT_
z+567zx^c1jID1C;)x)3Ga;=r;6n_2a$S#MCdO>TrMfH=;6nIWz;-18KF-nR{R43-Z
z0?9-srIr(yx_CHmE>y5)NolWpGofGh*RF>Lv)gx-b!4~KS#R0u9A*9F_QEx?hi)xg
zE&J;2gsXg^Zw2x*wmXMdn{0IsvKHA|?;K$LWsCDJ*`iwu@9>$v6}Zf&`*y-5zK3Nm
zwmExRw`_5Cw@%sOyiIo1+klIFf^RQe;Ja9svCVmttkA863uL?A3gomml)XrAuPIxR
z-d<6bk=|bQMxbBz)<$P5>nWR^Ev!p6J6n}$9Orv@W1+NlN^*PFn+a$6uD%gC%Xjw8
zgfsPgN8bpX;oJLW!fC#XHx^1-t0cE4y%Fe<t=j0USGM9P-^LpYMXi@4wFkWs=#sVC
z=&V+@;t1cw8w&-kRg&7B-UxKa{@UOyH*H&LX4JH4shJVe%2G4KriG<uhD<X{%?z3*
zmYNwb?ORHw-?VEfnLg9DrDS?do0gL4F|Djc%s4o>{;^x%(nbNtLq{(8ZD8Q^&^W}9
zQP3fh={7AaCDUb^SxTnUG_jOShiTuEGwr5*+iJ3YX{l~+cIN)2xw^jDnfsQe>hfl1
zhI_x(J)4yo>it+Zce}}|rM|kpS($;}%~7{5Un=n~j*805^z}}Tx^?N&lxc0zw{kN*
zy`7_OUA)vYtv)R}DmT;BTRH01g-aRUvvqy9nJiq&s;j%r<i@3jY2P-R%wPIdr#C&*
z+WYlJ6FKkO8%^deeX7Hoo@wrVdE*ut@539nNPBPIxJAl)b7a)nOBvJ5Hk-^`dQ^uu
zEmPmSI8v&2>Dh=`Jxh;9NcAk;8!@YU>DCCT?xkxZW_2xH8X?tHzjSWItj?uVBcwW)
z_D0O=SlSvP)v>fTVpjXy+?z9}%}u>2Ic;w2&6!i@hTfE%I@kB+%qeqSZ%R&?YkPC%
z<hiCdB`43-y*YEzT-BSBljh3aoH=o>=uOFqb9ryhoG_R5rsRaVe{am}pIiI(Om=##
z_2XMN^V6fPFW;J(pB`y__}0y<=jyAhH{Xi9dalCy_S>GU^kD1Bw<52cTTu3Gi}Bra
zbIPXOj=X$sM%lJ4#!KfuEGxSmdFkAQva&74i|5`f3%eb8@mxol*%sql=hCbvzxByT
zceL()%d^dR!Q8)Z&ZMW?T0g#d^W3?rH$BJCmA&yvPq(x_eDmhnb0u$dj-M-d^XAyO
zoHzArj-AUX3)^fwYwp=MK56NO*3CC>o<0{-=9ZjpT&9+sZdk^aoUUK?EGb>L>{wE|
zcG<F|bj`B1q;&PNw4`*^GPk63<ubLTbj32Zq;&bRXNjrNVarleBg5KKQ^Uj3Qd2|2
z+)`76!_-n!1H;%-Q~kr9rKI|X9ZN~|4qKLzTJIUwmXhiomX?z08s?Ug>Kvw)lIj@7
zmXc~8_AEIyUi))Y<n>cK!oEe{ymo3!*t6)!Yo|7Zm2KU0@6?*Gu&qY-PL*jdj=Fi}
z)RHi<twt-R+OGB4ZnS*r)39q>jFwH+UF(yXx?}3yuxVS2mQ0mhdnO}w+tjOJVOxwA
zP32wNvu)GO`co;|!cmbIP9<qKujR=|wb%X}8F~IxMcB5@o8+_~Z`>rSeK|7n+^K@F
zvdu=a+NU=f$!PE1Xe6z@dZW?osaMzZq@@~bPmYW{b1K0s+@#ucbGT8p=;m;P>aUx^
z^{QQy*QdRi+OxN7W4OV$S4ZP^-dHVGeKcu(*qf<cd%ZS>YkaGJbtG=)jnzWcu1V|N
z-c0S-%e66F;oGajag{gjO?#VpGj7`3#GCh~zKy&YH}!4c&3jYcdftqi^49U@y~%Ga
zZ^li2Yk2eCq_>(k<0idTym@cpTgjVo6W<Enyf@)3=gqhYZy9gi>wo+6#=Yy`_H4Vp
z)pp(6zuUHNwO#x6=eFrvZP&bw&8=U*-FEfc(A@s*d+&Z*vCVv|?aH^Vx%^qx{<kmZ
zp3kh_`PMYIKeO8VcJM8^yz1?5Rde|>tKDxK-<p?Oz4fhVZhuC#^X<jC`P*!7erwq#
zzGd%?Zwa@VZ{0ipt!CTx&9?L2zRg*G{98p%{_$@m+oo@}o%8l?j{os*MLGP(zvbne
zKUV)OE9ZP#wdw7}H}9SKma<KJv+azxdvngGR-0@yPp&rFCZ1evu<d(NwcfVtN!2>r
zwkK6<ZJVA{t+A~<sakDYcv7{>HuI!vrETI#)e76bCsxZ{%Q_vE8M#^~yES#~x;0a$
zZe6u0EaY0&sVL9L)f(BXscYx0nL2rE)}}C@Ygs3w>NO)*t7NyPtnFJfb>dd7O<^wA
zvQ9*CMy^)KW=&aJw`OYp)?ZQD>$kp&(q6aq*V?PsqB7S`&0o9e8dvt(b=OvH4-3l<
z-5R!M>#en-SEGE_PQ4Q4x>oc`l<nH7d28of)7l>9n|*Xkn0NNlEnz#h?phmqDN1qe
z)r(P*Yp3R}&B_klQXl4$ZMr3F%hp+Ir{=6}xu%t|HYuAmT6@9PUy<7Lx4w$hp11W@
z<Z8L>qseQ_)=WJY^>mHsxv0BqruJ<;wK2@%+N)zxHzQU{Wv6ZqGtGA09A=!Yx;e}+
zn{{)Te)iK%VJ6qIjzyh}SS^vwn!Gk{&D8F#SsTN2u4NsKS{bogB)c`Key!h{shwN3
zHioHO%Q_O(8L?U*n>A^z-I}TGYj14|joPMlIxO+#D(&dh)YYqUM5nHuwJ9`co7Sl?
z$D6Cvqo1a%o|Pjyd2Q6DP_J!TC&LtPu2POpO<CQQBRX-d)TU6UZCWS77;mnUkA9lG
zdf&EF*{gSL>&jkT7rk|BXjJsm+pE^puRU~Y)#|mca;IJm3(XbHUtJdMx-~R7T6Jq^
zU^MI2P=C-s?eev!azihN8RlNS6sDOgnzuSHdg_)?kLc1Zp>ENsw^!W?6U`O97{;3`
zn!7qJTJ^Tp!nIttR^13YxQ#1gb=|g8>8q=@b)~N^+qUX>*vA{IWTTgE4z-MK-5hEj
zUB5JWby1FJ-`ZUpL(R6WIu>>_C-iLC#hj~W!cOLdo(VgcbM<uC&K%L+wX-&c8g0`$
z7IyH)D)H#2NvorBM7!5UZ4A}grgb!I;f+<o(WyzRy>di5*Gg>+RobR?B&_kqD*ouF
ziIvgQmZw%mPHRuC44;;sS{XXcJ+(4;ntEzw;57F7)Jp$p&r>RWryWnJ^q#gnrP6a+
zdrGDIwDgop*J<u4mCn=DQz{*&v8PnpPkWwR8Grh7RNVDXJEnb)zIW}@mTAwU<F0+$
zFs*#+o_n9xObg#?bMI5x>BUj^u6$ZDO?<1(il?@^{@ZPqKYcpw`WBmIPjz+uGb?vI
zy*q9C7MmqcWp&TjXH;%`dUaa(7Mn#+d3F1@?Ya3W<+N~A+=Wj`r<-;8Gb-&*e~yeh
z|EXfy_RV|bPCwqbNA~pP$hdQ#3Z|8Bwvj!3dZUfZ>D?P`q))HjXfyliRh|B{O5@X$
zBje6|N|^S2lg;#}7bEWVJUtl^*YosX#J%pPJ0s${pKgq}*Y$K|L|oU?`h^kqI-kyr
zi0gbhG2&jw)6R&vj;D<g_u8LU-ncvMZsyI{X?GKE-ko|k@@DMRyMZ_FPPyxOGj_^d
z$D4O2-?h9MJNd5R&AXHCYTk^UbXW1_-HCT4Z^ll%D|qwngu9$KV<+5Yym`0(?#~-{
zufN+<c73b$y1ReNwr{mwd-rGA^sUxw?#9-?UANtO_1)07ecN~6eYc{_e5>`!yRL8f
zvdaB;Uw(TovwY`W)3<$@<=(r4Z^`DBZ@;VhmM^p1eYf$gxw++A?~1<d%P4o=z4&e3
zHtU=3TFS(??7s0XVK?)wyXW84lwIF!J@4+@H|vhSt9X-l{9Q@e^v%|D?%sXlcl=$^
z8@}W3^4^@QKlU!`&AGI4)7^`2-aYd!rA&OY^^CiF-<(S=Hz_kuE;lL@PcAno`<_&;
zS9U$AT&HY%Qn^;y^rUi)vht*IwX*P}a+Nakq;jP)@uYHvvhRuIa?`R-2W3XC((!Ih
zUAazY>eQvHHid*t%Q_Y08M#Wsn>BUiJe{eNmu77W@tKx&vOY*Na+QjAYs$(#ov9O-
zYHbQ}nU-}Th%<7Pf;Vf*$~v8?{Y!sEX{}%SDoShJ(qFn)uLWi5PR(DrX&P7d%5~FL
zZ4U|a4&55EXX!0n(W^nex>K(Nx$25u39{9lnzwS!G_CC+zTQW-gm`-|-4e26=`P*S
zOF@ddS1$%h>Q2pFndKc?za_-Q+jL9FmZh_Fr{=6|nWmMoGRd1YT5G}5Uy)k#m%fVB
znz!^;<SIGuqsc4Fbf%sQda5IOF6ge#)V`&sHilSCdvz@6X2dEf@6^p9rrxfbLyWyu
zH-{K{vu+O2_kOx5#AI65v7nO?t0cTxlUK&+OzmEpwJ}6zTGr8^l@Y5%yj$y&R{H5o
z?Odw0F+^or){&sjh*bjKtVt{Fbf&h?y|pPgs!Z#&U*gS`+SaM5%U8V-nL2mYrr@A5
zty6xEH&?1#KTTOa>y60dxlx;fy~?ys`YGOAscfB^vb^h!$i%r)n}VInv`+Xj-drhf
z{WN*`zOqx<%XgJ^WiPL@-nun7%KGW;mGx`p9=f%1_1sr)r(E?5eJhf`yv*8lYjCi&
z>ek>uYu2s7{?<!xYc8LA>TSqnKf||IF8OJ`70FwkXFYXGu!nW&mS8vQ)Y~g>`H8+2
zx#-9HRwQ?Mnzia}&4qKhZmqoGcd(2rV|iWKsr2PlWnJmZ%gR<A_xpHbrL6VR&B2z|
zt($|*>#dh2FE4r{(l>Y4#$dCuRmc2pz6m+&ck#`YGkz!Egq-m^_~y!KznyPHdgspC
z7;IFgb<FSJjg{ioPm`8My%Fi28?`Z5t4!;t-@+R!g{@PQmV3Ps>6|OIF<7Zg>xf_D
zjg|b?PZO7JTQg<a)Ki;+BEnvs@`{XHsip0jx^&r^DO09))o%(42zzzX%QAAMn)cC@
zrPJ0-nKadFQ;<j4s}o+5kt>z7T~n5}t(h`mD%YkUhp<=2y?#clTtD?w)XH^JA4RRa
z@0GiD$~CW_VOrTsH-x>)T3V%@x-}?N`)KsayIz57uUzr+TpM!5%W>_M%U&<TPGv4F
z(!RPS$VYqYmLM<f*65YD>%COhid^!NT|4EX*Uhk1+k#xRUAF`|Yr96Tyy<l^%qwGQ
zQ<&Dapbb-*qE^nI`YCedys3{OSI(XKYGaU1Sk`f`muo`Kc|BZn<*e7sh?O$ht;tLC
z)=cT0x@u#PnRe*rAQNrV%|S-mqML&Zw7+f&($jWLUYfRMO3&1;jX?%sua0`v?~GU}
zrhPPNY1o=6T~oa_25E%7I^s1mVx^F_YtmA;HB&mKa%~J!2zzzdtMbMQUFoH%i#O$n
zOzYjXDKN}z)hV~Yn=3S>RZ|x)$`P5;TeT_B&urC6H^ZANRHc`uES{7jGO5>UQ=psK
zsuOO4H&-Z1tEMb&$`P5+`)gyMo!P7G#e3?_R%I{VVfJc!V6^not%3V`Pu<d3)4S`|
zihFL6xgl5GKAL514-Anu-5MAqExI)@K>F*Jz+JsXw^rP7GtCvb?53ML<&xV&vsc>!
zJ*8W>1iDM7ZVBAhyDB&2qMKmul?!ec&9b%yZt4}fwPHbUSFT9T;s&!<>5FU3R;4el
zFw06`Twj$V(%*Y)W1yAv)Xjkw(xsaNt<1EJyFI+ILRvaCd2v?Gl(TMEb41R%oz0nY
z#_ed1$Qif2Ia5x%UA(bEQd%{6aZ-**PjA)6Ks~cnN8L8wSRpFCG-+{Aj!0Lp)y6<I
zvsFjjCf-;fD6N{b*eOS(qxaW_Ksm9j(@vR@D|EP9Qy12+)0r~0b=9VT5V5RNPM(n~
zG`Lw)7tYg}GPyNtQ-F_H)=4ML$Q3Hwttkupbf!#f)!G!`B9?W+i8FGA0yk^Q!aAKP
z{jI;EG}gDiiqcru`b+o9HK$D7DftUGiE(8wTqm|_dq5a>=+=Net+#YVt~&YZPPyXb
zsw;BE$yRqt-oiOz^;+8le7TQq3Gn7#x+P#o>n`1pOHPWqS1vk9>Q2dBn8h8sCBTK-
zbW6aN)>*n!au&9TX=N--;%1H3SkU?_Qe%GWt4NJ`t+ygq$Z;P{URb6x<($(~9g%ZR
zcXg)pwVv7-U?KMEnA6RO6;j-(n*&U_T{j09bE|F+Fyv<49H7trbW?qRiCES#r;`yY
zB)D0V7slyK>2A&17@#ATb<}BP#0nAa)})1gI#W7ZwKfK*h-Dpd>Wo++z|ESp&`xJc
zd+n`F{!!mFPunHlT(12)C3XI)H^NhEXKnHi`lflx&hh4Q_1{lY=FfT~Jh?V%lfTzD
z&69SDH<v5_PDz>H^+tGNt<)y}dZ%xiC+rw+E|>rPBzgY6Zzr?o@A}r6J-_bvmaYC#
zzn|P*zNYrjt>vq0U%j1t)h_g{aQ^(V-!5DIgMX`R^$+~bven=J_mbP{%WF@)4Zdt=
z`1bN8JI%MkdGqsrPub${@w;S;zuWJW+skj+iM|!SXvh0jICp;9Z<X8X3v0P<Ex%!R
zu>Koo#{9Z(C)4Lwed|o0U-oU~al4N<mdpNLvf1DAcgtpf^WRI7=NG*Z?yKFk(ckRb
z%42pn-vpnvyZGkv8M~8jg3s6;d~^A<-Oe|{y|uG8`Wt=IJZ5+B#&YrBPm<<Gy%FxN
zjoRq1^-c4r-NGBog@30c&G&jE+*vEN(O>DC<`KKb8}-Zie?LhqjSgF$S{fPFo?03n
zmY!M~8s?r_8XTscS{fL}o?7Z3_B^H3H|%&ysdw1&lv2;I_LNfhu=JEt*D&{#Qs*%B
zlv2kq_LNflu;<C8@vA>a#a@54BkX(h-D|J5gguXrz4mHDSozjn_g<|D3*TyW?^W6A
z#Zh;!yjl__zO~+J#Z}w2e%q~<Uws;OeT&tytGa9bGD~+{y&E=ti`9~=vTM&}ly19v
zH7tCK)uOAsYx}nCy7?+)wQyAIg;z<do7eJXl-jTU92tB5RYlnL&Aa4QKi;@YcJ<}R
z*mJK6!pb*W$*w-V(Mo3Z?u}N`t5<Kdntk=^n!dDB<JFTRW6!)w2>V{Y$!hx5ixGEw
zuAYpD?YVj|;%@iVoe{C!S2sr7?Yg=$BDU-5!ic+_S7%1Vc3zzrakt}YXGCnr)y9as
z?N=)!mg~M=k~(+O8sTZByEgfSU0Zp|Dll@n=4+MIxr^2aPbsb1<mY#7<w+~U$mOc9
zm!!;{v_^PRsnsSww`(g;SP4chSA1Qsk}|hxjqrrhUmN}GuD#5jyXV@<?72Ixz1;2>
z{d&n(zkQ{rqSV)v?uuG|&nj|l@Kvji*D|;Jg}gS|>KF7{WUF7m>n~gUc9j-IEx%)B
zx>oqImG0Wfm#iLMd%4Ze^L5J>Klj%uTl}__u38&>(MoXb<qK99uVrrY+f*tPwR}No
z*IMEFoVg9xUZ&5jxwbNWZpF3C^tn}Qg!@ZxZS=ExJ!P|>#p{yIepc5sk6S&AST6lK
zC3$Yvn#pIauC5V2Yjt+b<TF-B*9f1n+Ph}*X{(D7%Ozi{B+pG+BivJ3wb4)S+RCF=
z8zYvBzFv|vH)xG;SE<!TKecNsk62BNST6WlC26kH8sU!m(q9|=<jl6G7Dt&)Pc4ox
zD^D#BGYd~G4ly%NEe<jhPc05G`<_zlXLdcM*vD*pO0k#O^ps)`v+|T;H?#1RViz;>
zlwv0{@swf*v+v2pc4ptVTCP7^n%kFMy#H)&u3vWXzO$*heA&g}vtQ?)%PJ0?{Wv#o
zyXC60zPWx`#ewy+n{VB@{H$bl@vWG=V&B=xx9(heHpQ&{_MO~f&)Lqm?p%D<W0rn9
zCb!siw(_kz7oKIzo}KHr&2r&c)?B@9mN%X?n0?=DIsfd}oWAs8>)Ee2TFTA7z0q>+
z*{3;t>BZ)=FK^r_GyCwyozk;6Z`>(0d-Khhv(GZj%r{%kJbN^UFRi#<e|GUrnclN!
zZ_MdAd-R4(&)K~<=5(LkdPAoB?AjZ1y3Q`WA=7ns?u|K}XQ$qf={(zeV@}7})*CV%
zXKQcFY0tg2$v0}7=4rFUo6EFsr=-qal_NYgch)A~plzC`%p7knQ@{NrW%jHb;mNsC
zn|!^tX`VDwytz#Ic1p_Zt{mZsxl)_zeVw*xo-kv)xlI1{ljPa^ww=tLy=z-%_UyXb
zTekW}-F|X=*_zx#x0bEWeU&@;s#$2RaQ^JF+b&yugKw*B^$onuvenoB_LAFb%X3fV
z246Na%)NZcOfy$FZ+718DO-F!ZkKHFb-SH%d)X~B(Olt+X1uw=xwF%5tK3#wn9Fr*
z*$uP$gWEVWX4h>ynLfK}TW9+0vTZAmn|-{oO!oGY&AyhmTQ>We-(HeDyC_GvFL&2Q
zU$bp1kD1-f2|jCfG3W9bvy(Z&XUq=fTt02KGe@{Lch*K<qiveU%nsgICVu-#((I@l
z;qKh1jlNpjG>@7sys=F9c1qH0uN>jdT&az|O4~G#m^IelSjK<*N#e|HI+LfRp4#LS
zG416kqsYi*TBlu7XD-v3JSDYjlTX03mnV%ZBbTY2K9VwXn$F}&sa~6WJf^)oVI&#3
zOzE^s%FH&M$rDn!Hu*SAdwJaGXT-Agsh^^jtxJ6rwd}r8uI}V(Mn9)%X3yL(?Pb=?
zs?#Z3eL_zkiC%WsC{VZl@)aXb-QX)mj=GmG8@-%%GIM6p=_^}&d`@rK;^TF?C3@Ly
zBUN4DOGdJ~lP?<GoVIeCkLzidEk4etU80xWG&(uWGh=4cG|g>38&a8~md#K76uE3(
z>Z8bIb5mb!^s$+idEDrwPVhOShdP(f8oi8ICUd$ad1ju@<lfX(8-2`9hitC*F*$9r
z*~jR#$Yvjd(_c3E=$&>+o|&dIxhJ)2qmRL~mq(3uMl2JP=IT(oy{Xlik>P+M1A~SW
zQ=bEW%!WqBl%)}@{0)r^7Ay>DqDK^%WOy2s*o-YM2rz5Qo>6jOu;6)cOiRMimosNi
z!!t(x10UJq0vPz%PAD=7nR*x;I>uP99JS{GYnYV>gBw$thC&*nF;B(AMhW=^j7&2H
z_B>!!d?3IewmLynDdHl7INyndkD2VG4pbgI%TUA>(8SDZ5OI)^(V*kNX{{9pr|_Ba
zFmOKD(KwYaNwUG2f#rZA12e|~f6jI$2SzT%f+it}0|yyg8!j=jJ3U~W!e{Y=L58RP
z0~4PCgBN>w4FjKyK*7?(`~nROED8*aQC1xcjL}vi42*hm5*w5mm;@RYEOq8+*s!#j
zk*9!3c@5J%wj48)0}LDqjV${N8ZsN0*!g7g3L1F*3KlG6<F<IfzWTr^X6=S%#=M40
z3wg{zj06UDzK|W44swM^GzjH6B{Z<qI~-_boX5Z*c92_0WWfO*?<EC?c}=buFrF}I
zmJ%yy<p@b&<aKD2oMz+5B*XKeMVm|EFhc?pb6Up=MlW{tGYxto3~h{Jkv|xiH#9Im
z6JXGAVB`vjU|?syQm~X+OzePwPXW8s<%A9a83BbP#)1b4P27ADiOy5_WZ4+FtP1Km
z8hFaIZj?40khPFV;0U&|;IfclaN}K8V!+A7k-)^qxWSRt>_&hyuiT^$Yy}PvJ=wEw
zGcY<=Txw)se!!+XcTS^WPhx%nlLgCxHVxS+8@Qwjm>U)}iN`S<;N~;AvVnn5Cgqqz
zv;2Xi7O{H=iVPTn*cULgYRD>q2(1V846Fql4!p}sI2w9F4O%p1<vuW!8MMT*S32J)
z<!I<KJ<!O^S0*bZ&=lLwCd0tTHsRnpXFe~1wq*iW5|%a_>ObJVeBdBM=#EPVxuqML
z8CNwdJ<Q<1z@{s^Cy-TF)+L}-SC;DogUF1;lf3^QFkJCrFg%jL5K`N~q~yWC>K9PY
zCTpsYz{CB3!Fxi3klTj@W(_8XCawYw2a!brtr|8B&5SuaE*%u}ox$MZageitiP!8#
zLNhPd42E`w8w-{;bLL#Qw2<2@<D~+(G|Pd53}z886}p)ZG%}xM=QzZf({RaAUV1^v
z1_mxZlN$m{n;CLCE;+JtZeVC<xFWEWnLn)lAe$NkJM$Yh2i9jBTA4f)SY^ZxC^7_J
zxTMIsI)N+7YEB|ol$C`sgGIrNLsR)UDwt$=3|h5iWeS-r3ML$y%6DoF1D{Ns90NO_
znaj%ytkMfgG7j=RNNA8+P$F=YosVOMq8Gc~9!7pS8&I;;kmcFHYg1uxu(3^QQAx)E
zhI)|FMI|c^xN>Yv<z|-xSzg4!z-+<7z$C-8ph3fEk$gLoNCO+6XF?NS)&mD-UgiZ0
z89YG@?gbB(O-}ylYU-3uaxhRfpFLUCtKvn+p<X#XlY~79g@@Y(%x-K;*y-59YvgS9
zVaW}Hqis5R`Z*1O&673simqG`J|tLQGNFZK#*Tx}*|gO2cD#Jtrsls!CGyefOQr{>
z<(S)4RTNpAUE^T1nR)Rs{kc;_Bwd`hE?FtH;K~CRH(vi70YzgLZ{GdVWg-sFOhI=9
zezPrDD0NP(^l-39j?2O3sW+#H=$8~(rY%wsZk;JVRq1xZk#=4^vkNOCCv^rbW?L`S
zR{y8q#hU|J3j~vk8Kk%v7L_x1NG;fNMc^q1&w_^x_6k*&(nXu47Fg^|e9pnW;30!y
zWG`=Ektxr|g-<z5E*Lbd)|gU})I8ZPVoks3Yv-fCJT}?|tmzkaHhZ&z@#x73itY*w
zyzCRYn7YN(uDN%9bxf-5iI7*i=HBs@F{!pDLcU(^Tef$P+3z;(->IqlSG~DDMYGQH
z=6a2QeG6B8=MBH#;QA%SbFx<Cwm0IF|3+<!_xh&oDKg>B^@$oS5(}G+KJmKlTX<Yw
z>9;A%aq~NGZme85Gm-hc`!q>ui*1U>ef<O!et&It@8XR$pRj>()vE2SE}WBdGm4zM
zgw1$9zRuoXSO0tI*7$vYPu<dA^LN*+_4n!`--chU`}i$;dwj@m)2;DAzeTsk2mJoJ
zC4Sf6Q*XmB*BQROeyL9Lt$5!4yx&u|#C!ZM-4gHiJN5SZTXmvu#V^)f{Fc2fe$!u}
zTk9A6?RqPov%lfn>-7CK-&UvZuh^DoUfRqdy)9#A(Bntf`{HfCb=SAYTd#Yl%{4*6
ztmOvV-0X}ZUY|dwZtBm;-EmW2_BuoA{-QV6d;hN57;pAFbaT8(-Pt$O&zPp%Tt6fG
z!lrnG-(NSy>$$93cw%C<!z1zDzq2;R8?8$`QFrtPo5b(0hxEmNUrpK{@#cEh+P^^?
z<2Bbkd@kPg*J@+D+PBp$@hac4Tk7MLzG=6_D|~x>xUMo{z3%I!se3oA5uf&T*QU6z
zYpYLH`9|t%z7|d0yI{@r$zO{$#re)yb6rT)^BVWbDp}1<aW2=gJ9?xNWD*%nR1{9J
zDLGVRIC38gaOM!!6L2`po8_?3=|mOl$|6^85%r)M0g>zFPbaWiC^Yf+1u#gRdcag4
z<;T8ti-xA%hPg*u%G4GM9tF*U8e1>ExzSk8+WPUwPY=I7eY(}SZcX_0b00Rw#RR7v
zC}X>@CN15}tgMXr*yPD7Vas-1W4nIq$J*YtTNgh4TKuq*ag)B|H`f#UcnX;w3%NWl
zT<XpfB(i?(*Gp0Q_p4IZieIaWbv$`e=$2rzNB!}m&m3L)l&q%Z70d`IT+G?GLdGWM
z1xHbH`{L!SCW^Hg1}nAi_bWJ;8K&(xaN>f*!?LtDCvJ3p6fzDDR!(DX=aZ6m>d{DK
zYUL8sOE|G0F`2oYPexYG+IUmNfw>h6n_9W!ult>!q7}ZWM!@mVkzaE>HZD5Kt*fWz
zx8TZ-iOTh^=lbMq0+u|OwPekvxTJ0FZE4k6dumt|lT<t>s|QwOnVWS7Cl}`Eoa;S$
zaHEB#nEUZZcXas9J$j<kcka;}9lrCAzP#bh&tLWJSXO>jb@5j7i0a8(&G+rScx(3B
zy+7abUcXl|F=CETcXCpppAKKw(ZG!s+G6dje%(h48y|1BsJHKC-eR%gXs52<g-0B^
zeL00`-OkEUa~2(4se7*dXyr|r8D~3h&R)Ow<3@A)Z)_*-vD}natTtY9;-19K*~-<;
zDfyG$^iG;1s%v)q=(9aHWF%$_Cl{yWoa;HeaHFMO_2ez)+xH%P+k5Gr&RgEg_e|dU
z=H<`%=C<A3Kd@SPt9fvBz4KP{-FqW9nfrWOcJiLZ&DrYJ%&w>I`P`J&st!)gU-Rbd
z)V&8cnMZzeJAE&QbCZ?6S^I>uhAG7Yk+K%6mD5XKtm%_o-F*Dji;0i5vWtJ1wO@O7
z<Ce_+vj?|Y?lNn?{_Mvs89TG%{d06?H>Vb_%juhXcI76^kTCU((xlbQ(XtEbul|gb
zoqzS^O<Bv`$;aP4*l1m~#;@zD<3=m>u;WKw-H4R6S-sf(^sAV)eAiy3WUf7T?bV;K
z_UzJKVb8NmYu23WzbcuOQrfrXoX{NcZsz2|B%QvVqcb;J7<MafwlMB?-fUsII(e(r
z-m{EbEf<-!UwJkoO2$jfebUv18?B7P+>h12Iuao(F}pdd_>CEResM@H-|Dj$qvxuv
zew<P|Zw+5=X-C-djMA*t&0DNIR~JXi-g%|5_S~gc2DyC8&n~<z<1N;H@{viTjC%Ly
zl)?oMTn<j$WD#7j;(<)0j7s-nmgT1&MMTcg?oN)DS$xzoN@mGX&!{=KA3Z3TQZTJx
zS3#XcOucJC&w~oxbJrjJh?pbqDCU0R5l^Iy(ro6#8!au(+>bxIljG;t$Jc-M<wi^E
z*@rh<+RlEw+0uSC^A<~Gv-Ts;rreMbo?V<&9FXJJbv97&_M8Q01#ijRe0IjHeVavu
znEL5Q8If~zXFpbae)w6#jX46dm6@Jr7A_D|&njFZmY!8uzd|g1yTzWPE2Ctzm)&_}
z@$f}amf7*N;;fv$-m^O!i(8vpCvUabcl2V^oV8~s-kdY@?82Kb*G|7$6}nbDe{b1q
z*R65EuT{6k1-@q88t4CdX|(?Gucy|AU#>D-d;L<C=34Q*y?L*vZi(}FUAiUC?R9GO
z`dd|^YsD{C@varm-JABhUNu^O;a9Gx^*5>xUgOT#TX*er`rfK*-RXPFuB|>^^)X_-
z?CYhQ<1AmdZjLj5y)=1m(Hil-ue&zJnO$3btm@{P@UvAH*IYkSb#hJknW}?puAi>j
zxkkMA>#U7&M%T2DRUM32FaG*z(%z^w;@w}PHpXdP(>_|YFk-#%>(r#ZUTefV>%U5E
zj8nR%eWa=}Vm<%sr-{3_<xHRU_SB}>h;6S=l||lMr*+#kb@#HI=~LczZHf)p_WER*
z<xM@c+gnq1Pss_N^ww!ptoydpC(1-`>M7keP1)U&6F%WB)23MaZKscy)#ip@FDuNw
zp1*tBHt+1+HMgg3jg7cnx;1w1+fBFDt$O<?xBhzG?iJgzvvx1prk%BW!M4|#yGw3A
z-4eU=ZPqQlWpATy=`DSGDEE5q?n%2QY<r!tyJy?!ZLwS5YTeRX^j7MY-mS8;+q}2M
zI^7n%B6?fzMj2!7_48#vbHdM;eayLjuI%d#J-ORklXsVF(@x)Au<do)?vkAFzPE=q
z#+q+CeXQ*24ZZr=Z&%&aoAq|qO}&|KyKd^ucw2Q-Z~EIs8)J>Pc^@k~dP7g*_SdA{
zF*)JgZ<990YH#yCTDJ6tp2+Req}@I_;hk@lHpVJ%^FC76dP7g(_SeMSHagd*J-xIk
zCUP40>7v+3J?+z>sXJHbT%YoE(x#ZeY22rZY$Ns5PhU;hIYa0Aq^I>kn_@htai1)b
zjnq><9h$PUL+AR0r$U=z9H()gDEb?rCx80u)|j}{SGUH*oc<cUZtc@cQF`}_Qgy|z
z6~*d?=kHuIZFSbpiql)8^;SKd6{WZGX;+lqil<dkdUuN~b;I*^&YISpxpUey@64SA
zr%R*PEqUq`wQliKqbR-GMOUY-&ZytnF)ce|XU1vMXuX?7oVwu`ijGd>-WKC<`s?Nx
zyVF-U$Jm_Sx;e&nTKDmyw-I`CpPq`;oAY#6q@L{QsmVJFbguV4-Lx^rblT~(omtbm
z({`p!^G@5DG>toLXWX>YsXH@tuJ=5hv@ynT8uziHy%Boir>`dMjL^B>^)zT>jOH}%
zqxD5|BlLt%hbHax(7E36RA^(2;xz6fMYR!n{J(E+ve*56dXv4*@7<g1wSTYPWUuvm
z_9lDH-`$(+HGWrbvRD6|y~$qfcl0KE)!*Km>{WhSZ?aeZt-Z-!>9_PId&S?}o9q>S
z|K4aX|NHl?`PcvL`gVNl{`>#7eOtcO{{FvB-`cnCUtRzA>$mi+`&a$__-*@b`K$ky
zeN*4Mf5l(Nx9>CScm1_|tG|8!vcHCJ^E2zcejk4Oe%t;fe-+=p&!~6%z4`6>ZTlDf
z6?~hYQNQKy*>CE%=U@2O^iBM>d``W?@837)pZ{0&?fB;XbN_z5A>aS^?Tz{8{uO;|
z-@JeJ-<LPy`~E(>aliNP&H5Yhy?<}MS)W#K@_Y8p`DgwmePiFefBN5@Z~Bk@OMByg
z>|fFw{$u~*-kd-BFX~PI(SKoY{Ez+%dc%M8pWmDFNB()e=|A$%?T!DDe@<`skNmTH
zbN+B%?oD0Y-BVMyZ+LTU>fKG7qC?BNPv!aE)YaTAn!0_#n`@KrW^Ic0Da$^Yr+Kq}
zt;+7!l<j?QrcJ!7wJF-AEc-+r=gqYWyIE7V*S(q6fA`ld-Su~0-O^om_t)EN*YYyo
zPRrlEsf;^&yT_h0XI|udEjyjHeN|ca_UPSr7u{OB^6sQtYggQDy0v!s-Aiw$UCw)2
zwmNhBj11F?nr+9<Ut_o>wPCH`Z7IiS=Zx$VSr;-)>a%!qc^9n>++vz#H*@XMyFs^f
z@8sPr)6P65b}3Kx?X-(|H_KLUi+0`Zx+U6qx9jb-H}g)Gd1q{ID%0K;z2Pp?t+n&-
ze!97K-rYwx*Ur8BYGbrbS@!X~mv6$(<vo0J?QGu58*62Dw<d4Tdo!)~?y8N^X1hZ-
zN1N<6-5hPSTXb`@LH+Kpo1*o0yC!c>do!))Zr8?WgR<90^LE}?E4KS+()O@7)4J|@
zZH(3^dwnEt=8d&NyIqsEyS<s#ahGdjv_jeI!+DhvYjsyIP2IX_jo7rSyEa9Ig{?l7
z6&SfzbG2&f)<tW?rd+Ms6y+DT`ec@2<XY9$OH;N^S|c{;s@0|_x3JYGvg!pR*D9`7
zP1)MCMr^{>UmK(B!d_=@-4nJtd+Uy{*W06_S1;WfweRYwDBU$zcSWtemle4->}uA>
zu<Y$oA*)TdMg^@F-5M3J`s<dcT~~{u*51i7T`P7uOLy(GOIZ)YUT=%?T-~}Q%6)a}
zmZ)u4SFH`Zm?gOO+J&r(VcFZFHeD5pT3f&1YS&t^oUILEuhX~Igso2BS`n6=zO`zN
zSpU^q8>6gNPu(14vAT3~lvSAa@vMgtYo%AGCU4DJGwp2F)iq*gv(B!Wb|&lS8nH83
zd)G`mopmu{t>kLe<gH0-#Con)ZH&?jTYWTZW5im~)k~AM2CWh6x@xsCN-b>lk*tXk
zYXw)UCe?3sS|iqR_1A_dxog`~Yoo4BPpyr(R-RfLb}c-$HsqRlYHiRp@zmOYYu{69
z{jOb4sr9+GJ*C#`+VqrKk89;AwQkqKQ)*qVnWxk`T@z2Kb-4CDxz_I5_pNs8zm~4;
z&#vA7HFvFlcJ02escZSOYr|i^UVA>PHuUx5wfWoaR(<uYU+bS$8~D08>i*?lC9jL4
z;`3^KUnfW1zw~R$wf5-yxwW3Joulqw{MB<UJvu(O*7db=)cp&;GG5PK>%Yxz;aAqR
z`rGVo{A#%NeY4&CuV2^nr`KA)e!bC7?)B}Bc5}ZzUBjPVYySH3#(grc4{zKj{d)7p
zeNwMCN5-H1m2u5{v)#<E^+(t6r`77eE{>G%{dzWHe$UsV5%N7>_eRX`{<<|nzWeLi
zi1}S#mqy5UeVrRIzw_(V2>H&hy%F;}zP3ilcYLjlnBRW()~3iPGwsu9i8t41&rVI<
zyeda*>e*SFB7@AdPo+8DT%$hwY0BnVIbxH~Ms14pGSfbprg(FW^6b=<&0RV5ViV6w
zZHja<(>{^Lcyo>X?5D|__nDo}-n`4KJ9~58?5$fPqh>$7y=KkXL$}tfKKm+n+SRns
zT(SJkWwTwkMh4GT-5MD<n{{iX|Lmo=b(Wt!l^b?B%`o@cr8Lc4vAoTBv!`x}^q5_`
zCDLtn>g_eR(nNE`E~fG3isf!jo2`0VXW`j;u3Kwvq#ZQl&e&XMb~=4?m05TC<}$O@
z$J0LESR*@o>E=kw*{z!+&1Wx7-dvO;)^~Q-#z-@>)yL9q=7gP1yO?wBOxnquurp}~
zbFQ6E+nFQQdv?~wNFy`tV`&F(tP!96G--2Gj#&5EsEv_YX4*&77T#DRJUcaMvsaE-
z=UJ(ZkxKPu+DFnFZ>-^;{WNjoHl1nHj-J{S5h3>aR8nN*8m(^E)Q!t@rcF88wJ9P%
z?Dfec%g8lq-A7Y4PScq->8RJH2oJH>Cz2#1*C=(nrfh7}nKt1l*QN*uvDe3wenzZW
zfAmw-nsrAXMXkA?l&d@KTGCH3?d**k#9n7@tm;nP8WGxkG`fDx-K0R>Ygdvyb;GVC
zIqF`!ob*!cbmqpQ?yFlOe7d)8iSX)fjb3v*NmW<uQj)Chw2MhM#a3^NaP4;865-tK
z8olOb(n&GzjEzlV+S?*F9A%1HGymwP$TjnhK8jp3_vovQ5jJAk$CF;_gq=%zsB`UX
z(#wc7GTp7o8}oFg^&VZdu|C4AJ9Kk|Nw?|d2%~P%%@GFOUpGbQb-N~SOw*axbF^z?
zgn`)Wqe(j>)`)c<P1+cyGp*~W*Tx79vDZhEW=5<L>UK@q=%zER<0#k02nEoQDwQ`@
z>sBvKUBBs#=(N4NHid_MTXiZf@aAgGYSq;Bi{6M%*;}<K-0$0}lW~SOSF6@nFHKoL
z>5b^5y;hsT-M+0l5hr+awPLku%KD}^q7(N1+8A#4?N#>rJ>OPkuix?Q)%Nh{>ZM!5
z_w7A(OMA`UUAI=>i;H|4dNuCjx2)~qA=Rc^!-J|tw}uB)f87$kYj4r5)pz1d--=$2
z(|tSjQryFDueODIR<~{mcdt&}625Kks<)x_7vluqUcC@^@mtom@J)M#ZmnLhx9hEF
z&iaOLuhQ4od|Q>izT#U}`ueIjqWybsZ49@np1L{QqPlc*xYakU<8cpftd_1$O<te%
zX6o6vt2v!jD^xxTF4o|2Y++0gVdT?DIMK$&$QUfdprF9R(bnvA`Gk($emfC{Fnuvk
zsaEBM67>RnoeKr}7zHLVFlsQdEMRDG(r8$0FkyKn3+uv&$P3jeO_dEZ|4eNZ=a)V(
zL%wlh*N-grsHU3<;r8Mo8gWhk_)jc0;&!k#Fl-WTI^OTGlVQm;8|KFfcbnx5l-FGD
z4~vpr&ES-Ma__|4F1x^EISmzlUwQQ|g(#gfu4C8xKd(NJfA?dXq|gl~wL{cc>}z-E
zIbYTDyv_GaCckOwpNgW7j8>NQ8oKq3=KbXdSg*5d6?ooUY-EvsdO};#Ma9By-Ii0e
z*=IcpZf{-9^4y{-|B&@eH&wOb-Wc05rCdv0&Xzy9Tv|_C|8$h*JUe9gGpln}qT9Wb
z`yM6Uv97!G_LX-1y_Y&nZYO3s*DQ0M@b28)z=%NSc?pLC?gcM-eenCIJJ-*Yd_E9$
zKU8Y=v%RIt#yiY<7RLT=)R)+v8gQ<1_l0d{*S>#l*rjktr7ermgm0Hz>BA2%Y<|pd
zK7IczCm+ux%a}4Q)l82_hl9e!cg}Y6pIyvcpV>V1p{G|Ecjw7%pRd-dI_-_!U^1I&
zvV3Wtp!5H*RnPQ}Pso1SUr>I}vftzVk#hYz;?3KCKUx?hr|~1+?q%|izQ@x0`OKDH
z+j%p_v2(Gr*F^g*Pdh$u=Rc*)X?I@3$d7NSci4j?%S}(SZ2O_caATp9#Sf<b#iynm
zc<YyP`Pu0QPhD=uEV}nrgWKKZNMrr;{JJA@`d<Dik7vyJb^4Zt*Sp@6*QRq9+Xz1{
z4&2wmtj*tOJ6W=2q3Zq{7855J+^XLyd(&cC8;@3RRg2KP!=3p)wi5h*->l)j7c1h{
zXX{>6Zg-JcWbIKV@dK~i=HvwNTNfU1PN}VXk~Q~wh9hGm|8v7G(?3-&uSm|EeQJt!
zz2{md_LS6}!LvTD7ulffV!e-*b&k=5z(;GGbV6&@=NXtYzLQ`{_qe@ty3p*~)()zN
z+1R%o);RAJl~>%uFF&hRWb*dFRK*#$PWCu)EI$6LEB@Hh8%t{J)TY%ouiJb>?K7{{
ztm(Rz^J6nsCRH{2#V?TJY~8=ow|-8b{rYFy_g$&Cs)$WaD_X(u*5sahZTiOgNp{<t
z%NKn(f8mwcH2&4XGbZu7t}nO~rTIgB9;?~Z{eQOXmy4M!z$nGA`^MY{CiOgi`?X)x
zvpdX+oBKaq^w0OHw=+_s|6R9FYG|_fa;=etMJ?#o_KtJBQ*J+)#3=V|ktoA1_dJK?
zX$%3Ycm3a3I={aE``<mk)^U6`*WUIbm?1+m<7LIdN1y-xIU#uPiq1Ut357Eye|&2$
zKjpjYy1nA-l(&;=c&AoPPGwwBDSoJuJ7}`W^O}Hv;*VL1CLdy9(evA|di_l+gZSx|
zv!nmMy}x(Mel3PQe*Yy-CJBpkp1bhv#JoDYx3b!I>Ni;>{CiXLHlzOX6hGZwb1jo&
zKORL|_D<fJkht6Fp`NTVV{j#t<7LaE`@PT1X}oK{!+lD)-90D%RXf*BT$XA&{|490
z=B8^rf8J01^*Q;Se1Y_oIZ9hvbQo&b9~Qouyna#6_KboMkA>bBx*SesFP}X-ep+Rg
z+JsX~%M9&O_wT8^>sE0mIj+svzy6Edj*}_2dhZ(Q>x?&@@V#W?@tWP`!Xk&9p!TM<
zoR_NW4o1vczSiD7-DTd%pD*^W+RuOauDj)l)IE{k&MeNaJj1=<mdxLl-wT{C%WRCR
zGZ0^X{{5L#f0p^=J#Q5IJH0{prDHvd-wDZt%g+CW&u~^o?iX3e^+dgEyQ)#K+v*it
z>y32%OgtX(voF|k^k4Aro7rlqOuM*0_qHW)EZW&Qt90A*&xJ2HOYjHtb^HnFwr_p6
zrT%h&QufP9X~iw~gNx=l@~o-U5&U+q<lDLLM|%6@uFY?7nXu5|=ZgQ@{oy<7e!b*g
z?~<nf*dy%f2DMLfR5y42?qA=2XPxj%xsLjsR(1dClk585TDLifKR)sB$=n4@Qfu1O
z7#!KQG0Si)x+KgWaHMg0EgMrIO97+HCRx$<><uMR{9iKE_8JRjZaey&y`jdt|C8tn
z=a7yXv2*gm6Y9UbW4REyO)6Hu)6wSWuab8gA9gAVtvas5%P?_&#<b<zzO?*UD$-ES
zHZ`5s=>KQ-PxYqrFMazF{F=S|l;FpT3BvBPD$_JYKKa<*u>SO0A~M6RuJzFI&#K=P
zKkYu?@l4h?yCv@OthFc0m+#itrz<nr;>t13iNVk8ZJX806%0~V9C<GC*s1KtwZ4?c
z-Sv*<d+Vp&Kl=XJ)Q`!ZyYeMh^DO_^pW6FgMcJk~cHy6ZPgB-;)c+COb@aox^&RJ~
zY~35owENNWq~BaYGOPB@*RFK=qbs@YruX>*QO?EtoP*T+qWs@4U9D8TGM&3FJgR74
zM?Kqx(wm+F(Vkb`(;FU$Z8c``k2ukO)%Ivt#hPUsXC5tRKdG%Fs$5f3xU@N<mbE(a
z!M&4;HIX+W-fi4f_Tl&P4?6?uZ`-xpzdL*G&D<X>^>Vj2MR^PNof7@?>xNOW>6ZQX
zx_%#s$(X)QuKD88^P4SZMr2=zyJa+)`_}4(DH&@sG8<3M-0~oKXZ6G0pEp}?N5<{w
zEWWC3nDAuQmtwaMhT<O;;!e3VZQSjhSZ(=Ru;xP1xk)0=eubZyp{o4h>^qhCSuNW2
zlY9yi#gxB_wZ$7Z&W!!+c}#%Ge)Dpp-LpPjF!>PUeeB~#&9v9M-zt~vytGjIgsf*$
z8T-Ze7k<aAQ=2UJTybix+1@u^iD?pXH}`D~TJlvsXuE8Y#|in{)^5|c`7NL8FRrv|
zd*MI+x}T~#OWsVnd~*I_$NX=8ceXl4A5vF0;ftxi!L~Cf!Z&{Xp<CN#onAG)wS&3Y
z#<VNz&B}9vMc#>?vt@fjuO#g~x#WZ%f98i<oo|$`Jl>d{pqJVCr~gq>y|Y=tt477w
z-c_-azdd)~m3(E{s^s7s&y$x6=M*;Bl&cgAdcD1&UeuuVK>fhJ=Qrg0SNcT?${NOe
z-7fn6<kg7lMfHn=lCLW_-%ho=z<Yi5)AJp=>5I~y*e%)^|8RBugfG^~``vn`{*8|a
z^<<s)e_Lysp31pLy^F+_pK-s}5v*H(IY%z%O-<7OHS6Zw&i7aU=KRHR`;!fPe7_t|
z8L5=VUV0*^Ix&S~;d#YiiPQ@TCmbXmacm5BIA^iUVBV_2hl`$_spok-FGAw9jn;&w
zxR(Dml5^Iy7F=rV`tMP3v|{JFXu-ALRFpr(=yCnde}Bj2+#$)S=VWWN_o%L@|HU(x
zP0i-TgqGPsdu^sjNOW>UN-xcQ=;Wn)hd1@mNhRs@wH520d%tUyo#P<8l_8JyTdwf6
z4F~wI&wb%sU^HhlAM5h<&QCVhN8aw8S#11vd&-sf9F;lZ`T51$GL`!yH{PDMP-ot@
z+z-s_Py97=kNYp%fBp8^nFns(O1^P+yXd9uhd=F$J;X6*g5rlCyoaj49E?2RCg<W>
z?s##*<fqU2_Uw;cUFG+9!vwbcbFnW^2U$P#_qJW0&F{Cf=EI2yvk!6aw|iC|SZs5z
zUhm%BW#)@-Cnie2pZPD%G2-)yPyN@g-%KmM+2@<MJHlzEu&7jjm3HH+UmBX6E)3dI
zPJio@KP6dx2z>MCKeKrHQnB=I9XW}xJ<a#b6X)Mz)ZceIhAI2=n^WZtlkemm)%9c1
zl{<HB&%^BEuGQ^xXXbA2esH^1f~n$~lw=Nf#K-zudQ*+mpFa9N_poS@@Qv*o>Jx9f
ziW;WR$-A!^{px-Gu|H=YOv#w%ZmuMD?vvkyc)qNI%~L);^=w-1^Y&?7-_K{C+SvUj
z=KpWmTe-10D$OcaYRavutuKE+m7nnTQ1!=IT)XdRo-L~kRD5<Sb)JRj^>20@$DXQg
zI9>Vm`R`kQPPfzt#hI?PnbTQxUgl}c-@tjV8`L8--#e<G68#kzXMIsK&3&h>o+anj
zCxsEGqTft1J~631G3Z_b$5WF91|<@A9w!_wS<RNCqNuWKp=s3YEeR~K6Te+?y5A9}
zvo1s<h)wy2jjMw2LTkTkI<xyaByRYyc(luha!%#?ve3pWQU9}8y<<o5+zY`LTYB0q
z{d#NB*{Wr<u+3$~A~Bb>?hejr)(cH?nv)cmH>t^mEyynN5LVghvqI>N{0^;!5`og0
z_c-Rxek3vdL`S946rOc9EDKBC#;lxT=HK!!QTx_0&k{%7maw?AIp$8ObBugbwdZ}7
zQS2xXbK?JUgXfmV=Oqg*n(7O(FC=%YbxzT#Q2tU8u|%=WsdS3#zJvWyN`j{tu6}&r
zv|^J%mgPn_-|Ef6zw)f*^m@#;2fUbaE8YE7%csUblg??&uTGJw4OFZWobI!t>N`hk
zpW>N!y{}p>b$1`SIiqTslXXn=l^vekwN<_mg@Lkv)tx?`;kk74%B@BFwzOZUSJx0;
z_^2~erKze-GB=}T)e~3CMM9@)SW_a5&j@Yn@G+9r)i-fkXl~${o26mM?&$q;@&@6B
zR$8YvW=nnvWDv>NkPs4LFj;rH+_Y6*E0$?;g-*O69vA&$mV={bSDN(wH|eHI3-tra
zgQi|+Tc*9z=Y?l*NxjSN`Ra>>y8545)h`SXeL8nd#4EL5v2y>8%;B_MsS;DLNmy$3
z!V6oqqV%KwnJg6E)mX7%LUz}o*Aw?%njoAW6q0o;-JW-{Yw#6!;mjkuJlA^$=4?*-
zwz)v%OOcB33hO5`^)#)r;?7Jh3s)9d620*A^?z?(`g2_MUzE{$?z(-ixofB_=i9HF
z*a{!j&(w_MY@IIhH&!XdzE@l5RD|eX`DI;8wX6d|Le~9kw}1L`<^|cb#X)l~cu&6&
zQN}vmO~YidmRDi4PQB1>VXggIrxwJDc^9T#T#+&BkI=uI*)uP6M+PRBJ3akw+}&+2
z;-wcm&EE2Li<DBf(CY=ofqO-*)=X;9Zd|q4as5=$>-Ah7`rbMrR*#J*zh8ReUI$k~
z^7d@r4Yf(ySNvb>N_n+1z(U}2h@{4}t{?G^uP^)L9@@L~RH8$lMX>0J-`8UQX!Qoq
z^xE}dcKl*vt!2laEoD6uFMF{eN4NEuZ?)Ey*avsHdRmU_29$qkD4X{1?gCS%*u;f-
zhnO?oH(b|RSL^k<eq)%%X77d4s`KBL9}~N7cYVn`uF|+G-{f4~vI{5sZ@A^CQa-nH
z=Zez(iTmy-UuAV_-WvQk?8e8K7hKJ+UuI=wRN5?k)Z%4#FI3R%<C<cnldB}y<{gQ6
zYV~x|u4=oo$eTxmgMu4=L@T-ocg}sicfstg)2#n`uAAuHiSITNIrX;wXZpc}U4NFk
z8(4P5ZxT@z{Nddh?o^=apv@SOc~pxvq_aCj$zrMevL_B+LW{2msCEQ6H3%J5=e1ec
z;-{26nd8Kg!>X1MlN?n|H98X~H9T>#@SFW8MAFZvAgS*1Vspveo)czU-BC+0Go0b=
zaqp5+w&ux5B}wmyeU~1pbJ}{=Z<x$w$@yGO(3n$jvYGzM3zHhA9`W=%?Kx?RigUJC
znDFFTGcLPm_+IW=_P|)tN_gYUI<_rmW-U<NJ#X`sfHOkjr-UlRB(J3Qt@YLMK6qlm
z<0B1*UW=1EIYneWj{Qvuw0AkfFZl8Bk>5R;FZ`9&3OAH(ndmMa<ZJ(E;-)uB4E^;S
z0lZ1F83*PuM)*rzY3^97e8efLu{7_`dpV(bSDGe=EcBeKV5?D;9yaNmXOr=yZ#62W
z(kIWjt}>n|@Xq;y9nZI$8|`?%HhRc&Wtbe3kG$Z{^le3x&7%i>0xOi+o@FTZNj>QJ
z;3kmw<$@cVSy05{HYrCrp@oWub%)G2RyHWq3$h5N|CE{3Usxk^R9(WZ<-y@Ua$fIm
zzL}@?-5`%Yu$pc2alz^-*C#LjYOu1su!>`8x}@#g3(YETHk8b@jym3dRZyXn>9}*E
zjm*)*3iEgmUlx$-c<_*69^-!H7qYDHRo?J%>|#D3+i`nlqIKu3#s#uR-o%{f6SNlF
za5>@gft~d>C!RRE%;W7}exc)mgrbSliyr<>7af`2t=wR%W7N?(lZ88qL*$4Xi{S?c
zcBvE1tVRoZq>gp8OFZOsV2C)+wqm)%e+60gb|%|}_F)hD8~#pNAuIE|{m>7_A9kN}
z=1+Ldyu!&x<yL}Ioy3HT-J9MhJrEPz+HqVbs?yb0n`w<wy^?6G-^7j}m6k>4)Rn9R
z6NCj%x_GO4`6%&C?BEosV)8j*=5~TxLF;h4L`BDOzQiXN)CyLxN;_Q;;5RN2aAuPb
zdeGXHp{NqpF~NyJ!=%t@p{k%Em!MIHUE_%s`zL!Up8T)e&TIV5xbk3pL3aI}s=Y6N
zuTsxga(y*F2U}imJ#(@l-~Emkm74eTzY9N^p04g=TgQBKwK?OD#?Zu?1tuO&ExKFo
z*a=A_wu$l|WaZD2`F3`L$--TZocF|Z+j2hkZH!$Je(2JIpB#Tz?mhHFHOw*ol-xHV
zMmv#}4yP`?v*kMfVafU)pCp5%OV^vG>PVd|HdtW9!^qldaZuBL2}}Jf$yA%C)*b3?
zE$TNm&(^E+mA|`0b7yBlnt-pg2Adx9r^y%YFT1E4I(NYmt1Oq>N&)V9Jn0|2Rs_Co
zI-`+wBX`T=9gW<MCK^l=zxyncoM};};rmKpuX0HT!xqI)NmG>Hvi7~r%kuIMGC%nI
zZ(E$xf<L_Sa}_@daTlNMcs+M}{k<F8S!&gvShMd7z9W6$p6#o%3|09jsvY*2-(P#-
zZsS|O6YplcZd>{8P)TuD(Lc^Ni~;>WwlpnQF0m@SW|_$QbhUQu*_*F?!}MdmE?E`2
z%t~#Qu%GN31Jjn0a0l~)JJV-W2RtbFc4SMbhqdA@#szZ^-*M0=?<@@NsCL@n-cVit
zF?a4=zb9oUa-Ux@JCGB8#O(1__o(Vkx0ruiFZj>%`}m4_roW7Q4hI>GSQ*5d7!H*0
zcDrcqTT>s<;KpR&<M51m!wZI<kL*JK3}65HtMdQRk9s{jNdqCD%SU?lFwZl7+wkB+
zqexxyhs2IY8=kQ7Za<j8vQbB(&_F^)!NlUMV*P=G3=DS^57=DWec<c5&wGU*u9kf=
zTSEDu=7I&6L)J(hI+7pH99ExUoT&0xm1oLl7rQ%I1!951{Ks^1C+A6iPCBTV&gOV_
zSNd5E^?hdIpG~#8&C)NV7;%SB%a7PHVev_(IXuiEJ2xGg<hJ<X$!UQTg94672p4*J
zsLT?0_*wBweF=xXw9&UDA)jfbA5tE>FMj(;|LB))!{3*@_HCF|z0gVjim2I|Uk;Z$
zc5@Y-Yq%k#ko3=a_CF2F)gqoNm$3RQT-C<bbj@X9-{N-bXjX=s7K|5;G4==bEq3on
zG~i<wkTki<_pshiW`|3Hm38y{@E@CFwlH%g{8p$scIB1Y5083QY2zI~=5^}KYbp40
zXw%n1(Y-9D`&H&fs0y&u{+e!MxYUHfOgU$28_PY7l=;Wb6&HPUJRN;%kHFz2OA3uN
z@2wLlWM;d|EB2@H)BdAwUDC!jVqZ9vQYI@hdtBob%VR8KlfR*RmtpQsiya})x@vzO
zfBgAqRkzS9pHp_dtdsN})${6VzABo0y|^!SRt4knB(;~p7G)_l3mrHMf)e*}8*=UW
zxZvu}i0dhSmhah;-@Z5`p3lyIP4Ul`A1R%}$J(}TKRnS&lFip=v(sT#-h59+=XWdJ
zlf-5oj(@!7xkBc~t7nVWcQvm*Z>>3h)4{6_&8wLf+{jY2nP;ChuWaqowQ>>lI<FQU
z+|X5hAd#6(%WRIS7Z+#XLSC^cXSDA<Ul7*g*penvz}NQZRWw_Q->D5BPj+7AXFs)m
zT3@)&J=>R^pA}9{U^mgQ*uvB&K3T);?1OK|G=7AzZMZHlhn3BsX~UllN^BdZ&-yd_
z!rttAjXa4;0XLc+IBk-9$S%2Vp8JcAjbil%U)rM7-lZ7mU3%fwqf_)}`Xj@HsU6=0
zl6i!89$m3uBIB&ukFEcH?&l1&+rhd_{j^WQzDT!xRx>>=zdKCqJ2^M?%<9ZJbBLcy
z{BwuW{i6${6)&_Lh%x@*>bBwfyS*95cio!4;EGSY&W~$ntrey@yKjjI)5$KMuKvBl
zCncqOVm;@A)sFIeH=8UfJ*GTY+1<wP-#eTBJ0HxRh{tZ8nlUR?$A5!>?c>Zxsd>FW
zB+4r!;xhM4oSid!a>d;l3ofgu-2OBxlv`_0q*;XpM|0+CGe&bcwhsl#yi2!rwcMVj
z_ESZfef?=gDSfMnxf3^47S#RR<u>`_qL~M-cbq=F*66ZXJztjh5uv=9DZi?cmA;88
zvhcGtf6<ycCH&&1l7Oi)vf)J)#b4_LGCzb!99hFR>7&vdz2EawcYMEH&F?6-b0dRx
z#wYv5vo=a)_rx1Je)0aYX3_KuHo<!}bCl-PIq;wS9>w^hWcF4*Ezg|&3Kv&Kn=w?L
zTC%eCKEt+INyoI=4A<0GEf6=8Yc~1A68eKhmVFM(i#e{xrn9~YH9B;cpW85OW>@8W
zk)s02f*<}FWjt0@_sA1yKB<~&DwAB4l6q08Supa_iFTFl%9Ldp?#~w62Td1fJnhtK
zlDeST`?7%dv?Es8t{2#}g=-QDnM)(wJknIB`!c;(S~6YBUV%4QZ?%8@1J_4M%<Os^
z4hmw=^z}ESO=7dw%V|9DRAkZ3mNPFmFBhB?#~`6$Vc)>SIcffl|BClst9Qxk|6jB*
z|H!5Ao%%DL9!vQ)Rp^12^<_q-4Qn5EWH9c`p30{G`1?wMH+w~wdR14Py76^QM4<Cy
zMpYkgh4vFK($kr@82^<o>y$|KQm$WOY2`Fy?<s}TE}HW!SrvAh3s(xa*}eL(yhP#7
zNd-4ic|O-EUMhWSYI{Bw&RA5!r}x-Q@`iJj$XwU9E9`NlTR3<U>>?V!IvrSDRbs(0
z!LcM{^YJ?m&M*7*hcUpLnMH&F1Qz7vMcylJy=B3|0NN_SAjZJSkdj)QnVvVjFO*SK
z@a;R(bd9b0e-pUbOBET8RUV$cC6rOO{<LS#?REdzY{R&!{+9pPw%y0Ix@*oB`|TID
zOYCIW&ai?(L_Y7G>IbF370*7k{Zg~NqgT96{i$W*#?(D#k6+}LUTG`b5@zL<EIT(>
z#x~mBSHM8_Szqwi&x`h)HOX1FQqB2dR)w4O+~=v0Gfw`V@^k0Rt2z3bMh0=#c8;Em
zv7Gfyah1-3=8Af!-};4B%ye&xemJ*6@5G}OIgNRH!akpo4UJP-m2D{Mb;pk_+v=OQ
zGEZ<)6@xJUgHqLlhVu*B)j6()hBllHE_;;3yufM+!{<q_IZ7>RHf%W9#&Y;m8;i@2
zjZchY!)JVI%Q5G_?atdGW+ZIseuhEK_Kw%TrLWi5zbN}+W|dVfyS7kf>CwJ(3GV*a
z11Ep+zJ7M^?2orDoSn1Ifd3Mw=JTpISF646Hm_b^Y_H0`>&TWB2d}!{o6MteY|oY*
zEaCHCuJU^)ba_&&)$<ttbtS&v(+r;-jT0(2K3&8b>SVTAV1>}-c(c|lzIApz-M(@$
zwSwVH4*h=&zE{-S`uRWI`&!NJjFm`iYhL->=ijF>Zk!i;{nd5nHM$#@`%a&*_2=Y1
zyZBA7daHLxl?i6s&sdx(Zg5fMe?;}4m$%H9$^GnF<l49J;*RCJoa3~9msr2PTA8-G
zuc+)5i(9Sri>os7iKVaQca(=WT0NZ?^t^6Y#@&ruRbnnO&P&woG_K$EWJPb8tjpCk
zx7VC~;FCUY<F(SWt$dOu5z-$o_6f9aSo}<|>`YAZ@s01TrELlhB&<J@YRS53jn2L=
z(;G8Y^u9KqKGpZL#m)MMr_Iq7ns*Le7V_iD-&e!-nVaLi#n)f6RyHr+%9lL%aQTea
z>#lps_U`gr?t1QK1<%tRA*=18{?@m?x{}W_Z}*G)$-3pWvktm0U)^E#L{x0<yvMwM
zPCV5Q*gVJNqC);3k;vKms!LC?ADC4xw5fc*Zjaf{lTrL?)lX-KRPSkh)t}!n>-+wX
z*2~!}yRWdyz1nh$u|?|XQrG!0%-1%ub2RK*(dfc7Q%$IYWul7b!V8QFi&y%}2{tUP
zs8`BNeg43@+qE)p`H?v%7u-I2NOofWAx^Hl-JaKOt#i-w^{I3$F+0M)-lEB_>+ReF
zZA&7<Dqbm{KeNSdNnzM@c9Cus-s`VjGRs3Hy#M-yZG7tbeC@H-LT)e1E4^QNt55oO
zX;%Eh3h#`$$}1*6<%_b~Jmt1Q$<$8gOS>f{woPuVPb}MXZ~|xRm(`!j`wp-&atpk<
zpZ8?<W!LWyZtG8(wb?9Al=HMn%@KBw`3>c*@nVx#vb=aEBG1}z_OS_f=Q|5AC8v{#
z+ROIWIBn}m>iD3*!ek|Ifsx7N0`CWH!`w$#7kci}GU?pMrF$`Sp=VjBN#{DQd@+>|
zhnd_SM6%g$cb1Qi?T!A*4=YAE7(m5H*Mroz$tp|?DoWhb5B_Hon=TN=$W;$2Kzin~
z&X94Rwd}fF^2raLX*Xog>lCx5gk9A&^Su0eT2$87oS$W7zr|{H7n^gNTW{Z9t&s)+
z+fGb6ns9Pb&PlNt7qQfo|AIHqC$Bcz_x<z<dnIF~|0mv^)2iQD`1t1X*-LK!d$QdA
z=kfaA3;tZL|9kR&%`eBB@qf?O->?5=EkEV&W&8iX&)0n_umAs<zhcr!ey_S;m-(9>
z?XUT;+5i93%k%%fUS9vZdjF3v`hO1Tn|Rs8f4uDf|Ka8OKbBc>9yY;`Omn-$r>roa
zwLP=@ewE?JoX+s8%fv23@4HtODt+3{(<v*tQuXq&E1x^xPhBzhzMslG?UV0*t4oE~
zZ(n)!cKw>~d6#!BlG(rRo8Hy-%DBY63qR&-$4JRM6WuE+^DH&@O1t2#8P+}P`GQxK
z)p^S<Gui!KK68%s8TnVWw@h-|q+)#w_QVGV&9u8$d}_J*miOhNdfa{AC*9-fyY3Mh
z85R`$xzpuwxNz^L*`=zt{&g=by8TLOPq5DPtbg_13pYJ`FLpY0R=s`5wLg24cE)_>
zcS=2b|GMGpWyP|^>t5bF-6?)rt7LY`OLOj}{ijZSu)V6Ax~ez-m08N{AMCr%t>V{?
z-m-4Rs+^C4QJG6l%QwD^Dz^P5ALq4a!S?2TUa!t^Y^w_m|L>XFed<=4?x~L@3zzVJ
z>*-n-^Zmu<RdV%v7ry=}yEVJ=!eY+7qF-Kg-&!&I<8Q4!MOzO`Yqfn^W8Zwl`{`8Q
z*<sg0w{i6SP>zk%Td`%GQb4`y+lwZmb6T!9pIxv&K6p=NRK97Y?#Ha9R*#=fUF+?&
zTI*HG7EwXpQU<$_vukn|9@*6;_T#d-P_K6Mm9Q%&{O_-AnYXHZO;CONDjuiIW!qLY
zueA@JxWXeeS0hk2J2I$mQ@9Z4*O~86JX>`*Dl9bg!>&o6`Y$+5&(hjt+YukO_tGZc
zP|;Ic{}lTEJg)o2GjpZZ+RWJ1=Bq_BVi#*)DzpvNc**wP?eUS*R>yX|>etH3(mJgj
zu<Fq%xvfE^509&^O!(b?u*~Ylzxu|anwW*(|LqMI?z~fx|8sKvk(JBm`Pxi9qWvnq
z?bvzMd3uQze)R==7TB}IU6B{!{QGd>M7bw%+JE-x{i^V+4|&x7%Xu!Bt%>NLSX;KM
zrrq&jRhg=G7o0a%&P@0rs=MXr?_Wuw$L?R79`|jP|D)WijXRt8zFhBewN<E#eH$58
z-?;pXyJ}rvc)(4j3rG1sZqW;??I{0}8v8Zza!~6(kLzo<UzI%g|5W@hp87fWpZu7-
zMpZ)LNAOj{pH8uweRfmVdcU1}<iB2y@t^sJ%#Q!p&EfvDfBL7}LT~43iP&tZ_v&A{
zia&Je`&VlhDAz4u&hP&I<+%Ef(5ru+9u&|E-}_0rzN%-+l<C)10w>*1(Kwr5H07x|
z>#5JPg+zb)^80?CZ8wWmIpFKr{*t$^F0Bt~*I9o=|7B9DP`y}<k;>NflT@2$H{43d
zn0xoZinDnfTTbSA)J(bi;X~mDb9Qb!Ry!U$)m2UY{AZi}<#n6Ri>zusZxY2eUvf*8
z<wvJFz5|?h1E1DAey{n@dUW;V7au}49J~;?G5w00(B7hGp1sA<GPOm~Otr<)LVt^*
z<$k4WKYTIeuE2{qcPG4<boavr)7=jjN0%wLzPs6LQk7zE^g{AVMDRaOHk+l7|L<VR
zEluBcH2T%zOs@Tl?JxbXW&OJ0FLP;__Q&?~>hr?>*1XYFk!pRWQd7T7KlSRX>$6ni
z%+zG(gs|5v+vFO{8FxN!%A;Pl&gZLEowf^cu3WIpQT^|;;?Cu(3S+J>j{30a--nNT
ztaj{sbXC`C#xy_MrR!SX$Ta(h<fog5PIdosBEL0#YP_%2kN(ahn_mSt9^Q56nrVew
z?47NmRd!yF&U4@9u@76UwteoA<@HCI4%h36S^QfUA@JjOlH()wqfPDqgLHoUSbx-s
z%U)OP(vS8e#pZe)m%|^$Sr1J9u{^2q;rwpazW-r59DmkCO!zT9>EPk>-K_He_eOX;
zjPGtW`RBfI!omHbiv)k1W)krHQ@!!P2YYV4&RvJLwf_&f@$F-H>syh3yEe={c3)(h
zas7|m(>G6L`+RA^@-Ef3gZ_IbRT##5CHd?)VPF>XL_%DTtA}4)Rjs94POYumPOY_D
z(}z#|@(dxpGy_u+<>M0sLez7jcAPM<jd?OdP><^jkGN`DYqwlld$--QmTt{6yyBN<
zi0h>p*o!Paa6uqsd7<Nu6B`U-o<xY~ap~}htJY6z>6V+;)@?VfwOdn%U;J`}pkCSr
zBay{NHV91dT*D<U8P?t{lYPjwaqGiHM|KrlJp8NR;_<cu!`9x1Nm8>99py1=Px@kD
z!?IUpTT3@j^kLV&+=q(}d@Be{a^LYHF@492<nSFc4!tWd?6rNE#8&NW_)9{tsZQwk
zA=kFQ50#GBHx>jwNLaB<#I4OMvxjS{k;K~1jB~HA65@L~+dbD;^<VR^KM_x(ZGJov
zIJmrl$4+(6gUj4uHu9@ey!AJP6t$OpnCa$r_VO#aFUz!E@x0uArR4H2b^HHYzTN--
z^Rj|7|1`(*FWzk36%sqks#QO2$^GE%t=B@Qriz|j_}=HIY;;Ziui~EisJoJ0AC8}A
zz4>7Cujcg0PL0pMwx4;{CKnf?-E+rkqo28N`i5OG*R^JFHm4@f-DU2X`+Z`7X6%NB
zHQevw_pjG=47|Jj(yj$zT#mM;yY9VNq^`03nzb1J=k#5>yi9^}d9Jb6FFL(8V7dLR
zN2;Q=n*TyXy&?<3b)HtZ*4K0FS!JIx!E{>HK`H(1e|SJEZC`Kd`e(l~qv`63zI&I;
z<b6W~4(>9M{`)?|+pcPF+a>L*3+6?|=4<>C+ME2*U~Nh1)C%AEin6sU^|l|1f62e~
zSm4F!3w=aSN!+_2FO&a@Uob^7RVe>8zy59}Uf26E&$r%u@juE{cuj8U7tP%I?;qFs
zE$_bgf8Dkxi~lokjp5QQYku`FZmp3M_q|<Nf0n$sRLH*We^ANG)b&MwuP^e}uVKFK
z-@USCrq`=|@_I%!0(wP%6k<evB!1+mdbp<V1OHLw7heMkTIzLnefbo*^Pi`F#O5xm
z6r+%&goQ%v{8L$4-p%+k^N+{(!WTZ<F4opZHh%wd@zd)M3{zgu_>}!|m;BT#Q;ci;
z&6iZvt@^TW>dmG7UcZ|}d%RB9Ywix>n5HxL%aXu|%_U*l6aFk(>YX@yb%^QfQ+m<6
zY`^ZZDk+>QFO&T=_}7htLG~KqBGZ&Tr(PH1@_D+gl_~sZ?m~r-S+Y^*zwoV3|Mh~!
zq+-Rzko@`=zh=MOw)N2c7ya9Qq~4YMsm|`0uJW<OQM>Gd@xfKkowo*8mZe6V`?&g-
z^MmVO+IhrkpUTxa&uzOC+_u0{_sb`>>XrZ3TE!l8X0KiO`_{Y1=An<9kMrO3{`-B4
zYW>P|DbZ_TwWaf)iG}~=x*ek{{^_=p>aU-<D}~<w*|uD0{h#`6>o4i;)w%Gz=tS_Z
zfS=vZllSHXaO=(WQ`vOhhRJq2!*AW*tA`RIHtY$|-tf@Twu;kCY5UPDzN)*AUgcHY
zt$O9G%5K%rw&{02g%pX0EV{fa!CAfJV4L65Cf>P6Sf#CMxy?!*iRHYQqI=`Q$ys@h
zb&lUQUl-=EU&wjr|9s1hdi51-3&Zq&Ri4`af_33Om0N7ts&j9!N?R>Gt$!y?L@dvk
zn|pg?YwPVdhYscXB|bDWkLC=28MQ$%WRX~o$J8mT((}BIH}S@+CLMJ1TAFasElM^1
zpqty$*j=ZiA71|RD>u^N%HHBihg*-fU)4Nim%?@Hhr8oOgVTQ(&Qfe@_jP<(KRF@t
z`~FR*cYXBVpQZP@?w{YI-V={k+!6SZpRXF%Z8L@I)$jWrd#2PIh;sbDX0c1@&-?eP
z_f~!1p%wnt{x!3kd=%@~Kk=dG+eJlnwX;4ge;MC>`h;lJ&+y*=3ulShT;lrn!+zD>
zs|S<UF8J}j>ZOFur9=ONXZoN1RAc*geo&}*{k(M6d-9piv-d1)mXqHZ`h3>%D_q+@
zOwl%2f9db~@VVLZt4t@Ju=~t);MJX5pY2z_T=e0O_Vs|;GlzmV9QZ4Lm1&2VZ1a;<
zPT`Y6PUb8;5TZ5P`%u%;S8V~ED_yolJksjdo#tZL%R6m#w3Dj;(zQn?t>1JlNptC>
zYe|}Gi>@VU)-Mja=9Cblx`uU$nB#6|)n%8iCUuH$zMAlGmww13Eo<FrHdE(_dDj`5
z^NOu+cUrn7>Vdeoq>Lt;TEUi%mb$b+9YYy?DOEoaZaF(`v6?wLVnG(6I!g+7MVxrx
zv+rG2ZLpQJmSK(Dsm)hAt;O|>=J1PK?&A<=)obY%5<l$9UqAhjtNirCM@81PbZ5r!
ziW~0`>zequiepuAg>p>F4oSVD8c98_J6z(bdF|bD`?$nw?{JC-?~vA8R;V9y=E3WL
z9;W*(3#{gTKkVAZ{!r=o_J@lOls{Z_<Z!{o!;1?p9)Db5*sA_8N$Tx9K2hV0|0RZQ
zoqUQb#5Tzuc5U-}sN`P%ydZFa_YRGVrZHbG=*E1xXdC0R(0#`YxAO&t&2bAQY`^e1
zZk_N}SkLGSuejx})^4W1hg~}vA1-Qde7LCJ@nI5I^Wmc=Z7toBJiJF=Bu8+pYJKye
zU}B=njuVDPF(MM8t2A3LE)0@Nc3R4F%x-Oa!RI$lWibKX51(E1JNvY^sNTMYqs5;8
z)IolQrceFzxv#CRU!G@q@VpY!NBt=)U#Zu}etYR(FQpR`e?mWIez>&n>1?eJQ|dTY
z)n8f^ICY-<ymNUS2~(q&M*p|n8o1W>yj1s{=Tl;SPo7`b74M-k@8=J7``;pa&)a?t
zTjZJP>-pJg?%tKs-Tzj2ty1)Q>C=0)e!KActJf!I{g}|OveAWUU7HAJi&Tutgsd5X
zj)kVl0lNZ%*_V2owg>E5t|sjD{puR0mzt(~1FE8YO{UBXH0E2l-E$SA>q{SA>qW}9
z3~Y5LG^~8t%o20fNJSvaOsO$svzX|VD6xqLxlEe_c7+J@E`9y8<5I0@ZeW$y*}N(9
z!s;h0EKR=>BYDl+e%(d+SEuT7wrH<^-5&k0PveDWX}&sR@b^lgg3|NqjRD{5g*>Km
z2ROcT&zZ7r{?@=<Av1ZGR%;z!SQ4LVJ!M`{C;!srp<FI6C8zQT?%K8K`~<6DPQHtR
zzfSFQVqUh1U#MVe`viv-Q(9PpRMkTQVxC4$(Mvd2Kd~}))tT-~wo{t|cdain^zvW1
zr03FQE$hW!db}=A$Pjt4bp95R(yW_5f;>J<HTb<$UgaKt%ce=!QnO4$W2LVI&MA6!
z#6sfPzAuN5=<ky8eABhr;7!lwf;SzTIZCQ5k11XJm|EU^<z;W?rUN%6+K<eXXm7u4
z$S40hNk;QcZ{d>qjq1lH9?a`rY++^{QMJax>vr@mTa&o`+ve=KaAL1``okU4>4H0i
z(<lDWT6R*#KS_qEKUqeLv$L?GLgMj<kFh<<t@+6^nKm7TDIa`~1V-Pzw8LZ44%x>6
zKjW6%er-8vhe&$j49WC|GX&EGXUL^boFR5uVe7Y^LXJB<g)5{zdg>1!{wmSlpKaJD
zvhJA0r5!?#1GaN@FSg+J=`A$y*;rDYAtoW3o+u%g9(a0#MfZUh7Trg_&0s6^=_=&#
z=_&N^=_ss_kbnHaQ195pLpLPaqxz3o7)gA*^j-M9m`ql0W{i?&N$t6#lDllbJ3g|t
z_FuIB_5p(fxep{>m~rqFNvqWFvXDM_@$Sj1kB**Ht+LwL9_RON@%G8fFC0I4`Niue
zl3$c73#XKq6}9=Lu(!MYC_it-%X5DRugv$mY>n)B%}2JM-z9bM;>DXDjeLH37w?_4
z-BMg6??30`(hoOIUaolZMAD|lZ2q(9p|vyCcqip5zUOCYGhL>z;g*O>SS#zYR}uA@
zTs+!7jtM(8J#?y97Cu_KCSY~gl~t3L3brPCx(G(d1geNhcJZYpx+F#j1hPDH7wN2Q
zWtcr}OG4Jq%io_)c%Yg1*?a$t3Ty2Uv$bh2KfLgK^o+ag)Q6|s`=?YKcbmN9{UILy
zm>G|w#l?P{6O=rz%PzX(?E<avvH<>anJdR*>)CxD&TC%waclFco~dv8Zk_6q4>kK8
zD8wH-<Dp=yH+QvtSz?=dNXpKG(_MCpzYWoy61Qa4xr-{_Cb*wVY}>1MX5;x*)^FC2
zp1pX`5xrn>vsXz`0RLRsEAHa#z6)13FS~fw@#>*(t>1<7CAX}qEPZ{e>zQu0{lR(9
z?|biwlsCItUmv^S`_FRW{Fa%s&Rv<hygvBh1@5mCtrobo$DgooIl1uDDWSjBT<#uo
zAHLxJx!kX9-jPTtgBm~PzG4#r3xDQL{fq|>nIv_O8wQD6_`MQR_qcmFeaXAWDl3;4
zbX~b@;rmK*&dT-EUu`a!ctuk0>U)m0>Khjba!R;ea9Hb=p<qzo#U`{k^FgAIvQ|{z
z=@f02brW_S2@U$bp<~Vc<4!^TiFPZO8&1DsJmdO{xkp|vyB9Qf-ZamitpaU@ESkLE
zB=Z${gkQ<--{taO?CiehnsXEXf4<1a|3xM3LPMe&uSMELhXgZTm1h?Y9F8%H>SJD_
zS1{p<s@lX|?I)MKOXga++@OBymCG~SUP=0_2RYK9|BB?Z>tIJVvGHhU9x!}kDa66E
zyzvN!RE5M(8ByKiOq)cRxIJT4S1);&Xt?rsgwrcc9o4TU(<WB2ou1#{H!16{wMW*U
zo~k}Ip2eI&Qg4ipIY}I|`<}L_a7If0ipPuoMqPBeYpflW;JrvLpxmdP)lB`KhXPO2
z?Ds!jG+yo9zRS1!mWo;g$KfN-mCB#mcs>gI5;xCXE55%hg0IkLlZ<D=rdAK1jRO3^
zx<`(uPvf|fd*12Nk5f)YJO0)@IkMv2`jrRIK3C2R@O9n1scX`Mc%NFsB16_9&1J5i
zL)NlK226PEQ*T)0w|R$%@vFDjch!eY_1YvqBQk%#gZ@#Sto>bS2kS)78qB`6eo>_6
zvpo_!+w9h9cqZvBG&-Xk()Y7PYlFfqefjVybukOC&0TVCL&p_MvB|p*2n9`#@OmXT
zZQ`!}r%UD?>JnED?>rT_dGQOkFTYL-w!OZ%aFV*`ob8Hj#nXOStc&b85XwDqN0OqP
zUVU^&zqi<=L$2JOkDFRkKDd^Mtla6<yXgFU{`dul_@_zje3}s|^t<=9d6OjH-Wl}?
z&JR`mEG-Xbsz0}Q{DdieZp@=%_3s-FMnt+<98U~?&DytP!;wJoZv|&sYh}tGiumm)
zkea`<fK6R?hp&*d?A=RkiI=&*#a-?9I&NioSVF!2xy0KiS$7{k5mJtQSe#Rq*r=<g
zv+-)HZBB8b{OwqaR$09{$Na?4C5X2c_w!zfkb8KD=kUrn-Gr@Cg+*J_3XA$W{qzh@
zo>Wz{-r26X?%B$oTdk~ZyEYsV5dUUyqqUZ&=%EPPt^z6M7>njYzBToGULNAPEVFmj
z@lU+!=@t*3)XT8XjhXw^O>f<~DrNT{GM8i53eR|WNXR($#$g@tZ-%#9gJ(QGlo?a}
zkY}AV|4$P>+b7o9Hczw<B+M;TJN2bM$m^80R`isFDrM<l$-KoU7>n2J^3CP+bKl$|
zuqOG%-=5O@L36gek6!id?N=X@Wq+JcYWb`d&!4yTpi9$KHh-P^)f;RQlXEwmiP)wY
z78ez{+IXSxv8Wj4zfXM6&h~!wR*<3XXlKEuP!`WKn*`csiXAdM?8LGxy5oomcVM@%
zRYsqyNx<tmDG!|mjqN{8J{b11X`Wf?C{>&>;b4x?ippGZUWu|vwTqr_Diu4l;hK}h
zwTO-bS=<vNQWfRGE;$yzsbA1|piIgmXK`cuT9XeOJJ~dImN-gDXG}Qw>(>Gk32p6+
z0|p!F=Cdet%84B^I8k92r6R+u>ypsW>hWc}0RP{f<5yV^88+ngI@C*fd|BMszR%>t
z#dbE$FUuUI_GV0Q^cPyOv8aQMC;Y^|>&Z{ov>NDa73iCp!vA`s(P<}@XA$)sr=FkK
zyy)1e)Lo`b(=!wbC$h=uT{v)D+{ELd4euoj_hueD|CafNEDAqPHc07CkKdxu_El`j
zxo1|=L7ycWy*3|@N_OU1t?MD1J(bsRjmf;&%q8<@tyY#@U#`r{aM<wiLl;4ni|<)y
z8GP9;&}TjE7R$S+jw80*A3vDNnj8<5s$X%{o>ztM@`1yOQa@g#{@JjO;fjnyv&pXu
z3eEde0@6Q8e|qrB=AQa*m-CEoPwwOYcj}mvg;i9CgF5%b7deV@yDqGKSmChU?LoAZ
zM~yo(KY!+f$AY{;6+X=-^DZbfmwmk*DAhA{ok_xru>Jl_6OPC|-dH`+;LSFHzF0A(
z4VRtjRmvhd+TR}Qcj0PTY<*X%QO;z-3r}W#_Ls>&EF79ezFk)6tu;B3pwD?F;-(vO
z+uD@*FE(yE=5*yvmZHpR9hZcqtsZMO2=JeNxxjMU1&0J<UKO*82M)VQ{kY-KEOPC#
zLT{<biG;Nuo!2w4*k27^oH~<t<(eZ+S8m26IcKrDFQ~utX5+gX0*AxJmh_e0Zj;Ef
z6_1<}Hf8Eoos}!s{8j1HU)%F=+0?>28;lxXhp82wJ!KQK)kr>kjZW+7W9R)%x#xw(
zbj5AhIe&iG!>*5;=O|@1+kW+2`R`(u=(i{j={Lr8_m+BmFnRK*cw#&MRNa4T7OgSc
z|7^Zg?XD?D*A`clnbceA{yFo_X-jD9{F`-xdzrfIH~&4;CgFEO;CSKbg%;<cI}TWK
zPyBJm$!5-00r_+tmDbB*la6U}pL~$=#p>igi|;pzAKtILT=eny&d?pRHe5E_A$E4}
zX8X5m50y%7IoRv+WaHwG#SgUZlzcM#VYG|m)ZYa=xVGyo;muS3RKIJY`osG9is3J>
z&#wu6zai0E`pvrV%5Trlgx*M2%-t}3)#eY%FSOnie=@t_e>LW!kL>4$)-4AQX6%3N
ze&yl|mZ00a!o*7-^ShS^t?}XCrfia6A;qh}KIw&!>`u!=7p^*4>~a;K6M3kx$8O(&
zLMe|q3mV(cXFPbU!W(4a+HB%?S)tkZVm<3}Cg0UwvqHBylt2D{YLmx))#dCfjlZar
z_QfsHyD<BT>Mwz+(#y+Uc$ZXet^0p^Vn*2nR-X3E1BD%IOwO4KhEH~$2+n4`qH|TL
zBgdd{=9MYo+x?O{S`Bz|L-s#ro^*uy(HxeJhtblHe)R3wXZlDYjngS%N2|x3jaQyM
zT-W-een+~ZW=*bQW=*o9<Q@Mj??3iu7uo)saO3S`sk@K+by^-8UMy$yOxnO}$g@$g
zZ{alOLYs{Ojb$$V*-97hIoXtK5RjMERcZATo8*?xeKH|Hsc7@x9gAF4w;$G+nP{O}
z+_NC1abnAwpa+3d&KS&^WO*!L(}5c=o{0VV*WXnm!&@(L>~cdg6EBO}C5I#-UK^if
zjs2P?9t&+bL-b1*Fgm+S6_hM$bV!%-C|TIpzRu*s#a=eelK!~At@n3J$@qTZ+F!m^
zW7cJ{`uADOcn!~NQ0#j-&ACu#i$Ei*n3CarCz~^y1>}o$Raz~@CLPP+KA8|^B7E+j
z*R?IrKh@t4y}75}H~R1ORCTWUk5fY>w(ZH$l8ILCI<T!nY2)9ge{wBWZ&{feeA(&h
z{m+tK=`S2#$=vmfT_C;EyQFrR=cA`h=Sn8`?G>3Q!M8_dqJ^Gmmj~15#d@9kT#+t*
zr?#*DY^;*-!iBky)kI*1H*=?D+U+m$A7xE$i~aFkD7p2Y^j_n%+x1`Kzpd@rrQ$a`
zH@S7&Su^HS%xyDG9?WoLZhUDnVMf-r-qnZY3~nF0%6NTdU~%b+t%05iz9Ew=GPm`L
z-!ePOqroqscDW&0i1&($KXWH%+U*vrH({G|on#AUN^Y&YekSzu9?sGUs)5sw2yM<?
zbYa`aG>6Iq27PQY$(afUZEQmIi_dO*sULhZWt;CR=6B|cr@ac8eP)|y>4e(A=|{vi
z=We>NEfA!o;BB=^z+6w}#?vMfc6_l_mh+b_n|ssDU$&rKa_c|g8*kHY+mueY8|azT
zdedzEg>BtnO^s|a@fRE(*zl^Ho0<FhYNG$F+|O4Tr_Ty}eO-2KpI27E?0VY=t6yE8
zk^7lD*E*SP3Omp8j01&}*qG*Dba=Gm=C&zTZ)}W@OtE@!XT_0=N8dC~u{yD3h4<a0
zIHSJVT3g=EkPMJBkXg!jTu_QbW?ADA#m%{yr8hpOUCAsxa2iCeSQt3{z}*$zNi%M4
zD_NK;d-mfrb{^S`0~hD9G5Md__O!A7Nb=@f$<iB()2>L?E}RjVer2KD%<Cy`@jn8m
zue!HK&A4@&tq~|v+D!shr%G|$S>AZW{62TO$FFO(_fKs3GWU0#a_~b5k&hfP{S_*H
z5;Ma-uKWA-yT;syax07PsFpTbFVXurwQ$>xb6d=$(z{>#yzVQM+i`A7o{MT@cA)2?
z`niRwpOTi9-hT0jDPe~zbKh(efgO&_ov+hwe|dQ;VVm##_0efpeznvl$8^Tb-*`K4
z`jP9$rk?12{>IyQ!6VOV2j;%~Ooa>QB<ffftP|gyYjycn(l+1psP?lfN|%`$yb`jI
zD)qc$dOPgQ7QfjO#f;Z(HhJ)44jWT=hJxYQ6K*@|&uvkg9r)Vkb+K{Q+{~}tyIAsO
zE)PnNx<4ydJ1uJSnR9w+QJ*so7|v&7;?7jqcp_)^xh=P5@?M-7R=-)%>T;I+R2Ds#
z@+mj+`?e=7yLyyo#f;3a3mM(xr8vGUZgi;!IjAb5Z2!3}=VpOyalE2hVD)N}Mb5Uh
zGctJ%n6>KDqL?!mq@_r4@GNUQA^=jqE8T6a@!I6HD^{0x372xp^y~`RlnhpH%B+<Z
zbvWaI;T$$5<qQSGOCZ;@%?O`;Zp%KC#n)zDd$7X$?#)GdQ<s2UzxNF1f*F}#mod7_
zOL2Tz+UR0mJnzcPFny3uYg0j%R0r03K6t%C<zws3ZAr_n-Um6KcR}N<8JP+O9c)6)
zXScodS-ttD+1$*tW{a=Q3|qd!JHhXYYT?D4Xv51=No*l!wzOSpNS5GbX}j!@)G{&i
z<?Y+7%H5aBx5v7PEzQrfR_;2`*P&#1`oxy5@@*5{+Cuhh>{Tl5ySQYX*v%z+hSx0W
zqn*;DR`=|5eBqPbRVdeTZp$?HSf<B|^*V!(-4r_NlRf9+QPu+n^VnpBGZYLavI+Um
zGkbJ#=Cve{Cvpuyo=!hDRik9tR?SzFZv5F)cqeJu*Bu|U@|X>57BL=omg1;!XP&Az
zBlq(3M}o##wYu}nXRp>jRvhS=u=1u^t!2HO(fR0)RW%80A$t_wUv^l!)+9h!oLA-D
z%-qW}ucd<`;Z`;%sDuNjA9%OIJ83p3q)M-YLTan=`VC3TuFhj)lFd-Kcu^+b>hi5D
zkXl=?HnCF9H(k3_uFVFeq`7Arx@Lx@OBIwXY;*{h@+dLBz07#6dRjdwmcg3(o=shC
zymofr^dl0*dqj=bzDsjB>a&`i*P`l@LxL!;O4w|Wix`Zv=4Q$of&4vjg-U^qmS>3}
zC~;q#!6<6HcB{#Q2zTbjuO<_2B!F^3{H*7`=~2(mtSDXfHS~(=2iGgA7mtJD?p6jU
z?#wPW)F&JBvTVEHkhB^U%U?~-&px+BZ)V_YpViJ+R0|AWO_E3eCB0l@P|~}dd0=BR
z8<TaW!p75}q_@xbe8;&h=Vk=H_Q_5N5weh+s$ypha!uK6kZWvB9^CL|Zmcy4@OD$a
zd}(`w+_CeFUu7R`o9Oyd`oP%^r2^$e^&U0JDN#pn{%@G5D60OydC57$&MT~HlXo2v
zd7R@pH#64ID)yDn>h*;;J?CbA?et>WyLi$OjmJ4Bla`rY1(g{y+?e}bnh4BrWbT}q
zcDrPm=^c>Esz7d9T^%CyLTsvv8#o3wgJR%Xj#R;!g^dSdq&&`mW1#*VNYmDP5*0z|
zQPPVh9XPf^<>FOP5Y5U51(Dc=hGa!v7O{&CNgHpP*`BGInS1$h&SuH2av84|O*(LE
zh04X-Injk{bPbuc__i%Ic@W{o-1yXFLPR1c{QrV7Q1(*Et>?C=xy3S_UZl7647e-`
zn*|CW%kz`!8<O>SS)N^VNcy+g&Bp4oR0=2oWrGsXE}^L`dhV*7^U`j2&Ai5BydY`W
z)(LDf-5ClSX0Qo)pV{`(Cwm4c)@t)WdNv1o#>!ljRdoaBeebgkT{Ev4Nv%*d;AIKB
z;E=Qwq_Wq*`O3^|J60?a+aKuZdo0k?xBf8LbS<#yv)E*^GZwU2@~T|3mEL#x)x&FN
zcO<{QSaxTJ^y`CVI*T}DdNqXZ_F9(}E?HYY?b6k*c~>mWChdy4J$YBu18Ln$&1>dg
zRI)wBCw1|aVwu7sjyL@pLe4#6qQ|cbC{KFyLCWiu%wdn%1dEm4JqA%r+ON4ScCD{U
zd277rDsy(ei{K66K$S8nU9G-V6WvsfI+}J}v2>ff>wrYibcyUO9{ej<-MYV2Sf#kE
zZohn}!~L$3a@Q_%kn*L4ZYoC?n#{X$^@3_?W9Je*!;={k<2LY^CS86#^{`v_m!hX0
zE`g`_o`@4#&b5EvywFd&vvOR5VpjPmme%*JT%u>tdqs7bwC<(TQ#QKgR88GvwWuJ*
zAZp2VjnztWo!xHTUD{J5-BgaUp7IHLKEw4D(`L`uM_)Goyw7mG>chF&cYd#4HOV5m
zohN<%+5fBowJXF}_)ZmEb+lY(x#B=6`_vr|7s%z^l0Ncrr!3c;&CZ>l%U(R>w*FFh
zkS};gMtyr`%%;OUdeMoqRrYOhZi~M5?{a;}?z4`P+pIN?^RbKGc)37m_Z!9HJKLNa
z*S`sP)F)fDBfH)1-OWU<_}ht6(Qgcz*M3cW#~YGm@@|`Ro9)-%>lr3nyjs9>TxQDS
z9nD;G3IaOM%Un6^S>1eP?JYjmZ#Nensk8o4aDcB?X3JrbdcK>9QtfXInw7&=xINai
zPPy^7XYKzl88(j=@VLu{q^{=ED!AjQ>L+qfFRZ`l%|_?Oy=593&-2;7+3qaQ{>GrS
zxopNUMe8#UJ{bSBKf;sX+wghvnq76`d!0V~$qcXgsQuI4WW}nyu*hZmeRr0|uB?mM
z_BnHw!J7>NeQ(8-Hk_?@QrTuV_y6r)1J1hp_1(ONIolNb&d=MZu=U%9x2hW_y8U{1
z%X630-wAK0@D}E52-PUKwQc$lNvW656JEdMYQDd0yJFu~GnImCWjdXvU*djEyqYDQ
z6p`wzRk*N!seJ0%EpJsfHn~;p+<m7_V%klC<3Z9wKkl@toV(<)KJfevfy4Eg(vK`s
zote~gJ?^f|SRy`6J*VU04&K5!i~YOeisd%HRV|$2R%Kdor0t5*sWuC~n-dN=N>8$I
zSp4AoikD?Cx84yqJF@Y9*un!zx8q**IlNzbJ+?a6W2uwbk&P{O)8Zz*-S&3rCjX+k
ztDCP^a3@NnE6OD6x+L_pdi1C@bJxwTsGq`X*t12^H0)#_N8Ra)mR$YLhbEV74bQYm
zwA~VLmzNfbaC2W#oow0r#pd4hbphue|IO)Gyq}koXM<vAqsgVuKNO^sByyZ(oO3)9
zI(b)C{*ipO>f+puGxp3YiPzaA(AO%awBdl0%CpHX-w)exC(g)LlquG6N!ZrvaYm_g
z-_-iETLju9#SR(Xbz)f-*>NOf(u>_UM7R@Y<S5Ea)^SN#)av1*(7CVi?nZ&O^*S!P
zt8`r+Ol$S1@f50kzbjLb$6Tl7@vc^mn9Ty6t5q&;U#l&4sNklPg<fRG0axycI~;^+
zkN-O4Byld9<G5E*&g<J(El=ACna@8pdD>ZfF1yvI>$UAKEv^fCXjw4B!>7*j|FUIG
z>-ucJKFzRQtG!C=R92`~RL3b5nbo>33B9czYjoF`Uj6@TpL|rR>c-t4&Q^cbJiPAE
zbu|H#PIbLAA8xc-%I(~6z}Y=T##%Ncp}pBFr#yiFw#=2|VeGz%-ObB3-gGq1n7&}w
z;t#RY?oZqs`a`e&biQWHAGI^=dS?pecj;`u<o8ILJ@v<|HCFDEcRW1Ab3Jy(<5}F?
zF{LiY3eP*TzO!0!M3(*QhpElKcD!And8g=t$-a^cJbC+O)X$l;eBtyb_Ii7=PBk3N
zekdmzXEZUnRs8hhpohKNT|=bySj&lX$9qk2KKOdW<Q)#LvKoUboY&PaR5zT)#*>_J
zz;G5D)8Y&T!%1v%ZWAsR%~3CH)LgP|Sz*w3gU&0pJ}O^D)FxC(J@&M1F8um6s8+0P
zven@YzhoDdPTh04VIe=SMb%}81>(FaRhJGp^BYC=EnK2!&~inUZSt;m$0hHQ6;>`c
zXt;9uh5swbFWP%LHZFL+k8|_x)_Q{+C6CMv=iRsyZv?#m@oVZXPaB<@)rk@5Kh}NN
zrtj>Z<n-|F9<~Gtf9AeI69EZt=1xPC4+gtF+-*xd@KN_BS0nopy~6odY-^lf+3i#N
zD)Me(l~lQ>ZFA?>$NE>(6Gc`U_pG@u80DYDz$@|Va>HVIUY1{%92W8O+I&%4{8Xae
z@fFiy&)6i1mDLhnuk6|;RB<(X+V(mwnRoEOq1n5*tKQ8vsE*_~Jo(V=_O2x+H<rt8
z>{sJ?%N;K|UHPVTR(r<&XZtxnJCsa1T`&CX;34bCVuc+)%X;K?d<eVhCwAz<&JV7&
zf|HI&Nhd{Quk2sk-O5q2RiHCZ?9syAtv_BQD%NX$*)qW-EYgE*^<s6k-><Hew&ou?
zb9!O^Asg|z4x86I9KCbsJAdn!N9Kv=wD-)oePHQ~`279X9_Am42>_2-J7w*EWS*$E
z=-cJ{Ejxd-o-h@u5q>7*lp5i+Lgq9_XhP*f?Iqk<EB~pT5nXa_!=x*gVH0;9$O@Vs
z;rvSO+N53e{iRFh9r|^M`_bpBf4w=c7hm^(*qbB0$a(1xw{3IHmW1t{_RGR7s^fqf
z_rx1HigMc`I-*jeJh*P_sPw)Sn{=@6g~q9UWx3@Kt5;=p+0Q+z>%KZ9>T?&f@fVFh
z1=9|B@{|;{Ir^P5ym2zL?!^-!=h%nEUrG|E-ru#sC13p8h2!<DwO>jfiu~HS!7Cuv
zqM4EJj#_7;-Wh{C7gzrZS9)e)c{oS?`HZJen2hJfB(-VROueyV!vPQRu<zF5-!@!m
zt<@=bDDrG~fz;<1i{_mo=WN9Sl1q1S8~ZG-+S+jS)18x7weFt0nsoQ%)me8>s_xo#
z==qFShqCs*JCt?v-Jz_n?+(>x3BEs+rThL+mgoCJS*h<2WUVPHOq{A@RTbKQwOxPr
zjwnm3ol&bTcSdct+!?id?;DQ!izlxxWs;4JSk%m2o$>yV-L0JkT>dc=mw&v`YFzQ9
z=$~NRx9JUA=ggl!IU>A5CVsxrntHW(Q^(0S9v<Scj-8P_otxXHD6#Xn-kXP=i|b=$
zq-8=97B_q46b10lmc4RZjNLbJb@Q@~XB~}i<Soy7u}%9=Qd;e*YqQTs?GEC<Bd2%f
z!sS-Ws+}7g^2MjUc+4?padY!3#n%gj=E_`gPG|pm@u*|)i&qOWONuY>>=HJycT~T4
z-BI$FU~J?9&loi}A4cPt!o<GSdO8JXTUFQ9@7~ZZedOru<1&9`*NRCzSRlkKd*!eK
z`&Yvoj=?(~bXfLw^UXS_Y8~4Aby>4fP3C(q+lcqO4GuGlhkcI#&FQtO%2_cz-|=(-
z({|aAN80RP3ywS1-YLBx^3F0tD&9JS?Y_-t$47fF&El^QxxQvwZfy6fS-0e`hM4HC
z{$&suULX3m(3SVvo+Q&PnKy$Zr`_Pu2|paQTQU0ZrSPWto1XGKdl)`JXZL-trl<V7
zx>9cb4U=Zvx-L&R_p=d5k=1O)`X5iroVT@oUC+WlWy-=$uDP+@T47NabvhTXXYmj4
z*}87)D&gIovJ!oQ)*IgNS$E1>^a)#kc*A%5tZddM#rnBM<tJ9%lr<BwHkhMQcA|C5
z%o~l5jg#7AJfb^fS^Na7JKpf!?vafO-`FMg)bovL*@=}mW%~rI4c;h}o!I)&U}Lk_
zu@2dy+#Bw16gITqP`uvu_Zgq*%?V{Qty8YP;bZTRwb&+P{lv-2<Bh_G&Krv2mllS{
z`Pc;i@;t3F?O$qrS$CpqUUzb7o_9iQo_Feuow`$fpKYr-b1HYK!EgO|zdZ}Kz4BU>
zH96wT^P@tC9|d$Kd#+H~U=lJZLQJbNLQd;vgrL^a4WG_W%vj>R^lEO@lu(t8pN_X3
z*l<cDWU-H9La(OB8dFyO*FFc2R|R_{9`29#-xDSBD(c03Ih&v2U+Y~OAAfa~-~Fmv
zGv`mYTj%pFD<-WzYkw}^I7c&Qb@svyx*OJR(A~Iq!`npn#M{Tu9V+A6UH8YjGhRRY
z)|z!|7P;oS_F9$Y&eaPGyFPWw)X*(?vEKtXr=?y!8@<YtFMi*r)t&rG&&<|le>(SR
z(k-FV=Ks4G_1f~K9z5D{pJ94bM7{Zer9peb>r_A1Zts4aw#V~<+aAwHVS7#<ep@lQ
zfBhq0%{!guOAF2KO*v@0_Zt7~sOeL;B$TGA>V~!jHm>u%vUj_k-g?d70(WQanP0Km
zZuQEH*ud_0z9*`(Z><P=9rYz+k=lx1J4#(et1eyL_AfB?a@3ba-&cn|{IYGqC$BFX
z7uILZ*}CA_+QQ`<Pi=d(*i1L{eCUJ1<VB5pE)@Dp3CkYeEPK5#OYwYZjQgs`6$>Bl
z3i$YG!DFk-SJSN53Gbc%ZU>*(603E4c|(&=Wt#-ae(!i?G4K73_K@S9PRDEAOXr*@
zyR*HwQ>-iIxb1@1XUp&WJ?64U>BWxx7g?KSznkuz|E_*Vwb&A?ck6gVlZ~zxD!tet
z=-bgJ{IgHmFIIBXg~G!t7HVylHJ`G}BxT*>whLdMS?3v)@}5}mnC;@#H0wMCkP{d|
zPT0>Ink;m+(CEdEx{%{1YmR^I{o=Fk_!sqkn>T8e?lk?G_(=Tln#><zk;`<WXa9+M
z#9rooIjw4%Z+()ta}Lk!*?+1YwQt;};%9Q=c)=b=yS`-JPn<Hj6Z{`}G}p-SnV)$6
zIH0*kQzmDEelIicr_>Gm6_@uW2RpBN?0F_<LVu!LbB)Yp=_kT7t|`nva)s@ujZfx;
z^T(gC{S2CMMIl~FSo+E71Se;|*$0lbg{V)GxUV38cvG89Sdw$SbB^3K^CQoaW1Vv}
z*W`BS_L}l;Ox>`nb7thMZKuShZUtTNp1<nYmFqFfo~f?Q{+hf}ZU3Afs=HV2idoAf
ze!NOT>}ZvRn3b*W?J3izCN*9T4*pl@tNG0Qr{kmA)$aGtE!+D|x-)*8l@s^IO5b}v
zCVbLg7cW;a+vj&e?pENY{(ANGf<;{Y8kW7sr_6EueSQD@3Uig|(?i)bU1CE^Z?=m*
z-N9`vuTk)~_T1d~?gL_~rMj!Lvznvd-ny>4Vb3PkYkTwV-R%x2KhGq+cBO{q@n6@^
zK3V3l+4EG}^|Qf|7q|Gn?n;;{lC!c`MeS&ngxbEmxZ^GEXa9dxYm5A-)_3z`y;`H?
zN43tKAJzCjKk~JEc6@fw44HhdWUoD%%_}#iw<bH~xV~DqNA2E`4OaJ#Y}C4^vcc${
z%0{JoPZBHloIJj%BACnjv2UhMr+MlIi*IW<divLT+$|A5I$J{Qn4E7?%$nneZ$$L>
z8*ZE@vg~Npr5SRsPbM6D{p`?U&|;{rU0wB?HtpIpYg5;(S+AtNWan&N@qhEQf4yg=
zeQLd5pAtK}O3OfDQ<0w3>}e~0<}ctj=E=&rC*m{bh=h8&#Oo(AkLSe1`uXV@9z1F5
zQ&J>9c}|RPrr)|WWA$+1nX9WU*>;zOU%qalo<8H<6B*+<F$v2id!H#P;$IwlR#@WE
zAtC438})|`#J?HdX$_Wmcqp@{<ROonshRN}rM$nM-Iq??Qu%evLc;8*g+<tL3zo2>
z7Aj$8X3J#`2gU{8jrl*XdQE#`Wpc5fnvLg|9&^Jl9p;54{k)yYk!#!&GS|2#d9FEr
zSoTO|&7HolOD?E?i^%-a_ci6h>Ww?~*1rF_V#%saGO=sxw{t!Hy<^uhn@+t#v9@!C
zVtsyvVvS{mVx7l3Hda)Kq<_4)_M>&@b?0fPHcgs#GGXOK%})}B?or{>7Cp|+SC3oW
zt~L3E19RKA^?~gd>-~O}3ePgQvss{Tx0sT_l_Hr=MX^VT4Xr<3<SS}^**wAISCj`^
z?Td2{Gu+=V%8S~o+fu)9dTYU#4FZjMVoDdUI@y$L6p+8GtI|48Y|=3Y?vn`ucP*Lx
zUlgwmx__`FRqMX2fB3RvKEgsPCOR?8^Jh*t#4NR>a6X%4jdRm06)Q6}MrZb-f>-t&
zcU`}A{p-G9JgwH^TvW$_=VD5Q=bUWjY!{Fh*HLNh7MpZTmHXraqq~;%GH+kTURkj-
zNs%X9x8?DyR*snM0-e%gj~*^-{c$5xQS;5F2`1knJlLvVo(o*e_Czo1%A3X7!Hd)E
zV`qBBzU01sI7jNo4CiK%XO|RuWlc^bq(5QzkDO3jVKH|GV?@E{S&n!1M0|T@I(df7
zjD3}77cm~r`DF0x+14XY64RnOypGoExFqas_2Ahoz<*fx$Z-yC&%`CIOAJpr8A<q-
z^BtF|5;x^J9?=nXDyk#uNL0rG7Ve2NG8E<332t7ZX16ZFgKN63N^iH=q=T%F9;N<z
zW;&hEm-n##i&Iw&Z2ZI34Eya^<(^$WaGXhM$%YARnL3Lc*}6R!<i#!TjBI{6`?_XQ
z{i2Hsoz7y13NAQV@I`bS$m5>)<CK%looxd0+jUf0^>tNx^>tL5YoF{4F<(FV3&{Jb
z`aHFtZzU_r?ACQj*xl-pvsr+Deq=}gWU)zyG`T$=Z)r_2=zAyAc>cw?z{P1gu`{Ji
zlV6-t@w;$+dHZ9N4~0E!nleirrQ9<n9DI19UjJo^<F{v}YEp%t&3<Jcv6boOGv&2m
z?F%{({(yruh)bsLoW0bN*Iz0&2{ir<N&U67vOVL$Lxs?AH~G~5))j%?q08cXw)eBi
zeG@8usn7lFMxx@YPwHCS`_wDMd2QY-d6o1_BU;DhfmG<sI@VWaQWZD6t{(jws2AOF
zKy{VT-}<EUt4%%>w65}gv3SmQfyUyH)L+|+^)ER*V&b)_ag=p=b$I?ZfyUsF)PuWM
zW-1g;W0N}<!2a>e!|=$C16iv!Jve&V<U>IdTc*r%zDKVQ=Wh{c<PAyvHFxgo%m<0a
zyq9jc$f_*m-+#!7B`j#_OYyRGiyPawns_AhmI|2eHQ=eKpQ|R*tm~36q1A(@VlIb+
ze^_nCgN5?EmtJ_wsw{T?H!aJ@V887KfyUorN*{TzrdK_C?eDrQeP8<*xy%}O8JGIi
z>W5{yAO1My#F8i6&bjZ^=WMBp9q!F0?}FG9tu{!D9V)!*#8M?JD_rCMT=&(Fs=B|E
z*fQ@d;X72CaJTdF`g%@xb8f*GUaZTOKZ!H>aA7iA=9dM0N+k(%by^lLZsjOhz_(ca
zwVug`4V`S6C3SzBk5nrP)J@F&ASzn?z{KE8>(A!tD;$$Ndz72CfAyb!!`D~&PJ`vy
zZeAhoJ8b;iciH5-@3e`C?dJJaKGm*RTEcHfm6k+;da{hr@+29_n3DRh+v=46D3;G*
zYQM|YshrpBRd$zc>ayLunlj~*UuIwT-_6UD9%CzdMrwMpjLh^T8LiD-g)2m~k1Z@(
zE8)&IJ@$FX{@{OWcAJNAJu~x&DU`N}DV45?DV7e}@y_T)`Az+*tC<NAKUOVX-o#m6
z)zh3D)#IFy)#IGx)pPjp6AAZvw&yX=L;lab%T%7fBJyJHgc;AxDrUSk`!VCO*%E`>
zsTc0^Z8)5paO2%8%~X%1*q-LZ+@9uS-yUaO`6L;k)k!jPVaF_tejF;j_SIZDr}+Ey
z17X`8le%v&xF(y|-0z#`%q1Pm_P3YE`z~ACy>;_GeTjT8@;Kpz#N!7q1Re`y$k#uf
zkRkr~!-prL+m)NW*KJ<6zjx8}-TPUpcJT_?-eKdvdzVfA?wvM~UAuUGMH}oZH4Uzp
zJjN0p|LfNp{{BJ(p6<c|p58(Zp3XuKp1#5g36aMq9<14IZE<Y3bx6XT+X{x)az7Xx
z%l%+@E7v1&%Iyiq1g<L`UVl1a#pwn6cQ0B0x?bRyw$HB}zpR$7pZ#r}jMmciv&#(m
z`qT~G1uJA8PpA-i{NaPav55ymua_>~zkTU$?TMG-?_WBbJK@DEvx*mw%znIhW47eN
z$y|@ci*HXj=mAny_)Ho^RXocMk6-?^)c8tiD9iahZAUCD*p6GUupPBfVLN7F!_!fi
zQgExZ{_czX+dH4X({D6f7rbcxncgyKo1P-+nw}ErAc==&OAPPio=9kUz3bwA;gWk-
z`G37`I=6G(?4Esj>yB7RtUG35vF@k^%evzhDtSjNZ0__FrW712z5C+5$FDng<C)U$
zvUTd;VdEFS!zM3&w@pNECvRp<nY59_vmJR&`b>W=1v=M%C~ep-Q@fVe^G$c5flOy%
zflO~9hfH^&hfIHAg@xeb6Azjm@8aCY^l{tn{Ve;6q*dPS;FWu~i`TAfC$Hw4GU-b<
z9-F0XxSq-}k7pMzk9utF*)OG+uN(f(W_R8%!S4QFqW!=IiS{FZXL#6x7U>q8Exr3f
zeaiAXe^m=^<xa4ue{5Fq;^B_Yg1;@BmfxP>q`zIU_g<beTWt*6-=5FW;o4t}&%KYS
zJ^Quv^W5azRkA$%$uctiNitgceT5z+eVrkxa}4`<&h1_&{a0j5^KNI>UpuWs7VW?N
zAaToUxrOta_zJF1IOMQhu~#s!`BZl-+h3W|nYRyYxO4ToQ@puxvP?bC<|G-J?qnGz
z?<5(a=P|}XAC`Qr=}~SzAA7yG?$WM9cjK8}-(~CM&1-IdxbC%^edgVl>l9l%^PHt7
z-)ZAX+mrQAH2TJZ>A~%D<~(kg%>AaksFSmxQ2zH^o7P&sb(eol^*gum@JUuatDQ$8
z)V~*8xL7y$kX+d(k!cUN3-1?uRDV|c^y`w1_fBhGc@cdpLI3z0wrbWi(;M1`n-9kE
z8%w?UYPk2{J&|MPH)bE(!B%Z-aUnq8KPkF-FHg4Ao2L<X6V@O2#8#~uW^&_oVrcVT
zyK81QW*^$cR((0*enLK*wA3q6&zzhM(-VcyZ1=kMy)7<nljWrLjLjd_Cg>W(KVQgO
ze=}bH>X#?k&rZvmUahyDZyiw3s%FUfQLpVJZ$Y6?W`lP^7ITcy<%EAXwI4pZA(bz5
zLoR>P4axjZ8?^5|NiN@`*=qZ7naQ_fvyEm*{M4R5?X3N(HF|5cJs*8Oq3`va^RViy
zkSzzUp3ql$?qNF3JuZGa`!DX*8xQfRhPlPfyuPb`+mdD5e7$RLzFu8YBK$c|?9f?L
zdC{M@=QD-BnbR5?vi+C`clNbiY}a$wY%|SsiF2EhE+1AC6c!p76x_95Y3*6-sA*Gb
zJFLwjf-iEdo;8EnivOzT)%+8ykL8{|Sj;ARPq$k1=~Z2=RUen`<;o2Ry_1u4E_~}%
z$NcN_*I(Ij|4)6D^In-~sW+lGZY8WgvW>0UHYb0>_2YeP)xkGzCge-~mVOiZ)6sda
zmc7M|1pUJc*{Uu1%x`EHEIAn0xtRA`mBqD$_5F`|zbz|Rbnu?ZH`5!l58i953a#6)
z{9s($-HBi4ev{h%Cw8KFMW*w|Y4)#5i$Ao#6HC4MvdlgCGsDE+p7lbv@3OV!PAt!G
z;q_e8S!kfsS6HCaRmh>!Q|O`7QCKkpw76XV*u+D3B;2KnlVl{%l$G!DD!CK7;^xD!
zCqG@U9Q+X4)BHHD$N52GkMkq9p2LUFO0@UuC(CHoh(Gptd|SeujbG_y=@G>ZNAJGO
z%D7)H@51{c{|@`a8*l8s%GI0g;<Yo|!K=BZT>4VPbF-8UW}o<GbtwLRH)Z|DETzD(
z(5TSR@KyVb7rvhT(8yVQ@tKP^HMmQRP6^5OZffUqyXjuNc#hNdq{y=+o+aj|cx>;v
zEDBr~vwHvJmCH2^cy!)f+_I##KhDjv_1;n0FYk}3Upy`%@oV2ri;qDb9*^Eh*DpI%
zpueb~MmCUTU#Eu9cjc~jcEO{^w+p)VPVSg=>~P1VgNx03+zP%JOD|NLe*BqVmQkfp
zpv5iMZ$c8{fhxz`Mfio4y81f>Ra-p;ReMhgsy1srn<?-jKk7=tT&X~bWv(K9F9lr_
z+*~HkkPNgr)1_f|%x#LuF_$SOZthcf-0ElcPLN25N)b|4<~rQbamdhS5eHAVhLE#z
zSG%I%(c=#~CLK~RcHobnb-k}Ydf9|SxxpTfwS!MQI49j2xa^<y!5NDjr%Q^>WIFB?
zED({vCDiSE@UT$uhmBu))jFqlH+8W*_Vw5HF=^cvk=7E`mD#p%O5#q<6-zg2a;!07
z?F_A7_8@Vq=8uTvmZ(+EbMvaA5|?RiIU1#W#psM7Pb$xApT^|9njAR>tew5f9wbiI
z{Ba|POY}z29Q7>$H<_Y+U7s$w-Schg%Wu5qs((##UP!N3k277eOYB9G+<MVvvz(&O
znEqNQvzXV}@~}<tgBkf;OzOUlkEUo=Smbiqos;-Dz5caYuc_@?gL5Lc9<5Q_vhLvX
z{1@Te>8*#H|EX978#ymGzvpGLQeFLG-xbMSldRm$gTy6VUS&;ED&@cHdDopk$bX^w
z%H<ckuNc4ZEoF9hKeg^%Vy#E)-A$gccUe7S??$XR%vG`=rSsdAHxC0pJbT&k=CQSx
z-$O;!<sWBEGOqYk|4X}5ym-?rKlXOEhIzi{B~$x8yG1_WUaV7iP@{TB#+2F^uHNTw
zY^)9yY&j&b_>S20`y8whU5SZno?Xy(oB4QEpxBPIDXQzFQ~Aw%HucYb$k2SVc-zB_
z)=0OVy4%{Bbq@C!gc(2QTYP5YWex5(MyG^!_ik#pb-Q^yZgEUw?ZWy>g&9WQrJH6M
z^0&+u@tG0K;~L8`<Il0|&hSHBv-H@d+_PFe-FE8owMobIaVD-=cFNgp=Hm^4VirkL
zRQse-`H%N(>VN!jL9=Jkl!qCu6Ww;|`n8<SYWt%TpEobIcuk#lOj<<h6w5HF)J9Ra
z$fTWt-!>f6_#KfxCHO{=4tsWe-&(hsiRYtt8eg~B{_I9B7tijl>J_GLLfO5Wc4<qe
zmIj-hdNnU+%2nN)8mp~01^OjM8#2tTQ{8x1<jT{(Vei&FX;DdY7iqH;Y)#H{5u70&
zsPfERgr8fftG`uHwbfNnwfCr?YO`vZ@|77Tx>^6?r2-|Uxr+1&3%Wko+@VxhZ?vew
zLNu^uPM?P6oKB6*IlUT^HF;)E30;|i*)xg-TOZcAJp7@wh$E&$Lr7e?tKD1h=<%l=
zlMa3Cm~?Do$E1USn+1h}eY>L$%XDh+v@3HRU)Z5kV6ezTrb9#So4bhoZzV6T>az^C
zKE|x_mwgpmC6`S&<`aA(;ac?0=)%tz>epIyn&t}`9lm8=ys}kMePh=Z$*>7l$BTl*
zBivpId3(m{_Icbro)i?WJn72i2#;5iItz9+Cd;OVE0@}>T<tUW%GE2*ub7Hg9OhcH
zJf)L&%9})G)!#RqPHN^Xdtzc1Sj4va{+YXZQ`Z=6z5MgIuj9mreSyz@oYLUFV|Get
zd(Wo&s5#Q9Q#qbq|LhnUyZuI@MO5PQz`SnhhYa2uwR=LBmEF}Sjxj#P6yCe(i0I-s
z4>tvdtxT~vpb%`GViEOlL112Y_d|x$8}xfZmwnqA_-seQl+bnAQ&i7Mr}97V+0=jU
z=<Li1E5B-<W4`ILcD>>XpSAIY|4yc6r62C)G1~ez|5?4!);)`iw%$B8F*<R3U|#pX
z{8p*BzP*WSjJ7g9bO>E$c0ptH);WRGByy(M@)(}7YipY=n3BcbqrB}<-Qqi9hYy*q
z@X4((eDLg5)cxQs=GU+P>R7aQ=e}$1QmKuBZjnh_0>2p?)A%isJw@Aa-HnE4H?!+!
z3p#4&n4Zctw38{&tUuSXao0EX7XAh6{&u=WCQJ&PHX~<>?H$usp9{}xgg<)yDD{$!
zwV0n|UzkPal-rMHKZ*!Asp{9VQHuL}uhDVl#X29>22T5NPNTXaV@j=!(J9f2oGIEL
zRsOFrh|X&)JGxOY<#>j?o%+EW7E*a724;OC$KApLlarSgE(x>9nR2^+k@Jr;Qy)i2
ztloIhutx36>KnbA9MTu-Ts*2#{UU2hZHe)zsW0U6!VJRtqy@JeN_X3-Th)>ski0Z~
z$Dcyhs=Z&69?eXsHH@sEUb11+j8D^lu^$YTzczJS<}IBc`)<wJwTb`rm4{lVCR_Yi
zH`hp}BK*HsU+XH-MsDMr;>5nSdOGzRj<%|r?cNY|cgKeQUcEVo*u?#k_j0FiICpWm
z%x_ui)pOF;avQHHOzgX=r?cTyt7_P;4ehV>-aMYgy}aOR>*XDf4oSw8eOY<H?)bSZ
z!;+J&l6qFJrrmhGK<=BxivGKNvkvL8`z9}MPTTM}_V2k@Orif-`gy;sUMasP*OBM`
zzP0uB^Vi$0I@YuOYyK`*PHwF~=T1q;O=3^pbt>{po=^DC6Q?BPE{7V0K9#95U$?p@
zDV68#J;l{eR-LN+lIIkD^PjAA@(YJ>&5)^|UurE~w@Ep6>6Os3MXRT*IyJLo>b!NE
zjANHBU;V}@{N+lm<(KcS$$sPYeyR4GZ+xY!FN=+5uhFl!Fui;7SCjGO<~9EFXY5R=
zJy`kD^P2daX>lpFzt-p|yyo`v%HOo_!JIFOuP-l8F^r5aaQijsE35hv`N;Wp^4=$Z
z)x^fvZ?cQOZr-rweE3&g<=5UXf3Z#ay0oOW`NXfFRr^#^YBOW+Cv4icWnDf;WPH@s
z?Hz0M7r&PFx;FjdSKfN%*QZzf65A)MGq>2~?37>EZ(PZlQTrh2RF+zec7=$*#H&AQ
zW?#B`L#4Fu+!DPDtyffcP1@C-w`5(>vG1C;t?QP|a}y6bzu@z47xRJ|_tNH5PF8_e
zSA1Elyt24Np|tVa61|IkS8Q!6`!ChnsC^ZYn^+~q?`hlo{+{wK#|Mg6dF!<be@t8D
zmdeAwB1=Us@cOLw<5S)|=2TsNp>J2;<qZFmk|h<tds(<P)g4<AFH`XF?k}yw;w`5N
z=LN8y+beB1C#?B<d7a-$o^;Kbk9kzN=d9Czy+6v{^(2qF=FG>&USbx3@z?5a*36kC
z*%xSZJkU$bA}Ib^{okq?lO*{9jgD8;dx=Se$6w3eEbV=gCs|`=vWY5p&no@b<;!}P
zq_hc6Ib%3y5^LMl>0f8>Yzx}7OFF>lc!HOhL`eL#{Cp{oldt?dPRjh%j9d_38~NWe
zE8Z(Cl0mij%d(WlxUZ>ypD(i2j7)Wya^~XPNvvU4q}Nux&`}R*OKmx|aY_K|v@6y!
z*XtkG8mb!WEK2EXouad0!M-)k^ZZZpeAbwmtf$I-W~Kh?k9M;sNu~uF9ry7Pn-Lg)
z?QyM@s<F@Fl)jZybPSg6TXX#P&2o;J4|!CJ=PXNU4E~yGd(S_}=y3X!Glfl)So^L|
z|5{=1c9O?kbLL|XRc@Je`maCMbxe}v3o<&+=p}ZeJ|1MGysGh=r73;3Q*<`$1{ta6
zm3G7Pq>QyjWWsOjix*evzd!l)*oJ+()CIMUyEuu?2zdWXxN6V+b>gl6@_1@oPs)^Q
zL_Wx?jqLwkJ>|>?Q`O=c=aWp|Uw>_voAmwl*1-M~`5aRpXFG}R2=~8w_#@jwqdO`g
zefpfC55nqgL-~*1Zdp||Gayu5c-5=NRjcIM_+NePlWV;%w94x*XK3o7uOYT9@`752
zlbxPcIIUoM`|9ZG_V{Y2)E5pbWMnx*7X;ge^6x#@a_T~BfaNZsRSxElUq*jS-&ne|
zYQ~qE+kRJN?>b)Xz3a%jENZ2k(`A_{iCxV_K4k%oo?)w=*U#?cJ7sXtG4#;u1zPX7
z2HCDVZnff6lWd6UVZK#GN!nK*|2q|3hFH#}ef9GpUiQ$>ea)+W9(TNIld`nx-j25m
zvf_#YOzkaK%v!!C<h=HGnUK4ZG9h;rWJ2z$-@9}_ZO(F2(O1g9|HSCep8TuOYG2Ly
z%#~~NI{V(gtk3V+77?*+R<xm9tiSs5cGj<7`aZs>`ek8jv*LMKkNfq@M_&p$+Wt+p
z*)`A6ub=1DPpxB%U%!0trNYsc86?Q)*DrsiSpC@I*Oi~vou16~>gDq_>Y`UJUw`Sz
z{`F4p#}`#{mXA-eeEm{%Z8GPpmoIlc**rawf4l4TOLt3E=E>HJeowO5HIIE=*vA};
z%X7Y_Jf8XLON#r^>xOc%=eM7$*t9sQYxBG}D`va_iJF5%%fX_Pz@jfeqJJg&*F9fo
z3{v%Ajk>kgF>BkKfrZK-C%x$b@hxAU?CaXN&fBi~XH0o?iSFANccGhsg~rDgzpl@G
z4>DqgME|<<E!t1s9JM)>dvR+|N#v&KpF%;dyqS73?u7CkvDniE(^ab_;|rrpbnnJY
zd*f<zCimi2p3=xo(?6Jk6kbg|8F#=Lr0~J_Lo)+>KP;@*nzlxJwa23!6JO4n^6<#*
zU;LLfqi(KoE$zMaf3pPp;gj3HuCC9~aDT1hcT@53%k78v&j@VMk^Utqo@ViT+eOpF
zIsE@VTW}w?^!~p=;m>EjQy*G&9mI~*A8eJ>yVaE3`a}O{q=epWr4*}w+I*|RjJ7dU
z3j8+}Pur2l-6#HNzhHXcYN_a!nB)JKHXGg9#H!KsBY*dXsOvYHgj;{SKN2bN?v|2B
zz16>JzExos+Za*>{+sKaDY!2-N9V|XwR49yoD*Ak<zs$9KwIxNhP?{^p6Z?1a7b)U
zct?HeoYustx=#Mb_uq1qyml)?;9nJc>W!3{;@!>V#XZf5vr~(B+TMj(r`$;D)U__y
zZmn^c&pYO5;%?((2RED+iZRG2zpZ#!&O7I(;_mwEj*{OZA8GO3_-n}5#ysso)5EF@
ze3E>TNvHX)9p*b#a6~A)aD`lNp@Gb17IB^{mG2`I58o+&abwfrlD=l6Gj;8Kt1Udz
zk6K8iMKy7^z2LD<nUU9dmS1W9vjsfCx`jVmPb@!TA)yxC^ttrO<Tn!%7pZOw5%|f%
zo+^>wsU6x;UlY^QoVZ4H$CSRB9$BNFZAYf@Dak)wz@w~NxRiThe@CGK&vusS*Cw9d
z?%c*Y?S_%#6K>fli4#@V`6zxmYRK27I_-gx)u*LpCpPE^&Gz~*d)l?DVO!Q+wa?%2
zaDi5H)$u)NrA#`z_0AL=Y_;51kl6THPp9x)t8LA@4DsXB`LyZ_PCKfuvtH4j&G+i@
zs^(<{M;$Nkc(Xt<W>e7L%X4%2PHnh)YwAJqvNeT?ZCCZqY&_M<8n$c05iap>8}3}x
z>(k}a+HliR)y#55doSOs<mTpO8}2z?j(EO6a!sxKe(CKVv!|I|wx8*-==yDygcrGg
z;_3ud439WTv_)|oH{ceO$g5WrQr0<g*uyHL&(1{Pg*S6&oyms_^V#g!E-17%ns_7&
z@ES?vJW1brRrht%=W8+lZC!;P-`^hG#`(To{{x5bqUGGiUy2g@?&;}VINqxIYuASM
zI=wfG_j4~dIM8}o;>96Jo}w?B$6bz}v*|pjcgEmIt7Y4+4F?Rwr%Aj!B<HrHenbC6
zy*Y<8#Ql=DaHks_ySSWbvW#`N%CTJrhb`Ri3Qd;1a#)1@tKn70;2F;rWR{d);Hla(
zzgp<u;#sfyzcOFe;oXy}y7shIv`XQd--U8*kMCdCUsqB!>4(z2BL#N%juh(MQ~6N2
z$1}-s&&k7wDkk^4eq1IZc6|1w2(kQA36*c^BOh8wMo*lj8FplL$UouyM-jsLLJ`vW
zlWqv)2mSQibMpAIieRqUk9{+9KvS%y-_~%<>00Y?*3|luFOPL{|1zVW5&fs0C);YR
z?*F>tj@`A%$Bst$vx)DUU!9ZrF+|<){a*?0!+&P%TpQ)<xjD&}$2i$m#yH8A>2k7d
zmQ~l+`V}`dt}Rwu9MM0kJIOXvr}Jyd29tLo1#9|NyPb7Don*^%JIPiiI@y*fI>}aO
zcCxLU+0m*$|Igouwm&=ZN#;EJyLac6rGL9QbMI~2&F@~lTDR`iBd>D)H8X!Y>WB1i
zQ{DS2eAPO=%^R=oS4x9}Ydh1^Qmou(olJRO|NXPg!-o$A`xrad?wltPU1ZB?_Pi=6
z=ajW5&+AW<9`>F;7Q=tAZBHy~#O!p=jcVtG5=-Z)9y?^?-NafsP2_WZa9!@El%GGB
z*RRiibK?KjXUhBiWrXCv)&|wp-k4DF`q34RXS*jYnek?l#IhYr7=mMiIx_V%50y+8
zN#5q|WpKP+b?J=P6J~MGcshwA&B|-l%;}F@m%A05oT_q^XZn$XQ>v^MZznm;DfARP
zw`+;S^O&Hf&w83iTm4VH=5+1c9F}X{v3gp3@cymZf4Y9Hoik^Nh`|w6L7vhj?i&v*
zG|(y0U=*{QBG4`C)xyZ9dd#7HQo;mhPs1C_K4khc9+u%cSWqARkz;8Cr(S2mB4yhy
zLB7_+CC;1%rxvzIybVz5Dbz4LW;KQ7v5Z&O$Nf`ZN3JaVQ!e<KtzccS@{G^7KONe$
zQq<t4?x$^+XKEeIS$@pm^h(wlFR%R2xxMmZ+l7@!XFR(i<WriZxon5YJqf!%z23?d
zAEezEc0SuMeYJ~g^^MCr-Rrx4pR+Z(Q=G;4&T6Z`-?_iLFPvX_^u_BdLSG8AG^?Vw
zqzQh`-tzr@jFhANa<_}uR~mjP&iupktvri`d5+Xkhvml%UX(voUFIX)zw)D2RE&)N
zg5&wNMvmX_cipcjKFPZ0SFgW%#mlRjaTTR)&*N+l7_XOmv@*Q^!;#g;E8bicuDAL0
zO0(>D*YriR*H`@HN$U@Pez|nL-^TN+jo*BK#mHCnRiJ%ORm<Tx+hc<3=RH^v?q7H&
zd=F1-?d;EyCso(`6<nNN)1YT(>5@KQX5nQ2zK@2{Qrk{)-uv-HWc}Lfsh7{oc2zNo
zuW_IElI3~5c3t?1mEs$Y<SRtHG7r%CEG;pu{x>gU*biRCuwT4_VLy2d!+!H}Ui-nT
zd9BKO)}uM*jQ7~qe3s_8_M2Bk`VU)MZ=G|4Z=G|;**fPI-8$!<wRO%-xpmH6Z|_Y?
zvv}>>V9sv+hplPuJzExw$5)-_e0wG6SNT;!eQ#BhaGdSY!rC>#S6agk6xRBLUF7I{
zx%l|1u=)dW+dT@7>jvE^>*m>hC#hj}p5YPR?Gg`nMfVh*)m7W^N-Q|0aGKoFz~^my
z%HqqqS*G7nI_kRpM8QE_HjDRS&U1>p1<&m|Dv^FCsp)c_;Ze=>mxeNhc_*^pcy9M7
zI5ab>;jmvXf7YC+mS#WQV~oqECF~FO-gy4jRPMElcSKgz{}k@`DR?RzJ@@<*rgxR4
zO>5)kCe02{zwz!W^P3N^6w9i<a_rty)pIw__MqJQc}eTnS7@&LW%m4Q`TTJ88}F}b
z@>P_!HOJW=P+TwfXhV2^!I9O+EnZy}mihc@&*_h%`iD*LKiktZ|HCU5_1cr{JKjyM
z^nW;6`OcS<hIv1quxzibFY2;>r<^U+zijWOZ%=2QOTTvQpqH!ugU0&~1^4q8?092t
z5c5%*DdwxRQ_N@Siu$+aM|M0kSK0B>e94Zdx|v<#_gE^V3vTB>Sgf$W@zJ{b2MW&T
zb6of?yk5~oe!rrV{eDHa`u&Or8TKn4b$D-j{==Q>DSIp>p4?^5=Vz<_F7Tj!=J%NP
zidR>aZ9cv-l&k*A(!ZywtNm9{PT|Z+-bt24C)E8WCcIF8x_pyP5@UYd>oCrHyS{rF
z9@-n;HZjlefW~%-q%F}s2FG-dNxTyi<|*#hjI-|FytAP^Z{mZ!oBtePs^U+-DIR`d
zZe-T79}n(nA9mj^v0$?GO@X<0lUnrh4C~#(x6fEGJKE>s-^rhv_s!IJ$J{wx)$Y$R
ztq(z4IUgPTU0&80zw%~y@#>}(lJa&N6XyL>f4cst){chsFuxC1PHE1Iusoc6J5Tf8
zO@qVH(tIC|wK?zE_K;(Jj>R!=X}=GL+JbGauMclLTE9r~P;Bjkppc`UdZ+fTl@_19
zAuM-ebp8A@A))h_`2JiwBe1@@U+3qOt?w2tz2jQ@`jfS^`iq>WB6HWwxvqaZPgCxO
z!QonIz6-C*#bg#O=XHOPc-U~)ZG-jsW^)$3et#zTg3dqfc?)!>eZC%JTfbN5`TCtj
z|Gq_>i;on2o<DtG)0Jn>_lr+{Hnm{$r;ye)f;pF@`&NY=@T%YLvEk56t)p(sk8L=(
zk~QM}6{j`DS%TNBwn}8riE6s)r+ZXu`mxnVi<Y}>I1`$&sHvyCn?-oe${?N6EFSMU
zQ4L4^bdM-47fW0i+`aL@N>z#1SAu$eFZoe?d8V43?6HDR<!n3!F71z4mp7~NY<OS(
zG+#(;;SaTi9$%KVvh7$JQXl2mEq~K4`!M6V84*t&weYfA<h==;As(I4z|AIl|9f=$
zLdI)SAvKfp_qVcHBm{hv-RmtT^<a_X(e3ZUA~G7LvWebbeLH0#qnUI_O>*A!R<;|t
z0Uzg{au$<%u)*=@cJ=<KjE3a>li4+ow*Nnpv9H0ktTEx?`zPwDtDG2(Ww`1Omb5z=
zo?U3*Q>wwZ%wmebWLd8kPd?RSF71;NHaL449*MIM;eIpw!_Th)?M?<~76$N?Yw#$`
zcr{GqQ$3>5E|j>%xzq62!VkwzFZ{6Vbesjx=eM&zXnw3{KXkjYI*IYw%~pZpw@xjV
zWs1jgc27u{7VBXcTp6|h)3<=wZ#ULN)PIuumN~CI;m7h%uX)1w=Nmqs&B6A3wg%hN
z*%EBeW;5}eFmHV^KmSp>>V1*qynUJn_tXS;t=yU3n&gt_w8zf>wE4#}{rfJ4%J+{L
z7~dC32-~N5<V{U*i{{T|5|cmsa;iO@9prPyR+~q;Sojg|$(9=7gFo)<WtEuvn$ytg
zx^QB>&pP#EH)8yo3U|&Eakif-|IFOhm-S9~oZ_Yk4!I{=av%L&Fny<7Q}|A~uIoGH
z+RS&(W5_SIRlN7KO6kjK&D4)|0rzA4o9uSZ6WROuoY>LBdvrdRtq8xjuEO|>ow;lm
z|4zBK{+;s}<`#VxUR1nJ-D!G^f6uy|^H}Z{*=p{3KDVB$&Aqbyob-IT%H5B0{m<`-
zWv!SK?(S@Ja<fattn|*0I_D=nsGO&IwEO<w=VJetZ`h)jaH>9~UfAbve|^?R{zvc4
zWM9=SlKmNf<IBn>si>_-kNJ1+51YO4*tQ!BX8ns=aoCD?qSczK0&5Q!@ouzQb0Hw0
zeX11K>kVGcfwE!Q8ujZA&+<PV{7NV*FX#RZ#@F_`|5kj6x7wEd+5FbVR7UYohXO}d
zk>{cZ_<!74esunA-Db5pf4BUYeK_*Yg;)6^={#Z&N~XD=i!#01x%d7R!GpZKA7$gt
zpW4$itKjYBPj?KIkIs$TdVwK)$(ycPxv-=rXD^>Zht8ihF`dkBf*%R6*FT-{yevQL
zos!t)u#Hmn(WjyW#p-XpoRKGb`a`+Ti2|ql`+f+UALrFl`?Z`$%g$(jsDId>MF(|-
zjbtuvPfv<`w8HV|@?Yk!O$6*CHn{qS{h4*ZOt#DO-%0<|@1Jr+{H?0}=zh&kcfHxl
zppWb~#H>vwUYyJ4`y_FtvvB<X_-7aDkLYLWY)lWHv(8(uGqF8Zh;{!7y-uarjOi|7
z;x|+$9{#D<nfQD8(fi-^JbiB{hi)i3dUNe!hTV3e+}Cou@{>jLEj|jX-8%9pR^`s0
zQ)`7{{(0#vF8sPY%-*y#N0;TJ<H~pQqYHZS)Wqb~US%FOtg6vlwj(rVjlv?A_5Utv
z3Dn2@Up!;=Y$rxzS+0XN)kz}eZ<r4I?iP43EtaG3ww_8wf_RY47S5jOSBrKFBp;PO
zYAfOUK>E!8JI9jGY@U+$G5plCTdYl%^Jk}Ov@k8R5^2)>c0?oXHfvjCnc@MT-2zE%
zu^t9@^_I*?6K0BwnElqN$Jb2pNp#K@ZbP#hEP<Qrr{896O5GOJ<MMM|u?EZQx1E7H
zTe*3>-#9fKEmJ(Av|Au?VQk0719~bEiQ++Pws1aTpS<k`YtzMTMxHL2zC{`=lizj*
z_H5+lNq+0pP*|pTq+<7kgr-;z!;4A=g}HCHTq#vsw>H+yF(!KVTc(3@-oMpZ?(Me{
zX;S@mMC02n*7~;EGQ|URy9FLK#6CDXE%w3nX|Wy~F6b?ZND)4=b?X^D0iCT=JwM)(
z%GkNB#mV4Anb^^-rT6!Vw^f%l@+ZG_>Ns7dc(8K!gro-D@FW4l8+rmf8)Xx<`u?`A
z4GAiIqo2E5+}_me%0BV7#4^PL1-k{3T4FsuoZ0tT<h-u!q8Co}@sX81*Qd2G8M4$S
zi*wwI@^ay*VR;k4vF(=d;)--}iF>zO8RXwMb@Z1hI;ndrvL&TlyQ!ygBhg>$XxVH9
zxAf>YOb64VXNtI|OUrT{RI5%B(SFNxSZlXH!q!-hjmPv{BC^Gk)@<hHymq@)L^{Xm
z%=f}`dPjDo?esb-@U(Ag{qY^y50m;no;bxR7hNXPHM#JPp1_<9%UnJlIVCwSs<NRu
zOzzQysqPjzPYwGbDw`IE&3iPXwcGFWlBvRTHi@`wJpCqlrOr{g&FfRw=vtk*e9hKi
z&c;s+>1%C{uxj@gTs)N=v*{Dd-ZejcF5W(Lw8_a{Tm418W=F)73pw5wk1lGdPm3&4
zsk@!Gq?Dg$@fsTkac%yKS5GPXY=38dj7Qtw;P|QFGk=pS&0?OtvU2-=e|Kn8-hT1^
zI?E8di{DPNY`4h_F8R8mX34`q<~v_kD88$>!gAd<t4sQvv(h5-U2i8R-zh(xH1F2|
zbzz%7dn<(QsEU8MvRXLiv#S5c6Y9o$KAx;+jQjmWV0}$d%XB--W8CxSJlN^)_wkIb
z`1QCNql2R5E5)jRImgX?utC4F>$Kg>hm-vI3NEUDzIIjJz2ed2WSeg%Ir)A(5n;c(
zDI(SO(PYlPUEWhWgY2JQ<vjO$(sGMulO_9pKVeX>De9PPXL(3-zRcq-{(c3=)R$Yl
zo_17bWqZB9+KZQZS0B}6P6$_*c;h{9(RBZ`7jGvsfBAS)@z<{>9Di$ydj8p29%Pt5
zCuzav^8#mo3G{mzT$#H?<CCG?%!D=Sxm}5JQxkW%^BNpl+$QldP`KyQ3Qad_{?0DN
z<9GdSjSlYhkG;NN0(Zr`tDJE^CN1CbezK(8k0%WLwM8B7cJ-ErlIP1j?)3Nja7F!d
z+y&iky>CmS#pXWIp6~PFR_u$Tew(^CKNPS!SoB?0(dwl6zP-kKzMf>f_w$Ls``V%w
zeml!!?(^p?nEd`gP)S*s$i~;Aa;C?;mTOhb43#rImbF|f&t%=l6)f3v+eJEp_a0Rj
z&iT5;cjLLmMr*#WU^J_^QZFEFo7LniXL>Ykxz;r0ZIvNSZ_ho_(DQxs{Upm{o6O)h
zA6D>K+h#Sy%9$R?>z7LGclX_Jeetpz&qIzbDcj@TYj|*F*Vgx)a#Ir~ZC=p5`oZQ}
zqk}U3w?rn}Y8@8omr7XW&TDvfvD=Jyfyrk+tl&(mx+1c9&MFDlb6;1me4gW4A1ULk
z_w^*pX`9U8Gv8P6aNA@xw91(tQR<(Su+iOj<CE`??yg*Q>)rk6ZTUIxZrlmD|4TpO
z_s&~iLysKWru#a|Jn8K7YrXY?@ySQBejnR!GTl5bbm!KKcYa*&D=n41ZEF7SN}!Fv
z{>Rn3oi<!v81SY@gJ<{t^L_CeHx*6(U3vDre*fp!4?mXYO?}YmzO>?3?9UI;TXkhq
zPG8HNb*nrh=kmJ4>m~lOe+=nX{P(5!^)-pF>>pkFmY9lgz4$$ok@MHg35)h~O0r2z
zh~L2M>tS%BMWosHgu#)9M}I|xg?Nk=k1nv6nvl4GF_Q7HuZO{*77=CN69&f`92t{+
zPgtu82ldpOD;{QHZrd~0Ofi3+uZQ8;7M@^ViG(grXCJe~mg{bc?S^rZ23ij$a&pSe
zns8py=S0Ez7RF!FkzGz-%o1Dj)Os#nZ75`%>*Mj^;*Dybj|W?L-t*27xp33NSn)-Y
zQ&*r`ibUFhEe(unDiRq^UGLOVUVJ?MNjX$f$5c@GpTfNQhm#977S32<&R74m_ppkv
zkdK+-Q4!%!+~->um(4UdQX=d&Bk_=gG4BcKIgx5AGjg4}EY(tGWFKPq>?4u1k5gI4
zAhD;F?Md$qC1D{QGsUAq!bUo#i4Cc0JR9${)D)#S*{K^T<V)<HJz+sRr)Jfx2@7X)
zGMAVpcIc_~T)5d%Z)hbs!F-#1eZE5zzuJ<De5bZ@HJ%UGS`@2hR`*}H-D3DlVuJaD
zTHh1ak;0$+uC?r$Y?|26sK#S>qD7Exw!tw0=1*)jD>y6Fvz@vgs-;L|9%4}Tk$AL|
zQ`yEiv1d8k6W$-f!a_D?ibs`&jciO38`#x&3h%cFzME-qESC9Gz2Cz=PR_j96CO?D
zl+2rDaDZ31ZAacAj_W=Wk5@5Px)&d9VVUmhanbYr?|-MPXPr~yxp1^aao4N~<#*J2
zF8(!M`&sKOZ`HrR*L#kvVc7d+-nuW}oaevcD`~rZeS6OB8{7D5bDL%`f7#5<!f&^k
zOQioT)8WbIPX#kaW(dX4{r!Wze)pl-dhLg9>$e}0-e}*Ueo)o5#(jxzuyeBPyt=S?
z?k9qZlTWv`g}r_K;mzhxTY|#sGxM%4+^AuH-QOXz?&~Atw=5kqMdRwi=9O;EduF^h
z{zu7DKbwPpgXf;8S-tJYkJcZ{W~|zt8GL_P%A>Zt_^$YwWs((IGybT5T-AR(uwAph
z{@0$P%l*86^ZCBLWonl2YW|r$X?lgLcGtbUthuXV)2&sxzmHve@n@;E{GGsa$G6z;
zJiVl1uFwC;)$WG^+9m&~aHRd3tWY}Rsee<_Hha#fcFTV%KYpB=&$9ZkO}!A~v){am
zVn28V#di5sGq2}ATNCua>aFYC^Za{me_q)X{(N&i+j_YNbHn*RUR&*6@p*Yss(DEq
zQ^nuqpB6PP{B-O0Da~zK50*x&R6M+sscolI|00lcUS&wva=EGI>*P!iB=<`_n)tgx
zW8QApws-MXM;UDIBz0K7OHsa4+HLr5=TVmLcayr<{R008ERKF+ER_DUbNcG*6(LPq
z<)$XBa8H$Z6j;y9^KC`Vlh<|%cC(ARYr>w2{d{vu_fzDw=qH<Xx1UIl%`-fxwS7j?
z*3BZbr0b44JYTloBx%;_*6h0>|Hbbq^8N^}bXf5E?X7OLl(y{8WpAZt-7;IWUpxKn
zt<Pp@^HyKaxxO#-_OG^MRbL91{r4_Dte<=Fdg#Gfx62*&>&u?qFHwJ`V`6Om{>Fp`
z?dDWxM&)7|mc>OfB8!V<c=i^{FjhUaaQbql&}GK##~p_1$0sI~^(i0Ksb3h(^F`f}
zTVnoW1&Qg87f8&0oFFmzF^7TialxdjKIKDKWZZi+E&Es|7t3g>{p6mTIKiIt<K&l?
zd`+DH=6<+#E1$#gNPdUGmHdu+!!!9F26ys33=fshIciv8fBnMNHwrIa&zhdn$K>`j
zlEdwJq{gu)krKzAMKblA-rOQFKfTjH@w`xS(md6JOKiNmv@M_dUsP8Y{P<hGQmo0l
zQmm`HQml=;avH<)&pL|do<=IoIlK9Y#pHC)0;}_r9)<Y*{QOT#UGO8fQl(ha?D|Tv
zuG^JjZPAs}7|cKED6V@RsT6a1^N}61(mglmou8Cc>-Y2XMF&46M@H#SIxNzkbwp-=
z(&3r?S%-1klSrqWvzuLROiS<FXnTHAg8Mwxqvz&)dY-&?j>{pYvZs+8WzQouzCDSQ
z@Ou);By)aqi^a6`&H|J3LXSP>sUCc2<K5+4Z~0U{F~UzNkdghf4h#Dy9g*Lkb$EUk
z6-g&o^eG?gka6#txNhk>zTCQh*5wKLsVi43`pg;gt;dUT{r(RxS@QQ-9gSXp?!&ot
zpEVsnK3073E7a_w$(OKP>j!(Yi=%Gs>CP^Wy7Q+wyEyFDp3T|CVR!Zfr*f@5v8aLj
z#TzlsT_N>q2bM)RT-U#&b^qGppptJZc;@aaNb6d(+WI)p-8)GQ@A3>?__s?eTyE`b
zSheFQ%iX(4U3q02W0ODov*w(Y<1%(_%FUaYv^zTG#zb4cYrB4wZk&I6>uH`xx6Sti
z{=2u__{~{4X6>*Gmlnt9T3;*Ai2q*vn`u|-{i_>Su-sjnb+|s>`}VgFD_G{f$*SBh
zzrSQPi~K&FZ~;%z?bT6bIY;}s-*5Ju9GaK$)qGE}N<g?*!bIi9NjgFiGjv%`^4;6?
zvDTzh<X)Yo-Qt&5PTsd(#=7U{raN)BeUt3h$NpZa{WiT(yK|D>q#5(i8lIjO|JvGQ
z`@0+eu5bJLsPI7Bwu+~wf9mh>9o}?GS4i((&}8`+)gRkGU0u2IXnWbWwEj;aE+0Ma
z&we8|*M;+5l*UnO?o^3ccT=ZkG$@KmC2eVSo005kek^A4r)h=rT7}MR59neQJGJ40
z6SK~y3j*D`CWnN$dpBNLQe(CAj1%XyXpN&>+^G?n3mL;AGdiw{NhS8S9*fAX53>6k
zmA;VWweFOp=2oMeEf*LL>zW+l;O;fJ<docFq&a_G&$fUjLoqMIYfh5KA~PC3ib*9k
zwYts7SZJs=a|-`^^=OTQBHT-7WG&>mtYdPZgqzp!u#@tcEf*v<`<!Y&yjge3gO=8y
zIa>nSg2kjBY-w$?NM0y-E-Is^Sgn`aqCS5ii@45|M~hmGVm4i1n67JbM3lR?;Hpz{
zjB#erfl_YO9f=D?%yp+coYu;=BX^<VyNHaI-C|P7lRYclciwd3+!mp6G>kj-M%qHg
zZP6JWvSLz+%Uh4#_!{|>n@2S2mB{zM3;*PJwTkaP{MW5qLoUfSVId2<?vzIpT8(7P
zG~d^6>x<Ah7|6ZUB5fhhao<zBrwfaD6<l)C^o!IuoH_YZ-h-Z2p*dRvx{Ae472I30
zXXn0zg)HK_QyxufHCkh&nI9G&t#MF_duc@WLY~(;CI_mxc{d((QqI{D5ZCK-YWCH$
zVy9M5Z2gp#vT%=7q{ezTB<{>9=Bszt>rP4NZw=bBC7>-_?djS3+1#oX$qPmDb*C)s
zzbMhsE>az>;i%8O^hM@E9^0O0OTGj=eD@~MywiN!QMt=;HERm;zoo>trk@vmw^{4a
zx6gI$P6Y=R2FR3X@U+`$+kalJ;rpoV>W?>t4zWrS&nNvbIHhXXbMl_XDvu<^RbLt&
zKCFKu=;XTECb2@LS}S1U>V641Q?4CfdL9}+t`U(pvYBU_wbFmFer>8~h4K>an@@f=
z#VT0jb3Cn$*~rZj9=dShq}8?eqgk8&?wauQRYUB9xl<LVd@nqqCy?`NpG~nY=cBb-
z+Lp!Nn&xa6?S6iJkxuE0uTy^{?K!P<&d>YHxvy6i*86Xh3j7-KEvTRU=IcDMt&HFI
zYsV+=(wemHxbplv4ehIRu4Qxuf4H`^@rc#d8LNt<3nj&Mvp0**o3wP-nTs2pgI6yL
zlbE&EOS39c=2PpY-=8iyM`@gH-n`Vyb=@-C6CTkoQVSQTb>$nIZ(Eyxb603AqxJsM
zE*9&3p?$kFwypYIzjJ48ds%O;bkx?r#`{I~pWgW4)YsUZi4%0s>fZjhRCWDCzupI%
zydU4(_v#8qUeu;5OP>7-o7egv?%TH|DJ%C(+j%-DYwC8R?YmvOa__H7-?V93s9ogs
zeV5Fpt)KMzW><-8$ht|=@h>x1ho7`m&V8w6xjM{NuPj4ror~!7N9Srn>*MBZTA5V&
zdQ0}_N55`pUVnD;)eTSG*I%PHuK5{vw#rk#d-eYC?xk_M(?X+;>YTi~C0r`g`{<^B
zzdvy$Me3ME?%DaYs4eQzlk%O*tmgdoJKJ{U$H&!+8@Aq>y6_dt>wUWRI(b*Ex2?(k
z6`joZI=etH=fmz-QqksrgAN>%irK?{vHtVgxx1FLZmsaHimV9=@Q-b-dL<|RWy{sz
z?<YK>txEa1o+buHu36_Z|AJQ2CXL!Zt3L_2iybXk+>jhUReVY6N(+&PyG0)J%Usp_
zvT5<g+%$_%Qzx$cl=VH)IQVMoJLj}zuexSFs@*qprIl$g`xzfjchlhCSLNa)UPYHp
zx$V5C{$JEyF(2;t{2!lXFYVXg{c3hy-tC1Oca{cw8%EhB`+9!*vo`fL)48h;ly-zP
zcRz~a)oYVB-NAHc)j`puC+72t1P;f=@d@w$xJ1R~Xi>zA+wnJDH|$^&KRQV&hQ~X*
zfHfklS^LPR^;dsI7k%X373#dWLTdYW^Q^D>uj_OF3;WlvVANhaU3mJ>8Eapv-@E&N
zr{L;+Vb=2|E#LU?yb}M5{JV~+UyLuP3-kOswjwHYX8e0ro^yo)JU@@ExaR8qo3Cbq
z;gzbnQ;tmI{r~#;H`!MPZ=@H0D?0DL_LkYS{UL^bq@y`GoL6uA`r>o%+C1*5-&xMu
ze^isn-nZ@D!kr)2@0lrH?|XSoe$Tb#`|eDU+bmXpEk}0ivO8V>Z(g_&7sKGbM%-ie
zF|(((@qcfH?K`^QkHN3R?ThCe>t3I$9?2tCsl;mY{UpozH7}<>ePsH<<hbL9C)v?I
zMVD`A-)HuVS@Gkdo%y=f>pEUt?7H5^er=KX=fa5}oR@2fDah64?$+`?%~p|9Z>#B~
zbM{%h<>Y5yh2+=F<<#ejQ=c`fd-`A3*9$pU{^|Ii`r1;E?c$L~r><X%E!bJ)TVA|O
zK}!A1&tp{`u0PNJS@h!7RnDr)(yo1Ra~IAJ=QlX8x_!pmtIB7-yfR!?{gvhV+vyIT
z`Fac5!_{BBysBAMS=x3l&ekD(z1*Vi@cs++H&-8j@#Ly-jR||U)Bmlxw(ITN*ZS7|
zj_=MtF0*mlwWPh?I{#(Au3$O7=5A=@)6G%y&pbbBH}g@Of7*_BCnb*-_MiH2VKH09
zgFxpy-&Y8}tGpsnZkyF)EoXW(H@(oL^XcW@Yu}dYeD<8^zwE}F$&%Y5o}OC1a$D4|
zo}m0azOzr&hlyO2%>R4uO>5U&fuqyjpVjl3>m0K<ZF2O<z{0npv#**OC1$P&P8Ym>
z#&CU_;O36i&sKEoz7lyvG~4T!&Z8n;J-hA|2VDy{vWXvVm5O0Jcl`lZ;kj_Wr;$e@
z<GrRv9x*H4lDC6n+w}*FZUi=WC#LhRW7wTl(0U`+?AV>qxvuqd3|FtZusQOGT(MZ-
zq@|HZ;)*>E+uqc9w561NYNX5gd|M;O{`}rkp;Nn+PrS3_Ys$MdE9selt<bKzY-@*7
zv5QZmlTRGAy`;0~^Y(2*C(;DxZkhUERg`4mTVwXpLqT(0YfRDwd7p0W|9kDxd8u{G
z*QP9uJmUD86(nPjo*7fG&-OI(2vaf7e9Kdrd$z|hPmMfcJ>TcUwOP!SVjtCxJASwo
zRd+P<h|*?v0U`Za;m_V|T#~Z6V|tqY3ErDJk6MF8H+MXL=CFLtr@#xw#T}7ir{!e}
z)pXMYwa+N-3wRoNL>QEeY`QCQY}F41%yG3bN<Wsmv&eV7nf0ShOD($VZ{*k?xuh{M
zUS#$jtAlRq{Wcz4ZM^3DE5>V;Uj?%FR<)SM*&fSUKQCdb|MSfqTd!5ke#!EBkJZtt
z_2)JmT+MFr6gtgY^;JTBPgT?7XF4%2mPQ`&s(z|<Rw*n(+duP7)PbUYuMKCSbWde5
z7S|db)ST~AcuC!O&W9&GNh|B!QzIS)GV6R>p(s{)g@fBRtA|z2^k7Z@tfYCHExI;$
zT))L@>#A1qc(UfbUny<nVLOewEAsDkYTTW5u&h`taOcYA!_RaUExf^Lm;(ywS2~YY
zS#}HXRf=tN^xpsDgTqU`M?P=W5<|6YPuM%}W{<q;dTe?2>mb#wKN9?>?ai#ewRXyx
zpuNFHp}YB&WuBHZEQ=@#pX9Z3cFxJzuU{KHr`@@_a_8)flXEwO6q`HN9$EBa#r3==
zGQXa6i|zkjeMIKX0<-TLw_nYUGTVN>t%rRJU&P0bz{hpDMT;b~=H`4~e|7hA(djn#
zZ>OK%X7})Rba!upzph!=-K{qy%C07HZ`fQf7TqDc^@dW;`lDjW(Yo7MqW6EC+x#h}
zxZ8Z~sg%v<ubbZBcvm0V-22E+*R1XK)*DQBRvi_4l&8Cm<9pV|qZI+&x(A)t?md_D
z)P0BC&y=R)w|wtyikYkW{bJh6nG65;+zhV%baQg*dYK~2V*Og9gWS94oLyg?-7k<*
zWOne~)2sEIVcDC5L-qaEhdqtt2+P|1VUz1DW&Q2qiDsU0%g!EF-FfLo?{&3VGnZTW
z#w|R1<#gYxoU)hOUv2hJb>1jEYk}`<m9+~umY$CP8B)H;Z23gpMarAfH>|8p-DZ1p
zUw=@@sYgP;Iak-rtnVsw*125ys{3u~9A6LdU8#o3u?yBFdDI)p2c6$8o?Gf?b$+hZ
zf8pT$|AM>iBc2?)cC>hosL?a4qClw|=L=UJo%lDWTFi_26jR#Il%|>An)FYz_I!H9
zvUso6QHk~E46dzapYh<Tv(Fb<IoJ64XD*yqXP0<0*_r3#Nx`;XPb3c46g54xvpnii
zzSE?$^N>ug+R9ff#e1!e*4wN<XK+7E(cAZw#@mlOSA|Gj?>loZ#;oMxoSqALTvI|)
zW2!r&+&ZUjQ!0*KlJ@x4g1d1uzO21@F-y*H+uWSY<<dtsZ8q69H!V|3OYY(g|EqF+
z!8}u+?VF#P6I~M87GD!%dURfHX;0XJA5l3hkFz!gep!F?*oGBi(G7xIZ#ePPuR1E0
zn4r5&f;nrW>Wq(T-^)xnzU}hf%+O=onst+-54#yG5tBZ8Au5OGaMngviMVdvBOOsW
zf^OH6x(!!}MK@iX=GeYrYI}cjjnTn~w`>lP>-uuzZ*4z+Y+G}U(Lsmym~(d+=KEZ@
zua};Dd;7F$;U{k1_%=6Oy&_*SH}vbH8{g`k=e0gqoEma{!JYpvm#hu(<hyuh?$x|S
zo<&PHzJ7hfvoxprUY*kF<lEfwvy*SPePTMD^E>fW^6kiP#S=^2jNeL5IkwIC&2J6Y
zr8@Vv%-?Y8M%k{V+M-tef4A9I-@NgyFIp`5_U>E0MxM_WpQ`@0Xl-Av`wjmBvu$(J
zByV#?%HOW<yL8RkI6gDS`qomh<J*$oL~8IhaY?+MTzP!iUEhGGOjgyUO?q*27tIb&
zfAQ`r^Op~=6svym^(XD|Pn+>zGPBR8lZtA;pKt`%6!omMvpi@re@;@{?wDCeZj{a0
z>om2rDScY&)m45U@2obq*|_HBv^bFi1*dOpQ<-{f+hLvL+o$TSIV7?qZ=0=1zRf*t
z<Jt`?l5c+wiR}{455Ll_&z$&yKkMFUE`PS^Td#gwb!4(`-GQu*ZBz7Qp8mcYt`qe0
z^GWAAjr}>Ao{K(8{c65t!X0OIbkCeQQU?o`yBQu@Y1mVe#pq_aRbcVlsFr<xx^DaB
zuk4na8Meo5eb#mtm5C2#Mb|Ic@lH%KZ^uyv^SenM(Rqf40=G*%zVUsUkw(41yB%e&
z-ce<Nyw}Xc*E>zK4{C9|)g58K{c-X7`L|52o0qN0*GX95uO_kJ?VQuEtV6y^1fSSw
zl2GEfc9VU#x#`jPvyW6)Kepcy+LUa+qFE;LY}^K`S+5l>9)6w@zs_prYekE%_0CHx
ze@*3IdAlqlFYE5c)Q=@wgu|as%=(;qvC?jj)ltv&=L%L;$%cMC_UXXZ{n08fzSll|
zv1-w`R~h$X_#NlR@VmZ`;dfsDD!XRV^0l)TUjC`pWc*XDYx7UFw&JVjT+OE5@3DO!
z$f>s@v@T1pDx}FfZ|lB>>vB^c^hW1uJXq^quUe75J7JS}WA!fACON-}@;T+(JuaNp
z{N()hmDtaWi<+O9-@Xy!v<jWQb5+FLM_<;5{aLkU@BBX>ciZqEOu3!9zCuIoX_bUp
zUA*KXk9qU0S6EiXH+Mg9=GE)!H{Bs&b@SB9*xJk|A$iSK&#QiPuKD(J()0WEqR*2K
z@K2k=vDUdh`{nD(*!mSdYfHjkUj3i9t!!6{hT4U{?B2xzmsa2Bmw0inJHqX!sBPVb
zm~hp@`hRB0JekZXw(Ikc?jJkuX|4C$aBFq&nvbt|viDXsl*ZW}sah|W*c{%!@#1Rr
z8&9qV=X?{@KdN;9*_H;~xVZ_d!<XN9c2)9N#aD*py;U8Baklk`D%Q&-H--BfTwJ|;
z#uM$hwxj#bMlw#`Yjsd0{uAT0A5R3lYl~V^?JSQK&7YId>hHJl(yb#?pS%fNxAN-B
z?kAadqkO&@oW1s=Lu}~{yR`1GZJ!>?hB;eKl)PHGVompYnX_BAMlUtho>&rnW|QfG
zr(YM{-1c``TFOzucQWh!46fI2`@AadfZYDZg!T6wHlEK<xbfbc#o~c^i^U6Dudd3t
zxrzJ2`8OO`-G1ZgRpmDyUKwty{>oy#x2o&zI;K-<R^|J?OL4pl6L((I#x(D#1;@PS
z78-F+EF|KdSupK6RoJrQt$jpSbsh8J+Wm?LnD;9lao(?ZNO`~FvE==V2Zi@59<2|a
zzOYtV{?5nMi<ZCMq$$Z!^^>>eRfhC`(f!tH=6$tVXS1^}e(Cb8`uaLndPT6xjSS(<
zyMwatDjk$s9dYk@)^?8#U1^gybVbxQi7RJ>sfdN@Wc4kHEDtd?2@Usg56wG#`^lwM
zFEeJvJ~HdSaZ+NIDTnLv^}n`nSpM_N{M!!`>%Ya$c*QT>;d=cPzxbPz+qS=A*}d25
zsNVW>8!oTgeyVQA1D~*tWqNg13GLaRKk<vtDb4lko}FKw8~5*FQ}Md9-u5vCR=cZ0
zB5V3rHU#HQe2`L>8F_@suOg)BvE0;0Gu%@xUY!#;D!Bbb!3|wDizi~0^WTUm%M^4Q
ze%pPN<@cTXq^`YX8^0W8y?sY%zTFPjF5SF|iN#@&vzI<osQ$VAOGxFm4h8Ei?7xqv
zXKxqnU-98)vz_bd9XZ$XBc2@pe>_b)y~}&|YNKbrKkfB8yyRZE<lMFS)26Mgzg9ox
zq1RTW$db0xU*<>uS$v`OROZ5DM*V$PBoCg}KW*<5m&$rZTVg_elA38k1MjnEie^&3
zAO8I7m(F<Z&jRDRw^I8!+Iglu*l>))e&0^kxq>o3{mv=~O5RzLI^hG4oVgH>!Eq0R
zBb<lDA8t7)H0S@iKaKteH=XYi-SCE+TP@<B>(6sjn<~X~pYAE&R@CJic5ZdoRQ4N5
zPZhV_+T^+MP@81ft%8P7Gnx9Nt-S6L$%hTE-707*HJg+4SNzgUff?dI&6)*s{yXi`
z@#;B$#P`JV`)W&OWI45Es__`yZBa~<uv~N_(coUVw9Wy&=GGgz8#t<Y#FCp13i+5s
zba{F$yZz@+`NgU=(^pq<3a$CQ>5pI%rx4FlwWSf7<_fA_8?X6HP3()w^fow9pCjBh
zBlVDk@&3zY{w|A*b*9XhZ|(|ve24$j)zD?EF&h$_Zrjhu{IGMY>Qjc_IwnWrxSuY+
z;FSDk+Xa#5y*2^!+GX3Of3nHheu1N0SM$h@^nhdQTiM0DHbiEAI<=)i-EE~|V3xmb
z<_ESjC!`-A|9IgM?}rmk&N&;F2qf-pT~<+_vqa#-<A`h-cZI&ky9#9*E&8OVnP^;-
z_|5&JaLu-Wdwx+F9gSjAi4CpCUgR&-TqkMC{d4E;%!M_VzAddQSZMKVDl7Lh;jK+G
z*<9t7#TQzn?d^OpMSgQ@TSVqU!LW#oo_o{ws!FUgx1F<WN2*Nm5$~j}g(8CbopNrw
zCR)rFtJgnVq?|5jzb#cvDrse_TSU@A!)uY>rX}nw%n`KTCd$_PGqA+GPwAQa#(N&-
z&)hd2bYjlgazWs(&P%r!Sr=NSzfV(cZF#<?J8-v9;Zoi0Gb-J)J!d3#wX)5~S*WNM
znbFcIcIvk~x9`R?Cn8T3o89}c%qIP@j>&-t?x)kYs@|=aSo~Gaw<kyZ_1+~fmYkEG
z-nU}b=ibwfU-oi;*%!`JsXt?-QP1?_|5PQCrp#Q)q3kP>+`<{`bESVu*T%yEf1L!j
zG%T!|tZZ=5z*|bh`OBoGi&oFi`p71c^07@KXQ5zQWJb?Ju~|v8Hkx0&*l)OJ(HS?%
zxKrMb7Tx>76?LFyu}b|4jn2wnlFJr-ew+EmzhwDdohgY6Cvsn$`)zB5^OwyRI9}_R
z97|G;y*M||WY41JJ4p+9ymd5LBC;0N^d)&J9x~(Z-FVq4*vI^mXzWA1!`i!+WwME$
zeG~Ios_*E`*{LczQTHpSsYpDF&S+p2lS*3H>K2i*@J~uaM%PWZb1u!h>W_TrVk=$Q
z>NX?OQ}Gy2^Rhh^(<F~h?s^oNVi>GrUZx&(MN~{GVQFid#kaMd$}(FoNVxC2Dy4Pm
z_D%1qZjG$xse9+@hMn7Z%&=qE&U-6nFZvq0eEYsB^6x~8<9WEhTnq2H{(WX7Z{fKX
zLA_Z9$3lgdNi0vF->Fu4e*3MTLR%W@1*@D@3=S4}ONp?5eU!GybKfd)C1KxBrrf-R
zcb$~)Y`7qCU8mZ6gO5G0kI5C~-alP=Up5t<IlA+n*y9=9cVCBjONpfS#&oPJ={kD4
zT~q8-yQY}b!yT%3C8~8zj<P7T7P{Uy@$!wiq~4RP7q@(JPm-|sE6?QeM_#t63mN^Q
z>oYox#iSnYX+5?hVWFm(B)j^uh1+|Yg>4_IK7KI6Vh883gPrR>bZxZ0IB~6MnfQmh
zcg@PwcWt+>dakP>KT~42@ZNi|-a<Urdwn|M^0&9P-N;`k$QO~(<19Ao(LOuLq@<{d
z^CxD$;C?U79zN&4?m{u2jaMwv46p7^e&g@6Pfns<(_N`^qnnVSxxLAmjRyYPXE4t(
z{id#_+~1=jH1UXza_5xU^3u;jW;~BL!_(dyBxZX>oBL_*NB*d29lgiuRsIU=9xXri
zQ(*0-)W<iDyquBvWc3cQHQ%k09DYQ-=W$W3NJ~>VP%PYbBkvH0wU5N(-kpnCHlNj5
zx?_=iu);E%>Ge8O9;}Vq&Z@g$eOx^AMXmjp{T&sep3bd0$hIi-Ug|={vgnK!TQRA}
zdsFi{)m|-E6UhDQ`uM>S&Ho89F(2pfU#M1U<oqRlT<6?-cV+QMEbmQ=bk2RS<9^E9
z)B1_+m{W4erS`-|i_^iq$zsbZ7fg)5SS5EQd)5NhJ=d<^Vo|H8Pn|M5Bg9&Ir$Fy3
zMdjm*0&Q~^D)vQa$Xi5Z^hmpLvj3TJT>7}qtXt-8b*{m`FFA4MNu}@K!Swx}MrRVY
z%?S<PjZEdIPt1;Jv`&+0&zRO9ozWpICiQSh>#-fVH=~<0-8?%)clf#09gVsz`*?<O
z;hFs2<h~<@`;AZet~qnpw7y93+JkqI86CgHq!un$%{P>b*rWMNGR~0KCN<{h(aZ$#
z#m|mCve*@MX+cHC8~-zW_7cZ+cJ1gFlX^5!wUwh^*W{Qmx9`Qs`E?zM=QH<KihupK
za^qf$2hZL19r?I#LqONgs(6J}pN#ij7ckv9d**6}yS@^ScJ8cf5m)Q^c&SCRe%{Ny
zn^&w&|B}7m;)>+5=W#um=U!cVG$CzMo~ZJy;$2%U7Dz6SK6)Z`L*0@j(XT!G&Z&iY
zpPx11VG}1akKtvW!c!$~7HNkh+<j*(YM-|(g7L-D_}52S8|;=%eKc#{3W=Clb4B^U
zSq4X9h23`KI^AoUZQ%O;&GS7hId{K25BvJ4{$$TL+34~$!sYhqY4*t(lYh>%esOzF
zV%#@T!`U(k>-_sZsQqqrpDpuvtG}PY>A9s!e7W1g)n`nuop*Mf_=XSM>l+_Ad^<Dy
zs)M0*HSeue#%Df0n;<?voZs;LYWErMuO^@Q^i2Pt$NG6mjq0_Aj1f;X4?GH}+rON_
zRoM2>WX6m2QT*TM97;O;SMhhN{pv>Z@_VudJYQe!+57R8B3t!Wj^;g8JxAvWi5=do
z8fSY{`~1glzl4?P)rJ+-|L5**=svvT_PpSjHvwf;ej6oj@qU@oAn_#j<1>Q;q3h)y
z%?j@?xV!qZ+tt<TJ6>K5zVqqDqWry&uX4Vt;@V-d;>(;xk3JODyC2M6aO0h&Ym!RU
z`Pr^*ALj*Y99#2vPgTdpINL)K>*XHrGq2~AtN1GN-e&5O9bS)b#`R2cuZ~*ysN(0+
z=Q3jM=ejpNfAyBFD|cS73fs-aHm)jdxBK_B3q05u&j0bqYWIp)SCef%zv7%%{Z&NY
zW|xHbzE7`Ms%_I3RLuT2<Gw@v#`DE&M<hz;o3r!$-dokc9A|sPalPEb3E};PH&&}#
zJh~bz^Yuki=Eoh&8M?cBs_!a$ZmijQ&^AQmb;Rr1qL$X1-1p2Zk9EG;z9+Y+Y4W?|
z#Yrlar)qN^so5Qs`+n5%=%V|X=lPC&x-WU2uZz2J`d_xDyYJlMBs9t@H+yV6celPN
zps?;-6~E#E%k^@P_JsEr99(^T$BV1NIw$0Gw!hNUtK24TKIL5PJG}#se3zV_$0X8x
z_w)SUB~4r3#W}rTjrsm$fko85CubRtN}bmfS-n(zk0npR#ntUQo?KOq`S!|iUBy?H
z@V!-C+4HTlH(E5C*BBkN^MBd#X#ew@yEXoHzpMY|eaH9C>%0X=a*xj5cZPMx)5*?v
zzMT|&_v48~bxoxAhC_GDx}v9)-|g%^UANu3u<+{pqUlv17sbE1w{ypm@?Sye5$|qW
z9Wa_Nlhm&Nk>hr4QBSU&<-wr)`+{`}Pbb~md3ElN8D)7NPYR~}7J7cUZvLDH`+m1{
zYd&T!x7GCfp;y1-b?1!rxn=3GKHJlFeO610=D%AhJb9(>yCX+qvKIeN((zlmY<Icd
zGsn}lD~)wNpJbf&>xqDOO;O8HJIiCr@jF#2-b@ZYQy{;6#{V}Z`MS&OyYDQGn)CNf
zdAz~Voo8#mvnnq$<G$;zmu9xRW+wNB+v@E%9#2+&^YtX>vY)4PkJj6Lzm{~a=s>LA
zQOV=B<vTl$K4N`WB&dBP_->_e_EGk`mEJ!m%KqB(r?7L8&b`0CR`$K#5*mFcbW>=Z
z^Lpo#hn}|H%wF84v(IM7iN!%W=k851y;8O|TD;)+&U8KDJKs)<Y_BnTzo53LLE6sp
zNa=i;hqL_q3PUAtgg!a7`*QdmtNMe5>-`GPtTvX>of3a9q;%ePH!Y)^AD8cXlzqyb
zD}LLLGtW=oTV^*WUuUm*?TQcf(SM55*6dk#ZvDHT@sX_(!TYvzaq`vc-JPPd|LVEy
zn}X767Qc3WX-Z%fzu;Y0xZx0IRkWq6ymtDF?5B*sA}c%oeX6dPe4c)8T6NoFGnof1
z^}OvCnTG}aZWi=hHk<RHhj+Qf@10*}%{{Q^1pA5g_e3QR7W}-rTS)uC$>(e;6<JPQ
z>1rtzd50MEeIy<&o#@>q=%y#>CZ%&gqq$WgcLPT<k67}WgF-#V5nUhSJ<jHCzI5l_
zl-n*JeNTB^4$R4mKgGLBsr%WtR`;b9?|gRMxO1=m!%3FwwMO>Ib~7KY^ym9<M7_P@
z&17YpPbUrK(%#QlU9@y(?SreF@2X4h6;_wF?cVaoY457=sN;`1ZT(NZe`f9L`^Y@f
z^QSWd-}D_EdQYn~^q#+28+!X&@7luFAIA4o?0B}=+;`cabKhm7$^9c6RPKu;6z<bJ
zqNMb-Ni6sp_r3asLC@1Zr|y05wkCO{_@1e?2al%ZWZb-P;f%i5{YafE4!LJl8gfso
zB;=k~G3`0`wZ&rI>&}8nQ|!wUzkUchq^Y#FTe9!iHjB5WTeKeZ+;=FrHoG%y&+1*X
zA1myb{CL5RxsMZeOnuBzV0>KgQQQ9n&2v9_dBp#;F^K<d6A1syW>>H9O*$#&yR^`q
z@6thcK1<Ewig;lzpz~o`>EsB56NLgkrwapo&K4T@oGfISG3PPI#{2afL_YszYpbkt
zZrEAp++kVg+;X$dxhJyDxoKvdbC=}3Nm+S6M1Sw`{Bx;8cwgAsKZgJJ+WG%n{qJ~<
zbynVeM)%si(|)AQ`f=|6#2Vp)^@;J{<IF@anEp;>>F-_;&8ye-&UA-F)s+Wa7dEqn
zcf^_QQ2Mg&py*<M-gPXs*#%2qJePhuHAbZUlfQuOg8F}ang@SNU0PHT-rW5#mv<dQ
zepW$iMQF43q0>?^hH+OOczxKz7T%Tq)3oDZLS4|BhUKO^IOaWhZ*ZWoCb)zD=dyYZ
z`Om(FR!`%Xa#}r~9aM61w@3Hp7TZeI&^c;v)Sk{a`n;3tMo4#WLb9$|7w^^^64$OL
zac@{B7TvLR>kXwfE02mLm+Ed~S)H|UX+-4Vor`XSIw$SneI47~yC7WGtZUxZ8x{7!
z-MS9nqjD5?T}|q~uvIL&M{nzmio2_iPFobrTmQT(F`c*b<Fhx(3)!R(wnycNywBcf
zxM%fIu?M-j+XV8nHo8{CcIzHn9hJiwcP+{L<Dv=m>zLlGco@UVYO_9ZKI6g7Q8^-$
zvu<i0J`$C~sCFfZ+i;&)bW7pZ8%aHDk4{VQ(cLDpID197;<oD(A|ei~h{|D6&fXZ<
zv*PG6gFRyP(G7{9ap1K_#S%Sqw@EZ-Z&Z~C>DE1ZK<Zp*ORlMkn5e-5F=@yDQ8^-i
zvo;!jS^JP9DQE4$sR`b^>qKVnZ9ZbQ^uE$-=cp||?2ok1=brI%|LJ<6Gr#WtUwZU^
z=pPxA=ac_0783CJ(O;kOalX{8?XM$V+}PB>>uIVKG5=3;cm03sZmt(9?JV-inoE8t
zwDY`wYH+~XlILR>pYooGhb7iOH8`kk>GRQO-Lr{FbEjz9l~gKL{uFq+Qzpn}vUA(=
zB8dl`GHn$)?SgVA6MNc=W;|$=S++y}>BPjfA*&d#c?5P`4H8M5DsuFNmMiBjkHD^T
zoKb(C7lr7HNxnPErLd!3`u}#Z|E^4|Jsu%W1~JMahfNL{geWt%WvCoU=yj1;GEsur
zbW%ctThN#A{;o%TRRVu_z6YB>Qs24WP2*Qa%fjt$OkXZ}bj*|Lyx^>CxGP)5(Y$xb
zj44{|2MywES1~*e5lC9YrPLGX*mF_K!@!GG)9sXGrjYQCtrNW}di(y>uRLmhVo8In
zmC}us%67#U1P|xQvffy{SfIL8ByoOU(wpmnP0Utag#p%bcKla-{`|67qTF=YYSD}Z
z%60*l0vkN7xC|rR1(&_hI2IzSI%C;lk;_{jC*D{wkzuxpP|{{MrJRc#@5@XkCCqjU
z%IW{N^Qiqa&)4&hu9p=zP`oO6u%f;>u*X>9@Qp2(?5>I$xOH*3EuZ>qV_$r6^OFe)
z3uJ<LCOEhKE0S0+U#9JacDvxO6Nx?dg7h@pJOvMXXmS}ibvf}&U&L{EiN>)2O)mqN
zt{|RuVSjg3UDWy>#OQc_OOagHf`+A1iV=$hyN*gFMl6}gz-=m&)aa&k=DJ5uWfoh#
z=CxG~iM?D*Yr-5mLbW<J1hE>1txz~vB)TL*?fDwdEpghb!*eDItXUDZP)IXjUmwS_
zmmNv#`Xrvc&^VAI+d5<EVh-a{k>s{%!Cg<K5+jyPWbif>O6qh|(z)i*<0|F3AwXGE
z%w=l!rhN@NuWE0Zm*BUx>B{F%vvt~8zOP!mvc4)Vul(tRhkY_^J9OI>^G+tV%r266
z+$N*8L$6(PUFdPMuOge5`g|1oyXwi)wLe8Im+a7K=b8S*;DD<oPoX29@|@|1C7wSq
zI2dW^Q|NX|`LK>=|C)x&lco#KndICxx#&cJ6Cbn8^uq$jpBNkpwCuU)d@sN0UD1q1
zvrE=7pP6!`enG>!B99BMe419L6`j>Bc|HW5aus;gEaO(8-)?x%Q&lvnZOU?v%S$zm
znP_?$gmwk_c>cdH_J6I+)||Y5Tlb|_-wS$>`^i4)&*ZkBq5Y*-t0JG=`K1;ZtYN1Z
zd>~s|ts?cXi2mgN_a5!{+TppyH(QBWXpNcTQ7vJklHPyW-SuLrH%_QW=?hsdt5|o0
zw?ggd!i{$xK3bXcsP>Jp>aq%*xl@i+*-W~8!s|t1>6sIX^MxMm+Y`zxr!uu~-@c8Z
zw;%PXdrfysmd=^7J)_+>=*BPo8!>yuq_}P<xw1sB4*M8A>-Uoh3HxP&WF|PbH5W-d
zm>|<;q0=tdcOtQevuH*_{UVu94|h*-@5-HY;`XAGMIHvOe45KnDIPYl<S~f#nvu9$
zg!P7^t74f~U`uR}Nb+_O)f>vLn%~r>S_N2zv!1yic+f{S@XRH_!#T37GbaDB*9~yW
zt;@T+uy(cm_NUh`+{xN|a>a`NxvRczTp6pW|9O??G=YOPvVl4m1P?pOvPRS|SuD_9
zDw62=^;7Pz=;Y7-YiHLTJiZ|)<t^6_1y`2$hfhgnA76hWy~yK32%qM>6N-nEEqOk8
z@HyK|In2TT#Nb%1rB8uBU+|r&pFBSL3H=nUbm#k-VLQpmE?~N|o!j)o49QOnj$~N&
z7<lm|_e?p=qWr|*=!aJ`7Rw%v)(qZLUN56oS^3@~gio{WgyP`@OCAFcK4+dOhdG#^
z7`WA2`dskm3;r_olf;AGDem{IzfIh;r~Hj>yU5-r6BaI)VSAz2uDI)TVoP3;#N)+N
zx&_uREtY;bRpe-erYmQhM_||UhySlX+CP2qG+8SXv+ZlMGu|AyU2v!8UwU_a*py8L
z%By<nciz6*ee&d`S3*q;ET>o9brclY@Ya2*K+biJqkO#vZ?1SW#7c2)j8+!>mZ5Si
zu2<#8@`)n9>qGdO`uARKeh~VkVVB@~Rd!RSLJwu0c2l7T9d1rCS3O#ir8)~^lsWw}
zRE~OnRgef<!G7@d1<g|BxZY*iElF)|5@}f~2XuN{>SwH-$Wd$}l)TPO=*(r0uAi?Q
zSt3@-v8+$wzS3J^8@)oX%T+2dV$DPbZxf-Um2OHpmpyu(X0bWvggCbNYISUkWaZqp
zLg8pwrTNi=4==xJS>y5J!kSy+(>xfrm%0>qyNk#(^BUG%2yFOn#r4t8UGUy3jbqZX
zsugP&i>zn*T2cSwLeOc=^~w5M-&UwrFI2YMa8>ZIu`FxFs>K5SB_a<u_9fX|3T$e(
z^7`mtE!XCL>*I%4W%0NBu5YildL*18YskZIDv~gx&xz-1V2h$vmtllEC)-PnqXz<P
z=P~eaef-cgzhRr~`l`RBE*JgXd1^~V7WDTyeYqCc5@*$QG5B<SW(RvzY-d5dvf;Z-
zm4mUpOLhd$4K&^U{(_Kp-I|gnrM$aVvp=r+v(czcmuu<HE34$6o_)O`s`K^6XU9)%
z?1=E0a!qR*+nV1SjrM1W`A3Rgj$J?FRzkDT_QRWBx!Hft+hyW#SA2?fl~UeG+rJy7
z1a1Crw3#L#D8KiV;z4)IB`@m#>j#~<;LpeWW$Iyp+9w81`IbEwz4?T{OgSuK`)}zi
z_b%}uy^7NpVn2NfTR2-LsAQ^hn_iK`g3U5*FI3tE{Z3nV6}s^W&zX8y<oS}KlnPB(
z#yC$w`AMFEE#^TYkJpN*R;asb-b;^V?tgXk$E!VD9C|6Wu96}J!ODBYFK`^K&+Rq1
zbJ3$=w-jfgzw(~6OqFBIy&s+hDQn8DWOpnK-1@=zkBc%(zo}E9QuKXY;kL<AoCRLW
zihkKDha`KCSgfAN^4w(7qnd4ZVw8BMUs=pm5Xq{zZiT|3P|+hhmNl_l51sI+Er!Q8
zDW^d5b@i#12T9jjQ<gLcTPazrQ|?N(s!y_5rEHgWHL&BbRab$ByJ27I+443anX7?a
z%8}i1ZX)7ami+iy7;(EVUZK6jr7*yqhrL83VSk^~o6CVMd#$=Qy1R3hz1BFY_qIaf
zTz0z70n6SNi`9a4GqY5VW%jCAEETll<v4X(bV-%>JEyYMYY+V}O*ZKAW=q~NM|Xu#
zVZHaeE)l_)vtb`yLJrtks_f8j7vX<0;o(9Vwg~lh#cQV%TX>5kk{3_m?#d22QV`q4
zbZ5dM0rRCAhjcZ&3ZuJ(?o3=HQocGg{!XS=!elNEv+&v7N*W3MZXDmTT9W3wNqoyz
zIl$Q4VzELn?yHH=;|;SMyL7b@ZmeozxE&&pw7Z^5=}mxR&s;5!4c@GpWv4B(h1Oob
zll4~P?(aiwS)y7Knbcl)By{y@EPLIN*w)8%=1O2kq*a$ew7cQ649lfARtUBUN^u&5
zDJve!R5?`9dt}Cfi7djVlahkARX88f-Kh+!b01`EZR&_FYF_nNtk64egG><5MCZ1J
zMJLjwis~hjcF4F%D7G8Aol0y9ESiy2QkoNd-db9-e~+uYa%E+<T^OI{v6G62D=c{o
z9QmAmrX1#&{KVi`ilvW%D_^kB)K4c0VooW~Kj=GoPtCV)9$`O4!uTZTolu<Lcq*|Y
zy-4EWPMKpBitU_nrxfSgdOx4#KX-}G$H<%6Cr_uR<UgsO@Mx`!(Vof9cCV)&7Lb2p
zaLC=V=c1?9N`cy?8cz0_T^HlKgm@+>*<Jp#anBY1DbYo9>vir=nryKDRpGuU{mn1K
z#dTXh>F!)-cIG*kna@Sr*``wqCuhvoYhzQ{k>b>KS}kRV(6sclB_-bL+|7cukBQh$
z-NCqbiA_k}$*<FV_tf{x3ZFJSdgG0zqu}-A7@egPr(Rg{rgOWJi1FEzpTc-&2!&hy
zI;FdF<))>)%T6a+pP6vzTK&N@ZyvfR<()h`NvY{(=;|G++g<oLr=3!CRkuueq0`Q|
z>qKJ5x*~~%vt^FG&}-+cI+55lFGx=FnTJw-chyNn$9&5rFO=JPYM&Z7)Z1$&w(SfO
zNth?nIz!)8P|PE+r!{Cw!bFj!5i;Sg9Ll`c?eF7Yd(n}!pihGBrN)5=JNC?Rd=g#c
z{K#wX#Qc+US6@5+X4;(%S(9$+?tEyqNMfOK+e9la121<)x7Qkn4!(LCy;HS(+b!#D
z+7Eg}1m~Q~yWFv&!L`U^Lj<4Zv=fT;hl4D6Hu&&4>r6k)q5Z_*n3tu`1~0zgHPb$M
z6nY8$6y52@$9e6P;!!KhlnDKHMz>Rm9f3s>iCbijNhr5-E<2Ujl^G=Wvm{!m@_mIP
z-_INezMmUn_<rt);rn?YhVSQz7`~quV)%aEh~X2?nRHlW_EH-*3&qYo<#&|YS)!j#
zs85<LW0W)fFoX3IgCl;HJq4B%4oQTs($0J9Bs2Y!Na8$^qcikfImJ8zyIODRS}wXj
zX}8praAj?;$Q@!K$L>7Jb7FkFdHD|Sh6Jar)*4Mrec3G!THG}JvRWQaabucu&7;Fu
zs<R+W+3;MZ%E8LsB|8?#u^e@|dT8|t*WM57qLl0R%)iF*eu=5jgH>)$F_%4BUQ2Zr
zIxBPLWvLw1{i-0bY^7LSdtP=+!b~@fY1u7_t!_+fu6T5WN_B3CQZ~Gnp>i;)cS*!@
zIhTfot6IZ1eU(zYu~e|@u2kZU#e#O%uX=R+mFnE!uWa~jl_XE02dg08YK3EhqAC`v
znnaF2xhuk6-+q0yx2~&G!Qx#$p-QYWR|FlGXZ1%qiOelMa>3P|an~yi7js$H7wZ;F
z*p^Ir5Lsrf?yNa4-Q3|+$D?J_DnIJ=Y?ED|qF?Ir(aW7@eW}QU-ae;2R|8wht-3zO
zxpUgR&^Rjiwn8E=^Z4P_w*zyn<L>vp?0B@JPr~no#sN>+)_RMji#e8;iahS=6Pj~1
zu&emiN0uFHW%<`vKHvJb;_B`N%3a-7NjsJ-W|&?o@~Ep%DduWmPj-}_^PP*YyjpCf
z9$pPo=KPkea<p-Q(NE2LlWq%yTRDE6cIVhDiLhO&+gDnZYu%f4d(DxrH-coN(zZX@
zrZtT%XZ5-DtF}McroGJUM*YGgZ#Qh-75H`9ov&}cB^55*)^OOTkCSg>k#I;C_l=cI
zO|n`GZmeT!%hlr85X!2!ZH2<2G|?kBmNc<QhfYXpo>bh`+|{^U>UF5Y20vDj?9c-n
z+*ldIRyTZ4NA1ikdot%?gAn_T^+y!HX%@EZ^^!^M7gE1bzxIe`S!!V+=lX_rFP{$z
zrxP@v&F$ghShup_(JHPV4Q{M^<W?&jQ5ALBv7$*rd`eB=o$#c#YA=}w>x4f4T6aV+
zPrI-u+Urcam)D#}ZmR#b#yZyI{)>M)BcWd?_)Tb1Tdmib^NU5g<)2wBIKsj{b;hF!
zLdG&-j~LvSMEqepm|d@`R<ZbqNdD9r59bQ8SLh#o^!k_llo<~e3k8?_GPg{7vE~Tl
zE{}~r9Jj9X^10yKskuwr(3xMA??Q0b(c=%QH4Po}RhPY3eB@8n#83MB*#7%;9c8~0
zuVHvlTXk8*$|F4Ir&=5c))bqsSHI{8OaIgvk0uHk+k`w~;QzPvPVI{9{`#Atk@tV;
zKhoMWKR2{T^4-7eol>Irr#`#6V=+_HZLI}6mNK=?)_NeEEZLF0{r!H;hW@po>_`1X
z4c-JeHoT2ISD$q=ETv?&(C5sJLcuwKNo{AnWFG7i>9&arPHI~1<x}X|DXHhZafSc%
zDKq#Zrp$QQB*bo^e{|8mIl)Oyo4tJM3*9;;{j>`kf`fEko%d9IwmDh#8MBLOn#Hms
zjD21kSJ<m>{uS6$S><)+a&XeTc|xDREQz=>U)js2AfmIfdf5>k_Ng=cw}8a{diiYd
z@2sp|eWd2qvLiJ!KIT8&I>CN>?u#2zv744pb-mGZ`KG8&-I|b?y4j%)8$4P6FoiJI
z%imnl5P#`^n4At*z#qj6vQmmSRtk2-N+sS{JCWhCsFL3MOew_~s|33=r4nbXnaD6%
zL`m;Yr<CH11%h24r4l8UPh?0IRa$jKqF12j8plzMUW1-19u0zAf>V=sxN)>)wj`}^
zlW5COIgrrWk!9qe%))HyWayyG^Vd{p!F(r|`qrB(1e<uJ7DlWWY%7)G+z_U?=+&Bw
z90#*{1J+#PIPBHS60uO=<6*(mrcN7tltsKv4{dN!W)#zQ`O|S*saHVf3dd2VUV}5&
zJsK*DmWqg`uH)i(wxS_v8<#}dN`(VHmF7q9r_Np|Xjk`Jd}@Hq1&+gYy(~AD3rxJf
zSL&g%Z*P6Tn`<10^?F%utP}{`Sf0_6u-i>zTV_k*YB#2wz>XC+W}6<0_U{eIxx#Un
zt(PTYy+Gi`>zOSHYuz-iWwa!2b^9UUrno36{yN9}>lrQnIVOkF&7>X%OSg7()p{zk
zh?_bUIx6!#Hx+s?$I0cW-D<%mW2uD}YX#ear8o<M6c^Pe&A-5Lu&_5k<}%0Oz}^p$
zogigv1e=_t7S32B*ybt4Y49<AiI=9{b51P<iS<lfg<1&`tD6{-pWHPF+~}U&k}$<h
z!!4^NvBiyvC%j|Djl(8R1|iBK&L)QpB9s}~G+m|~ap)D`xxkVC-t^Gz>fR6E;uIGp
z>0gKN>%jubd-j5~cAG#IDDT+|k{4Cd`WDmsK`dT*&&lf?`JYV>N!PY^blEy9vs^ZH
zGW1pE2{si<=yGy7I`8j#EyWp21-oWSCC*qVXy+HwvEs&MlS9Wlr5-+Z>HSc+$nA$k
zpyHw=|0^8w>RB!Q!ln?p`Xf1M5h^wh4YeLDb`kyHxQOeAL?CNThsJv~0nzFmZa)M9
zl|^Qo9NG}5%xI?J67uG&lw!nk!LF@Ri4lt@GOPwUQL9&A%@vNLT)hT5*F73ixdc=9
zgebFkn>cNZQ0D125lUF-<Z?7_fnd{5sf9C^3%2c);xuqqT$Hqb@@n6D1+8z<y#aeJ
zavaX?WvN&y5V$cutK~tfo5sBCmWMOlm~28l3PnA@J%EoM$~^q0LJu166n7@=ThG)~
zt+imsYNj@8Esla{wnZ)ZD;pjx<NBfEwJu{(Mf-{d|Ai?ET;&tEez174*8E$-WLF!+
zIN$c9!<6iJQGqXkjIQ~j2K8Tp92@2t3FM~oiwcy4GrF3K8kB@OHs~1%oJ~m=6(|W}
zJnFu4f~)jTM^?sr|Gj_8P5x3`S-L3D`uG~AgOR%(3Xka-%-P1x=(q9HXZcM5F?TIq
z25|UUh;%*XYkfGwnX}-^s*YvfJDe3I-UVp1S&FnR<WoH$uv%%>i-UZv2@~p_ISnqX
z>PY+fKmE<xdn(Vjn%tk=T$)yOukGc#m$tm=4`$w;a8vwlwYp1KYJH^SPuDcDIrnzI
zj@L{BgJ<h!%$)hrgKx|9X>-KChWz@wR`v8G9bHepY87V|H-jUG3fe3rmZ`4#E^$hr
z^0tA?J$s&s=98wa;7e6-*5OI$=&k?9Q+UDOJ4F8SbVa6GiKK<*&N+X!d^vKEUpG+p
zQh6IkO>#%;dY+9RTNU~2vO8bxwKH@{nETBuw0_$(MYC%LM-FD`2FBjiYU5aw($Ol)
zvvK1bMZRe-cWGUf)w#;ts9KefxKZv##1eKsu~(Z!*K+D6bR4K@i<og-K)P7+Q9@t+
zv=y)CpA~3~<k@I2S<x)b;K;!e-N3sKP1-noQaf5Tc{Uo(ROD03?0n^<Zsd}%da=6L
zHiIL_(%MeU*!AT@a?c5#HJ6zs6JIy-Z29Wo_NhuC{gu^%b#;^FINn@g=HC6~z_C2r
zf(`EMS5|Ba=V$r$va$7VRl?&2ISY#w%vmcem(;T}wSPTuNYHkIZNHpF#6sq*Kanfh
znO1)}a45@m!$x0znVjp4reEG%V3ss~(a0nD<-jom+X90S_A4ulUHEl)t}#nDe>rf_
zK{nv=DF)jK4|m9&@HnVx_cr9Ob>qIJ>f0Bo?@ZYsYCY}qq)Wl4TOaeN9cOLSEIfGV
z%8rEOsF(?{^{FuhhRS*sGbF`p&UA4zrX6n;C{D86ZeW#`kZNGA$8n~cTkP3!R%z}>
zO)@VYHFdid9z6VL$Ae_g7>*4ldMy!Rr+4-m8ZuiqhcA8Jz|}NI|2Qjm{-dVe?S%&q
z?%wg>QEkkJ4~lv}en^Nj)pT(y+D)Igr-Q{(wd3iXlMlSl9IhAqAtkO;)6H$R?>MVu
z{4rK>`^Qb~_dZ_!^+H%XQ2cSyak*p1`)YkUWZ7p(So0aK{36|yS6aGv)`jI;^4IZw
z-tp!9|Am+T&paEo_2xOrH$S(3YCLf7RAX!HRA%Yl-Rw7?{o;{Zz5R<gAB$WlpN`EM
znHv>B76pqw*J!CM;A621ujkXLSt)blN0d#+xy^6=pE6s13g=5XA8k1yF|Xu;%A(Ia
z-F{NC96W1fPDEamPt_FRt1>;Ux8}qmV_gk3!MT}kPY)dWa;mW>^`DCNx`GR<LwT?E
zE6viMv$lLnQc1$Y^b*C3jlJ^!m-)%ce#x5pxkstn&vwxamy%bVQ<=FZYq8gxJ#$~)
z$5-WgewNLj%m44btj}JNc`o7ae(`^kCbv9_FA56y<2}vqqrT)9@&82|SN)jYKK1DS
znm50{|G)9_|D^h_t1>73eYK>1wam$!P}#^C0i`L)HCHD#7lyj)9Sf7Kln5?;lKf@=
zN$w!`tvkOx+m*wn{$E0v#qTI9_wvV0y~Xu~2M^xd@!*k9%!dv7dOvRbcegwf(&DsN
z?w7EjZp_j}&B7sH3jX^4_h0_sxzks2&X44m`IfC(|8>=UpYBiH5cKc%!AGC&>rI>T
z|J#Nwf429h*8f>Q_usPOhaWe_eLZ`z+@k8K^la<zSvkkH-v3*>eE(Iao8}28-~U^9
zxjryt?Z<%n=d1VsKJHh4JmKlSEpPPFRc6M$)h+yfI<>rO>hDdPcJnODE&ApS=6%|<
zo2Om3=o>ef*R^RkPeyFfxBI8wiD-Y1O)Zz4WcB2Y_-PQ&Hnm(b<kyoo;b6Ji)N)C&
zswZ#C!E(H*-xo}u)1ZF7Zv7j-jYakG@n!tgo|B*4l%H}}GwfY<eR$3Wr@ek!5C3eq
zlE4|VZnbxMSaI&H1o^4w)*L)ln|d?WZ_3M^=cj=cpL$m`$zA%*%T3ltPu0fWj4e~x
za&Vr`?%*|d%%|Rc>e6j?V=>sV(>Cp%qjiz3dKJjZ`*CH{C(GVSI6wWaW?22Z_EYbQ
zb~#DE*$6hI{$}hsmyBlHz_R5LJKyW>KJCetyCEIykGo6Rw3mN)a_6}h?=**}yEd1k
zeGOsE-EiDH{aV7)x*c!ys%~yMD5nXsJ$$N>UbINzzW7rXji*YJPhNfLdi&PgN!pF4
zN{dg1p4)ITGJkpuXUMu}@A`Fd`nQhdO$G68d#$U}zqK!K8i-@<wa!uh*0#JUAP&3t
zx<vh3>(+ravDc|9Te)7f?w|MP_x$o-{70MPZq5p`v<lO{yHuTTRe!RmNaJxWCvCZu
zg6*$79{3#%6%o1}z1F4k?MjujhmNe#tnIN^y`F5?{7B*P*?O*R+<doJPd$+see~eL
z#av}ve9^0>o=7Y{dhqCFt}<>uX^@=r(SwKIo@(rmp4!atU5j1u-T&P^`xZB=g}(d#
zFQinX;Jbg(yAZoG4`y<`&^q4QvnJRvX{yB2)W`CxZCU3Xv+2INLG@YWn$ERZT0ug(
zcUGR8*n9hGJKwu-`CauD0ktn49-aSh)8+Y7`MICp`Ez`R*xaJvubQ=c)|7qb&XZns
z_fOO9Us>B3)~~wT)O7n+*7gMtwk^A*dt`Rj_6-lV?YgC_vt#YA<mG<<tDm!(uACYB
zD>z}=FTOcdPj*))+jW1g{}a1>|GPWaCT*>{TDmeb@0{7!RoO4*oZps~+PrpY{hF84
zYqHHP&)+&|)cAJm|0^%c3&ZZ4UcYnU#sqDZJ!`{CPaRpXQFr?E&V=l>ucmCZ5Z^oJ
z6~CI^hA;fLK2NV;`+Sy-iTezjnAj}d933O+6P=wOm2`9ri=>Tv?i}<{E;l@V!uE_=
zk$iEF&4CzYe#7_tOBk;{kIs7a`m<!t!rs;OCs@ojpE;y9?dFXWM(olN&ys9U+pI~R
z*}qkXd&9DC`%8Xl9}2k~zgG2YujHDfjyY`C|HUr<zeZV7=fQ*nHGGjXjw?zRTRutP
zYmYgT_DOH~Tb_xNE7~j~jtPi+OFl~Ebgt1!^JsA8;oKPDUnHsXzj+?Vnjg*EDvCBu
zGb{2)5Uy|6$$2)RS68NJ!&GOzx_@!=%el2@uHLk<OOY?k_{dSIwu*?;0`jjVgc59>
zopOF0zF+ps+i8=L!qu?&ef!j_vSW9p7w-MGzx-Fb#fficwq3hwa{c<2t0|v<#>mzF
zb8y?b$oEU}m+X=d?MH_eRBZkB|LvFft$TFu-`H7f)~~%)t6r)vvLvs2PV{Eq9X{{v
zJr^JRCDhKMcPVdGhmpleqefw!%>{E(&H6T;IT$!?r^TVzrdDp5O&_J(W#&Ae&|NI^
zsbHG3-MIpd1DSlS7Ov5)F0YvPYfU@7Kws8v^^OC*Y{JtIZkTwx;=+Pu@h#%Bo+QRT
zyQMYr_43m(Gr8|vnY-sqz3v^;B1_Lpsq5Cx*y+5L#q4R3?pD*%{V4?#+L_oZG7|0g
zo{V}G6`HzoyV+agfX2Nh>(*Z2*>_E1!vS&OZ69v7<klD^vdjD4INC1tZ<o#+!<enT
zciCPgOmE!vB6opYomA1&$L)=5Kk^nR?%Q~DVz6<~zb)G96B-$}+zo%o<0e(V^MHo(
zc7t0da(fJm*pqwj9JRRoG*TktkQv*|4b2DD-XwK!R!d|ZvTK`J(C|=ACh3U$iQfB{
zmZoP%`$ku5AG>^F#nP3Uix++RK5Lswpxx~SFLq1KT^#UY?X^G=+4~u?swW?~Omk#9
zzG;^Uw`W?x4F^`a?2PMDp?0f^tJj{)?X2h8V-&!x&wJ$<H*@KSm||nGj1ptN3n5vO
z+&)*5M9p1UKl#kA5ahNoP2~3Xxp6G{ZK>v*+;vBlr>(kFm$86}pXbV<TIQ{V=N)A4
zm<EWK^ISQsBPAfRlB+P=FxZ$utRiG`@Xb|SSw*urqi5tDGCMbOL-S^}H;;Nat1Z^P
z4CJo2xPMD+xrlLwhoGzG&b*&hKGzS(Ij%i%#p`_L*8giS-&arct@Z8ZdUdsGyUsQf
z;YQ7px=98n6G~R~%FGPP)#>rsy3tTc_q3SW@|ibgOi6o`kTk2an=?~Q?%48~KP0B7
z2_@vr^6W4)xp(sWirjpSmMw>0z7@TAh-2zO&0Acncht|BBDr(UjK7YP58j%Wd-K?}
zS<8-{JA1QAX3^_utdncZj1C^OpOBfg&poy_F7D9!*3}Zrvfo`=<h^dCoU`PODXV|8
z{(k8z;aDnuGCH+m-3JxDIb{a1rRxGLqY@@ubNClJJ9}OJ*?(PocRdQM5A90tUsrJ@
ze(9r|Yjx-8nf^R>ZC8EF^>wC_Z@e}N+?bh^nCRZs+WWTt!qm_dMfRiJY+3~e9d!Ss
zEigOxdZkuUWHa+tQ;Cbmc-SOXIMg$5y>Q+k_si03ZPi;--Y&c6eP8{kQ$p$7n}-fX
z-E-;_{Umm1mzCM{ojhj$=PhTN&2#0D8}rtU55M0^lQ#XcY*t?6n)R2z$kZDhS$X>D
z>se0)w*339rnkZGbaAZoZ0Xyto}S$qHrw`Uh-mEL3ilAR*}kQ_>J+bU-MTDv=lZle
zyRZM56k2ojy{Ez4_Y1GRHHtW?*eJSReRZI#%Ho`9oH9u>Tbp$@7dE8wecOEIsNJ;6
z8>fxrt0Tk`%uhSLdDM00P|>-wrxMgpv(%SuICH3M+RYosjM$?ipCxghw(&`x*}qbU
z+n_a7QLWH5Em`t;TDrl^RHn;2Rb+LZPUu#Z`Ltn%vz=I>#(_Y-R*OZuwMAd4xu5#Q
zlP0nAfKTqFuf3b>Z>)K}_Q{{cdyBoRgR{k=OYY{>I&X9LH|2PH;nJ~d({k+QAJmEU
z4_(4kznS;SAsObahTp%>id(a9WqR)UX|t|{y;*fRHb2;Z=Ht7&{`oITirLbBaBb^>
z7Y=jP)@VNd6;mwsc}wZVE5WR5v($F`ho{_JmJ_>J=J$pN-)9L=$$0o$xVp4*h1tYF
z|G8;BujZ^hdHq?{7Bkar3DI-aO5RMGn%uHxTkHPxg$HWo>Z2+aNK11YWOY`oSiODO
zv~{ski#p1h_3jJmg`Jm*{p!)Ytm%K^vHdHb)UWU}zCVd==e1+cwmsWcGkJ^UqSXqS
z!5NJ1rAzOdN+obN<{I~1{ad=+-hY<K;~jd%XLp)aKAUw*EpnrN$<lB`fr?DC4IfVB
zBs|`_PC4V<)u7;h^Ig*Qdec|y#(d+9HJ><n^0#G7_L0k9#uu**Ts!AdXjI6fQr@}7
z7P*I*(tU0m3KiZ~*n0Hh*<XIwXDREv{%G`CI`*2X*lE4UbKE`=emp)A=XiV~{CG=p
zSMCygpU&G=e#kMFUqEupmE_%ezxo!;`S<MhlP7N{uUjgr`)TJboo(0t_WRZU&oJod
z`v3X;|M2Di(+srczCHbZ=aG>0QF|8k?)q(ZJ!;A7Ez?Eb_L*L}@=W^mhtTWhqB>Ep
zqCWawUoT#;de+xDSMMpR>J^+jp}Xhm*<D<F!r6@fw=QS8E?trJa9iW89rqW!TpRVl
zZ#%<fq1Vsvy)IhwjboAALucKa|EGtrY0H1DcX%*4&vx-r??l4~oAZt?<XF6|#4Ehk
zm3ih({a;6(9?-e{JN#}^APe{PPrm27&nKi7_+2+U74u-v#M8U)p2&?cC}I~ctu6PS
zo^<*Dy6BXgmy4JhKZ(ZttDV(*U}}i;P1)tQZtR$r_4vWAZ(X~tiM)E;p4Yn2W%0Fw
z;MeoBSDiGk-}d#0|JwR}+d};gaHqdm`&w>lE029)^z0oif$kUFuk(wpbcko(dcj|O
z$2OP8pJVS{n7cl6a-(zo-04nC%oZt$3-r{vTv;pJ%X_=r_#fO^GF$j4yR6dl=v{kG
z{hB&&d&&30E9aj}MQz@nR^VyADTIgR+^b`6E^T@zDaSSE*}~TP$-Dk7-kQFdr*PI4
zf7X(@a}Ql)oqxesUo>>T{{x%FllH8BJzZp~tjWID?|xsKw|1%F^LgvywTdOzycWt2
z&)u<4UG9Q=i%5^0blr;bkTZvre{uNjb64E=-$6Sr_st3w`C$FlD^p%{%B`Hcce#vE
z#W6+h^Oh-(S=(jyJeklPzQv|qMC;9!y~|i5@4Pwnz%p0DRZYJkRczj-GslFdSyr4e
z67SE*o@Blzhv#VQZ}Tt9@^atEgsxe2AajfM#Kfq%w<PABQ*4wheZqLq>}#Z?<Rt@_
zglT3wU+kQJR7NP`kRrFYWlFMSyNu5B3EiSHpEgW#wqq;QIFP{CDsgDa<kp)!8|w|*
z75SbS9XV>#Rx#tefIPQ^P=cbflg=d}QAxhkjspg55fbMFq?IKeC8)^$R9f_CX;jZ5
z<JN75#EKJ3HJdMLiZLYz>FD(MZrotl*QVggEqS^3`p)ay%&(Z6nYhSbJJ2G+-Poxq
zCZ=}L(mN$kDp&oo?<FlggU)wM+gyJ~XVojN-!RK`=DOuad|8$)nHe!-R$9_y=DW{V
z&p6@d=jRio^MptB=%GWiMYyH)U#^QgsC3J>CUF7NdEO8+&0l*wXWo+VmEWHkY;Dck
zd$xCN?1p~3^P-7cU$-nYO$q5t$oAD{yZ%D<YM<b*%f~m}TGaZI=U1V}u@k#4yJ|E?
zf7!0*TCY~nnOc-|$jDMLab~l$PVvVnsdDo+o;e;pjkV(VCV}@alS^6C9;!W0Oa0KB
z%5*<6?V<YVoIeROo7d|Ue{4;av)g>;c=j~bildtZl6QoqJ>~<I)?L|++YWf>d9r`s
z!BzBeg0tMbf)mG+`B*ECEEM>@HGJCHvfP(Vg1h_{eW*{oTf@(Dy;NwbVd@M{ZXUx#
z?q;7G#~gBJdfy4^XN<c3sm@<McdE;8+r6cCC%aq}dYAiaf)7hKw|co`Ow7Zo1=4pP
z&2}`;I3YW8idpkI<MfQ_2R**0#a#UQ{psFCr*~~!w&V2V^Vd#j-m|rOn5&)tdCrBY
zKAE$hTkz}3Tt0a0S-oEG)}l2QQO?ia=i2VNW@2`I>+|TVL6-$LHy%jb+}P@z#w^W#
zmW_{Z25-z8bLom3%e9-=nf^SNm9=?#J5zN<Mq)W{WN&V8wR=h2-LN~`Z-y?;WZl^M
zHn}8qamqrmZS^m1Wn4Y<?VOab_>IITV%uI<&G~fb8_%u{v0qnD&n|gczw4E`{&t=#
z$82|PxO;6etNhDDVbQ5qtD<=$=j3&Vt=;~r@xWWDO|w=yd~MUod%0@QmQA(6*RQM!
z-**4?tM!{U)jH3wNKE~`YhBT*ux;yK`mfuyB7>=a^{%*`OT9(J;x4Mo*{)b(^e-&>
z%F@)Ar*GAmZ`)Eb^}{5ycrLNM`kU^3s$Zr5Vpa@GYWI=+TT<N_(c6}8{rG?K<w>7c
znlU{O%GH_UyLDrs+SOwRGjmN%wmo}tb>5}8+|0FqmaMDqSUdIn^|0W~wKa{~)QUEh
ziv0fkGmW{iGL4zL_$-^*vl+ZHXVzWhIV#$G>{;H7rMm4kx!KNo@zX<3|1#eCG$QKS
z{KN%J^_O|C95Q*^JL&wiu7Xt)PfKo?7(FBBiJ6+@&gRZvn>33;tAtAg!xEE|_V9_C
zsU0iyNZ@YQ$+<Q)wKDlpgPxjJzE932)~>0`>VjV1xwj_mxulG7K;vSztcM+qw=C9l
ze~#L`e_Q%uah|*@Pj|Jjn$6hI`c*9_c`m1QMD`)QYcuLMv|rt|tE(*gO7Mf#B~$O*
z+PiV5aah)6-TduqE-Z__m3MR5><1#-qo)<m3;Z3iOxn!rwr+6A>B!LAy56PR1Fx2y
z7K(ke^zF2xyX5xlxVy>YeZ-Bt$cV*prXdZj=Rd{0`y@X1U-H&T2k*4)nAj@HdGy$k
zmPZLuPKAcb3oB;K45+C;<E5dPc3kAE+YjxHI;+C<lH3oSIJBoF>2ZZqVS&-Y9Tt-V
zZ2n9OsEP5>U^G*mB5+$;OLWrfTc+3Vtln0fXcyU?7^}5*^O3IV%UQR~_ME<7@~fLA
zZ{_-<9ePu@g<tx-$#wM%)#iz8S_Y>abbHJKq?38B9K4}7HEs5iS6Rm<cGvgrRm!MM
zXBLY{KO}Z-)`nhFwKoY{Id?~-9+C^2wV_{huJ+zw!RhyA8Smq6W{E3~6T4S%;#f4F
zWyNtt@%NTb9x}Jr)VztAxoXP9oG(9?Fu%I4H2<r^1Mlaj<h)EzBm|1v3Pzj};P#eC
zO4f9i(Mj&;ej2-F=C%)^^`>U~UN$z@zpr}ms9mn&$4Yj)s@KJ$3;N|+UaV%_$@4Ai
z{6yg!i`R;N{WZIgM^NIl0C%!PQnHD&Oixlr_rza5(Kptzo0Yw6Y}TxLkhDpzV#X47
zJGEDi4V+a1Nj1+?#N*}nen@Lwx9!T0eLl}G*mh5i)jF>y_T^meE7Rhm@iV_?<z}xx
zs#U*uqK3rUcR`&(UT$-}?Ze^^J2!5Po#Y(*{L;^jeW^^ZO>YLRKivJaw)x_U8Q*)C
zIKREMQ<qEr!`4Peruh~r4_VuD_B@%;94=Gzah9{(y@C_Rqxo1XjxQ8=zdG((ki#ok
z4&JRoW+y$hpG`a$`|(Bj++yqSWrqqw=J$%_m`!X8s5hFhwtTag*t9bS?RU7jwp~f?
zzP9?uv)0I4JfaCDhQ_>Xff2R~yDvH<EV_B%kjkYtZnmty$wr-ZD#BAY&0D6OEjaaS
zNbEV~5S`bWyP7(AQX>7Y9!iSzzdm*Agj8GK*P%MEC2#SBPW_tG>=<(Ga;a;ip}>qp
zvkeBp;nx-gT-#jlA#+P;Z|35yOV#Ed&AGc&^ptY*`_T95UJi}-=9+G}()jh^LWlk5
zX5Cr!J@&-GqP}-tN@@n-)2}m~ez53UkD$no1J2y(6&a63_*2gxbr#pZxq8ZEoo`yX
zXVPajv+5LYoRC^%bnMyD<CW7`EzWKdm~N4lS}-%UNbHmmcX(vlW1afbGIJLHb$!UI
zCAsIpgagHVkrl@jrTs0RJm74Pv3WM3-CgF>#|_3i%TMRGolMx*$fctoyjE-0K}KOQ
zi;P2Jd^0!n9#(tvpo4R_Mb-{IZf@Qy$CQ{$H{5WD)iDg<_vXEFoP(Kn!+8hiH`gyd
zQr;zLV-V2zdkeQ#z}Aj}{^l(E`Y(F?tnWTtIFu`QYsXP%cJrOtowq)7GK*SdE)eUJ
zUeTM(_A0?razXuC{ZEGuA2F|JKJ>b9-Q<<iqfQ5g7W7VfB=B>iqd$wCNyxW(t2)o*
zT)&j>Y*ym8S@4F(sztAkdp|#!5cT!;(qfw_k5&0|=csMSivKCXpZfRHg+q~Yw|=ax
z@2)bhU|i*%bmh>qr#Y!>jW6ZItcm*9qat1{B{ZqM*7M}?#w8*T0)i$zPBfd=ol*HU
z&_+DV^s84;QGu@J&K(n{*xd2*vU{hpl%afbP)D_>XKiehZdm-PDG`CE9;944bLi=*
zP%#zh-IFK1IXih$H}}*<dLDLqYLodl*lV_0%%~52zhB?`)n+G2p3IJ?X1`W^S?QH2
zZYrJL@oXXg$FCRXXE3q}=pH%HR*|+yt9HIc=c>zzoavz}l{=p$nOwB7QGWTeV)BJ6
z=5F?az7M1?=G3Up>ehGp{9$H>ot>J=*WA_ool+Y^756B#@!b<VdpLU0&kEly4C_0@
z9;G@3&zG*P_cLi+-pOO7)!Zzkx^|z<uNDhy3D-9<JqokhAG&ZGOvzAWQ=iqd+NfBu
zFzKu6r8>^^uY#BMxfEZVogr5@=}XNYg{Y4AoW8Z&zL+oKoZ~-b!E&S8ITBG8?k4Q%
zozEVYI0eV6+0L@)T<=#jUn0uF$%MVV<Jm)oi%d2uQ621@^}e+ezL;H%xuY_x|FsKu
zq3Obv{NeJxSAsMyTl<*Evv;a3-e2*3rQNGN=84O?vWhRAIks-elZ5o3PaAbLS#Qjm
z;*`@l-{@D{*Q*uDVNq=9oeNvFT{dqtw>#o8f8#!vH!*LN%jEO~b~8Nh6nhlo6g+#*
z@=i5&?nRasjwbxaJH+Y}{G5XSzWe7g@2dD*eu1;cN*=v_ps=ynaO#c98BQkhlRL!{
zgf2Sycx1d{o*>=%EXnp_%^KCH22&UAjaCa+uKN)%y`s5u*Q1|DE*vUabTh)ugx$MS
zEj`oa^M)B2c4C6M2b>nQMoh?f8aTanm0jM7U2o31OkV0`!Y<vR<~=JzuKt>!?=-uM
zDKCX}kF5Gtv23wkb@-ux(woOS(;jnNl#x-J)$Qyud9U8Znm5W(4SQX<H>xjOsUPXD
zJnO)cBS&4Gj}|3mB&I)#3%bAgo}&r-?apUOGM?v;M=WBMn0&%#fswI(;)-KaX1Wv`
zG-v3g37$Qivxs%ZY>UDbem0hgE9^S!_s+=Rn<nUc%)sTdVN(X9+qL6v?4mV(o?cAB
z!t=DsXHQBK+jcaaX;t{0xm$`h*lX^zm@&mh#@EZP?`U!2>cs5qJ>o%8Z2Ye#wHf6f
zxp}N?k>w51e7kK@+scHj`M;mEthu8a)sXGNU8ucqrNINai!AGe&mQ7kbaRKN3H$cW
zXZ4TxF4oj2Mm4PG^fhg~+gTL&^{#cG-l{|21+3X?JLh)SxqQAbKf{hqK=**dqE-o!
z{mpMOUVYLjRD2b@*IDRXR!)t_8zvjYsE*~FzP$PR9chnMFUssun$>RZ^7*6L!kwE6
zYgA?(Sib8AtGn~fH5GfZRxiv^yqKe-Fsu2eOR-^ne}>#MAzwDXj}7<x+E#ROI-9zj
zRhZS&(eW&q>mrknT2zN;r?C6s<0o1cN@*PvJbN%=(M}0x6ZyrRVhJHm+*h`!UzBt`
zeB3W7Bhlhw4v+Gz=7lbk4NWfEyitv6xa-2bQF~$Qrrfs*vksIkI>|RB!)%+-*@I$>
zcHZzY;h+78yWT15XQFeEP{jNXA*#D{GSd=cgEnn6)3l73JSAq0pO;*i>QV;nNkJV?
zKlWHk&iudBML0qze}UIz=8!%7MOPTM&ak*0;bOvmzGHp&a+l8^S~Be9gmn)nFKVrr
zap4L>>HG|)?~mrLyJWP(kw;giyPwPN%L>hlPh5I4imoWVtlUxWYa+kBL+nwSQ}D;L
zrHeRYykk5>9?ns26I&;A_L%4*%N;%@;?p~xJyg0_6QdB-aKA(BQH|2&i)Sy|Urf1j
zx6tb0n!J9+Bj*k#EZQmIV#3edDVF5m6s%qGw?plMd7-__<zweNc~%u}<uBa!aq^9m
zCw&&3T#@;>Jn8vk>5EP^p7j}7YyP^JH1@k}{?M3Vc2D^1LF+|3E4)o4xdqN1&tAk@
zG4;X~r~Ac=IKTLbl$fZ@S8X$DP@Kh+(DCen_C*^|>0`|4>wE8=(Ak5hTv%6^?(21#
zY<#lf`D&?a9W66=ZJFt5Ed6-$q-IXflgGC#5lN_u2|Alp79?aLlwTRhU{<eVm)j}j
z73(zVt%~N!v`JH9_V{_(%~M~>&_6k-!@baR>x6|LYgV!uS;VOa{=PBMr1*lm=FT58
zed5hpmOOb}9mMrPSF`np%=9Zp2e18*ysC9@+LDyS(x6QnjWjK1%$pL^1BycxReA5p
zK@F~+nn(WZ5IJ$@yr&lv_ajx=*4BFU$!SIb{$6I&R8-j)Pny*J(evc-mL(zyNkLqN
zO7k{mTurR0*&ESXsXcj8bExOZqq~+oNpueSWT>XeDlvPCQ%|;ry~(8;cb5H2{mg4x
zdh>)sS#mF%PQkK`e~v6<OE0)_f|XBlXKS-t-s6Ue);IE>7#%S$l6)t<v)|TDZ#kP=
zz20)pWsjylY&jXbSN8r1)-s8mt#94(l4nn}u1I>KCnvqL-QDfo<AoF1D-xb4zMI{3
z{g~$D-E$AxDvR&Pej@fxVrTDdw|5VwP29aB^NC!Z#LoWLZgLN1O>Ezhr4`4n?)%P<
zExae@!KR7PJ5rvQ=}GNu=5~Ac$Xxk*!Mzi;bBsmn`@4nJ-aOpF`P(Ax5M$r0f)3^0
zr}9PtcUIfo4!LaHeDtLoQ@7Or^yT$lhLaSN+1Ie7l^i&A=nG%O%^BAiwb_HM6P_k{
zv9Gc5dDk$t-&1x2*M_akVT#+9giq)xy)=1}^2uj>5u7=%8m6}P%5K=SaUpXU-?j1s
zUTiM18$NB=##|p}cdg`r)`3@i5v>tZ;~dSzmZ+;9Uo=&AgHXgpMs4oX)(NS}k?d<^
zblx^h?N*iD@X25ebC_LQ`2np19efe35;Nl*`JOFTS9RYUD7!&O;x3~$cd}JNYH|+y
z8kwHg4O6>6g7hq84zp8RCcdh>@}-;Hvt`mhXH3o$NhsSDSl<!Z`!sxYM$e-5mGUK?
zhJripFa5(~m^|s=))48&)ho@!<d)sKQ86X!@q@xuz1`|7&E)1SyY-`DT9)vG%2mD{
z;+dg|596YYik?)@%u@cKb@kYx*CEo<`9ZlddwjPRe$=~q?C|dpX?gjeT&5bIt(+e<
z*J|b5@%47=E1Vyk%d)S2$*qVVv$B#ON3ZH_e!tR8uWre$3W-}Y(jF&!tnzI*xYA6K
zZRyuUzIRJfBm2^rFZ-z;-^94mOzhY4TQ^=z&w9Kde^qa{-AXgLs^zzS{Fr%dR_q-=
z<BtW}XOA2|YciR^{F0AT%=$l08#S-*+~~J*?cOZz^FPAlmPw?4V*4S^X8EK3kfQi}
z%O?+o+f(chFJw5pL#9n4s`6mHsZbVkg~4eSwvA_;?w>j@D}2VS{@VG2{imc$gw7n@
zS^scG`)3{Q!p6ib{vT4OS@QmP{|vYGoOaOn+QozB&y=p*d!OF6vi6R<&#Wx_a_z}8
zGvdEnzRpOrKAj_zFtfQ?r?{{qRjzO2nfl`%%bs1W`yer`RpPY4*N&sy&uU&pc2D<N
z==%HA`+qZww|waGy>cvjnq|ddBk}ymXAfmh*X&7;Y%qUg+EQ`aVC&r<^HQ1Q|3$`U
zT%7mB|Jubv?$d7mIAX*;Kl0fl?$b6ksWbcYb+|vwO;xNj@X4L=Eltbm?VN9kGv9DW
zsvS?6R&V*@ypcF_#Ir<&(=|LvGkK~b#F97;U-5e*y6nuAX>UT88M;<)E{|~Mz8;bG
z*y^;*orIZlA`NTT-@3i`<S*78M>h#<mt6L(;=Pf$yzQm$Eu~XFYfihl<Gc|&f5fv#
zil=RCk|G<9^8{NT_K#Hi(32`QZ}XXB+|w*8P8x~(&pZ`cUwwRi#D0eLkzz@DmtS2C
zZu=aewtnxYXA@OUCuqLTNVGehqmwYRxmBn5;~Y(it#kKweEqy}Mk?bp1E1LYQMCfA
z<R(NPQ)EAF`6P+8-Db^`3H_lmTpMOND_(n{e<o<-2dinEYtm=7^6E_9xWUe^uP%Sv
z#IKY8+P@Z05`Mfq>DJkLo#G9xsd{aj&Kx$F_EX~ACI)4RWlj$#>Ih3L?SCb5Izi}l
zMxx2-oSwv)%^P)!4f|5%j&0UCz%s3M#t8$_cYbLz4~Q&FSX59h_Bta`;qt7I9o8MG
ze9Jav?wWDTi2rh=SOVW^r!#3YcI_+t#kp?P{`6nJV?*x;^Ho+HQj{0oSzLdz>S;j7
z$6t{?A=d3*)q|%RN6lZfYIdMZkztdwTwC#p<Ar+CihVCm+szVvb7A+&1NHXpGH)JF
z=-w;yX+yiSU0Jcl0X@Ff8!O$lR^4B=|JjS3C!*)f?maFuDNv%_#^%9<{%RSnf_7&k
zldI3|xc1gx-o5g~Z>x5hn5PrEwPijP)X#Lb(<{_C;A$K6@M9$3%^jx|*}q#pd8E;9
zGv~pC{>d_27sBhiSF-E;emRkAIeURef<n6v&$>Mg+I=}Z4<|G)lqoXw=?}T^JGB0!
z)-&04^6HBwZ11=GuJ!2exuqG8+1q8lJQFJUIQjFZ4-=j3{0boxwZS_MS9yd~ovezj
zcYc1Tn|uBfjqG2pdrh^Q+jWF14j6Iojz~*R*Q+`m+_TlhH1wX=&NbSl)7UO%YpyqQ
zjnBTY@lmzr_UDVr177NEI(uVU_dT|$OLV{9n00ws%-5!q*}saz_a+ui*6e*DI$1hc
zCq-ahcan0}!dF?fdR2$kNFBQu{%`NeBdm22J6r4j#robk#-LnoaN$HOk5LhSbMKww
z0=G<FdB4|<cfTDok#kMz%vMvK%^PQ>@`Y_YbJS~EWyC=v`SeJ!2RymEzP6Uno_|f~
zYtiRm9zlzl-B#RQMmG*CHtv<#w4vYGXv?Ds&CD`Ig)>g>ZWZR)SU5+Kug}n>Zza#i
zf)+(PK0}oQ^^R>VH`c1}<Y_CrD%_YDyY=YexN^JM&P<yvQWDkLbIv@N(EL(n(q?tF
z_hN1aM~)S=S-dzdAYLo^Xd%CI&6hNfhWWl#LXV<@zZ5-U30&WQEo^?(@1IxJSxtWz
znX@pqa@)SOKkgor{Sr2PgPzn=xu=VKU#groH8&~CJAZwP>9bk&A1%J`xB6?Ibothe
zJ3BR_En25Y&;A%PyYt($U$eI9%**t<V!S$T$BKQ+W}basT<P;t%GPqt#?F0OpXcy-
zy2eOsin}1V{>1H1y>qS8KUa7bN7U6@#|3^rmwR?+P4x6j+;Z{DBRKY4ocrMVv=?8`
zRCs@mZ#c@c=d$JWbARWxGszd#UkuyJCwAP#=bcxRXsXg_-`X{AmEX#!_A+huml3jX
zmktw5E$B^Ux*nPKknMENos^l)(K^M2vv;#_KetSIY|dx7<A9>LxaE_FLhUs%&jK2>
zWw;7MuP<12kHf0-m_=yJgM`_g(H2=x%>1^!yp{6MIbp}*-H}mC^M97@nw_LrQ14&f
z7`k45?uy+9R+&$byAbPe)!U<AVrQ@LE^eK=mptEJ%HEuEdh3;IS59VEUq9p2_}ym9
zhlMkrXkO!#%`h#DT)Fm%TloFEQ+VC>D*s3}_Gh&-oy@nx>FFHP^aD(_zBiogg|}V2
z-y+LnkSNalGG<MWZjD*Y^r;7Pg~fIx9};_4KWjs8wc48p`xZLo)EEY^$Maq}YR>%i
z!(E5kKL!DkmnAYf?&bJCy>g_GN%o0$M1sxUrK=0r_+RYV)Wv<ryomdI&z)nj%H;*e
zPdtsdoU+4)`>{`We)1YlYl-ATdTz5fv<IraNnXOqUXikEg8=_*kNMXw!PXAsnO<ZI
zuCIvQ^=h(Q#;o8@E*p~o?tYW<@R?~JuC%buo4KL&xmwQSR!-}R%o96$kF&jc&}o(_
zdde;NfVQw$MeZT7dowolZdZGguxi<I-Gr}YUov$<O>OTwu%=0@nBy<CqBoN5Rf1Ws
za@Up*RzECf>8<`_^^+$rYgU~k_Z@>o?(4ocj)~R_mlZ@_zAsYn(DiF^W<|uTe3?ZP
z9j@j)F3>L2X_audP$}3l_0F?ElO_9#Ix0<+PJQRda$OddR${kyVNL(7Z*5KnTN|zg
zxNysQuuab}3@L2vcFTL1Ej&}v^WJ9N+c%<q94fcnXEO2Xqb5(g=pQXV(syaiejgY<
zfBN(f*$=hE>R)j=M3tO0y_zh@ytwj*`YkS{h(CPalVTrw##p=++I22=;U@2cL2DcT
ztTwwIv))eecVM{9tMy(VYc7cP|5_bl^M32m%S+Yn-&l3;L%eeHBN6V#?V4hnK6`E4
z@X_k%p@XYMxW)B@b!_%{Z2a(X4qufjcV)k=aX{m8wycMpji)BoA9av@v+y-T>Z_#n
zja4_&7ubD!y)r9l6RZB^Z4Wxk(l*?2U}ckB(Rz?AE4ic5O2TXT0`PRdSybE72o4_q
zjT;P_woi!N`6j_&()m=qX6Krd&sh2-SF}E6(^B8T_Ub`S_*-51QYl~4yPG7IzTW!O
zB5?ZY>!zn0Kg;kwTfO5zy^QYp(%hW9oYm81Z^z_*yYhDF3#Vh&i#DC?UADODNOX48
z)Za<R_Ew+Ok32g4!qOKt{97Yt9^~TVT(ji2o9mQ=deb=Hq|Iz)*4bP*15!XnO{<JJ
zZX|y@QY?Y}v{TO0t}}<S&iS%^cpa&>VNR;pv`uG@DNVDCIAA3HIr3Rzz1-=Vn1slN
z`w?P~Y{FB_t`+2!v86|-70gN%^V@jlnCCQ0i&IA8?h(%(Dx9u)lM>nR&sT=~;`&Za
zBX;Q>Dsy>$6?s}Q)kdT(G(Vm5=TB=gU)_c?N6V*G{y1hNA0H|9fcvyl&A(PnBXQ}S
zO2x)Mj;Oxms!5sI%CEEe<GfV9d-WU79A#N26~q5H@>#OXX{Mgk$PU98?av!JwT<+f
zFUCxocR*uWq{K-hY2_Vje%v@yD$lsBK;wwnF0K%VyD3xR`ybx=eAWEa!?GSdi3yuu
ze><o9)^}}W&(%+DIlj+#Wz?DYiK~iM6pER|iK}{c?^@?{iF4P(xJ%30G=6sOvJ7ac
z?_TNuh$m{7*#5%P5?iiriMXh_>_YC2O^zQ`u1DW6d85%Qt(zlNX4pHsM#^u>F=sp8
z<ui^c+wq!vJvN-*7VYymvwPox+qV~q%rjh`(J#im<bUz8{n6e}{x^Mme=X?C|0|1T
z252{jalYU3;<i`+yi=t&*8ke3ky-LiUH8{EjmnSpPx80CG~c!@<?~OTcmECrH&(M{
zJ>1uLt0G|mpPbZ+Zg;j<4>vacs`%BtZquwPvH6A-_ZPhupH+2g(ytY3pDmkrwCT@*
z2iFeye)qf0=e@)3`kbSi<4dOAys}w$b=Zllk741V;I$ER7CbzvKTCMZiYU1=54P&@
zUsYpTU(&m^cw4<%{Os2kZ(X_3G=0~xTdLa2wKnbC^S8B?X?<nJ!>jtUK8qzRJY2U(
z`d;dEHSd3o>z=5-3VLDi{<WUwp`){QAO9h4eP{QspzaU)zDGs!Ui<8F3*K>PQ?PN~
z%c8))3XgnaIYaC_cLZ)P2>q+^NNsat@7XkF_UJQgdfR64+T<8ZxYYC4@cp}*p<wXj
z`u=xco_jW@@m&3B`uvvE?Auvcvr``5TW4K-)FGk$=7B?gn;Uy$)0o+JpJmhgHiOsZ
zjj8mH8_T(4W>s&!a^<o4-KI#r@aPu}ZvR$w?kidwC@lYR^|{SkZ|q|KyGqif=;CHp
zUem2Vk6F#Ry7reCXbnT5+x8W)^#=lHORSJSdMakY{)y2qmY=PA`LIj(|Eb`{zqvMB
z3r;xX%4A<QxhnSMYU=W(>*8GY{&Bo3RO-EE{fo8!3oItp<SX)@_l%l<(A-ww$C}rh
zqj!l++r9sV)iR|XBOA}~ouG9L>wg`2y5nk4zr60OS=|W_qv~E&ZnQs<uxZ`XDLW6;
z+bC~0xPKy7$DoLvyL9^$p4VLFZu8?$Zf@vWRk*2!wR_g392T+Vw<01YWhEzPuIg=0
zy`}vsDtJXd<E?4aK`R)xeLYeT>waO<`rNhIdNxh%8*d#`&`o*MDtar}TcVWdamhvB
ziCjJA0o=kRfzjSqG}m4EI(`4P6`l87V$)P7)hCu4hh2Qm;I)Bub!q3b>|0L^OgyKH
zwz8-dpEx9P_o=4H-A3t>@&whqnDwW7*L?Y<v+UKo_1Ct|s)=6b_;$MQ)!5X`^`8Xz
zS@}L+I3##C_v)?9TdzFyznr%E2<x)fS<7Ng7yX(y<KiO86Sty2XBk&4yBBE4y}9IR
ze1~5DMI{}(dX0vgS5|+Sa6ra3$e-iP(+O`jTd3^T<~upB%X!n}MdGoQDhYzRYd?Kv
zP=DFv^E0s_SZ8y=tW>je8_yi9yrq*OJ#A}L&7L&FT(OPpy5^VM*^>Js^A`R7o4Yn^
z&A9|l-F-|#5x1P=f~R|gK1%<^Q0>C9@4|9XE|uoGTgI;ZV&CeYPiSS7*<?7unUAgT
z#L)!4N{K^?^3Ikb2@>s2Jn3E+4uvmc$*oE5XnxOA__0}0uFmL)d%jve<1Yghm-x3!
z-L{{pyA>!h;efQ2v#!F;y~S~6%L-2%EaBTZ<DeqHvE`Fwv3902&jLCuzf6}GTG>$C
zvW+G5h~*?jF*m~_$1>XLEhL=Xk9VvvSR?lPrBrzI!_2vdX8EzP2kEfzEsHoY)1j-i
z_pqiIU*D359Tu~a9zKldYVChqVxbXrV#f29b9=Y#UAS-K!iDQ}^^ZTBtSMHM;jwW7
zGt1i(%H2UJhST|2LSqti=PGagI-&mQRin5>-Pb&^MV+%b=J*<KEYLf9<XHVr6JhrK
zOK0xbF(K{I!=zcA{ocDK>CZgjq1Jp_Q;aD*NJl5ecjJaa-J?!^#z8tUI{q6!Y*0FS
z=(v~fae+veezmnm0gYGLvJ!h6Z$)G;;JYTdqWde`tHkEUUpG9$wQgToEC*c~(Rb{L
zU|9dc1Yuql<r`6#yUy;?Tl|Bgo^xA<+u;m#-R8A2LN}IK$F`&>FP+`(sy18wbjsnB
znZ5El#UCc8>Q$K+pK*?#_EX}-CWhunv7`*+;1scK#ja_o20h-Fge1-xaVtlpJr)et
zd9^Tq+RlojM*Qm|pFQS1%~X>R+0kDzefxo&HxIhboO@tBeTnXe-c+Ns)S12dI`zdL
zW~S=dZ9H?hdfLy5<C_@rBgG!EhNtkA6}di3`7p=(l3>L#BX0l5w8xyMWo*)Bc7KdH
zU24;lJhOkJ4!1#Ds-j!5`LgcVn29?jdmc?HNfkL`#O)lBmMm~uh9_ZW_dlJ_7y47}
zer?b>pt`Q>j)3^iuxE+p;VE%!*LSGcHomUc*<8?^YL-_N`Eg^r^b)2wPXjt?Z*iYU
z+r6#ipzbe=<w?1bl7GJ)$o=)CbIH>mzxp`2@0ccXfA_g@tTtEB^G;Add(`z$=e|4V
za=KaHSAX%|Mp@*otn708&7BE_y?-Q{yJt(f&o*_;uZjNCkhdvdt8QJ3rStAN3T64n
z5`Qr-t>1QP-Oq(-zCDq5-k(d)epUUbNpFQ#ZiW1nsXm#9e>3pwzPWzz*fYJ}twv$D
zulsyUxm8uNHZx~k_WA9rVq5p7F*n+#F>~)e%Vt(KllO^3l3BsV!#NKUcdz5wb?u4u
z>Z99pZA5k)b>=poeR5k&H2=*mQ*W80b1zHp3Y)O{-a{L|`sok6#j@HzuUOYBR<Pwk
z?c9G7ySlhzjEf|HzLF|z{C+Ay=I+Bazdru3+tnWV_43EVY*~*j?mo<UeX+^3M1A?%
zO^%gIXTEXX<|;j9`d5bsLB=<C1TFY=Q6%O{Xl17G=caWlL-UjLu3wE$75;2q`>56T
z%;#OFR^B>dv)q2?t3``c>(l03?Om@MyD0OfWbLDi`s^Xc)U&#GZDFpAnq8Ef?U(j@
z%DS^t%76J~Wo9nVpZIN3(Wjy=*$Yma8xJsTZfyOT#w`8$3>)9GSI7Fg9FvR9&s@BF
zBkT4C#p&x$ukt;4^Hz~YuGcxEfX10@S&41i(mvk|67(}KYRq)YOI$Va_Kc+ZCwywJ
zPcJ(W`qKAO>yg&ntDU<Xzh4)#th%~lxtRKkcgrtbd*%I0A%b(;gP;1d);S#9R<mwr
zaiYilRlAKcFWW|c_V%vk-uB{C?2UPM&nPzf%52);7=H2T+ndo}CLVgU+f=D$wq3tQ
zVfE&yqmv#kXyoF#aQClkR^o!jQ;{u=RuVb&3-sC~R<ti<dzCz6-Rj)4|8C98T{qcu
zL)`TuuZV=XoY4{4hs>_c*wB1c?M>2D&gzJj*{m1ScHQ!dUzlyT_OA47>*Ss5_J-xQ
z>l+s}F5R_z<rdj}F6JyE+YgJsmgT<vP<r<NJ(ue>4lRqn5`DpZ%Q4Z$uWK(}Kd0Sw
zCg;$JL%Uk)lah0t3OA@N+z~N1z-En?hFzH26b5Y}ua2jNuC+g&r&q^JWR=+6^CI+H
zu~44aG!+r)ZsD$GSI(nH54Ai>baeV?XuptU#`FNEGr4O+lGkNlk11Y%>s;&1NZoT=
z!*8W_ipswT6kf3TZT;n!`a(OF?h{MDrTup6lIO3p7PW^NTh!++U|P;|<&Y=y*1|&$
zvNHMW@2Imz#aGEcHRR)zc{-t0S!Pq=3}-&R!V^aw`6?|AD9Zo7t$i?8^5m(bcCrEX
zV)s_F7k#=Gcaw81o8+FPjsw|k5fz68r1K>oJ&<*d*>gQT%1`5~gP+RR0|$j|3qFSM
z+t^%YmOuYh;Q+TS$H$<0?jp&W{L4&@`)(Np>QDP~VVOG9d&#7Svd%es5<8l=^Avvc
zouA2F`(=9O;_Y%4KUVsmI?8YR;e#uGP0h7thWTF<jvU{X5*{YM*XvWW-uA37)k}(B
z%sYDgTS|FUrQ@T=-%cG_bR=oLT6Kz<K!u0ZlSAAB+@I5WGS<6X<BU0TsG#2Wn^$`M
z0i(v>v*sL?o4708Okjt1)rNI*Z!g*?Vt!jfrJ&haY+dn*W2StTJ5DKzhg&>(Xw+U4
z^C+O9TZXG}p>E{Fjcpfp&t~NQ@^YF_gzx(3tGCtOPe{^JYP>D8si520EU)OqL0-O{
zJI*QcU$=OYY$JJ~d;P0UuGFSRE}xwB^(}J@S1C>PlnLRkn_Q`3(Ar+4cE(xya>a`T
zt8!h-uN$rlFpElLEf8yyT+us`?Nx%v&Q9=J0Oz^JmCc84Pf6Pvo+jejeCYI)sOYRu
zMM7qWPq3C{RlYp>NU~;a)kBr1MpA}F-0OSp9P?H#|8VF;tc`h*q=&@L{^e0|l@I^b
z3tw8QA-9+DWuf%S*-Bdb^6J?$C#W(<7T(^v!D8K=OV3srt-7POH*)4`)&03C0-+Im
zPs}%4KJ7;JA9rb<MUrpCHa8wf+uYddn{q{4Vnt8>6)~?Xvrl<y^FRGF^-j9zjDt?h
zq7exT#GXm7=&fXXm9VaH*NnsQ6Xs-p4)EJusgYj)z-G$iLb+3wmi$je=C&7YJLha!
zn7(l8K_j_SFKzgrn#^l2ay##Axl^5S->j{x3bV~rmsWJQJX!kSfnRiL>8@EPZg0$9
zIQyW)q}i+Hul@S=m{Vh&%@k*T{#+hKMPHpdnVdsR%^sIRZwD|}Y8W)Mi~ah1;n;<|
z`kOD$cLls%u)6;0?BY;vtBGmJWkE%T+M1O!W=^R&<Ke})Ons@q^T(5-LalB~osQVD
zrUW!MS+Tt(<+0DDQ%7GedGatM=u@GQCacB7DNZu$Q+#S4+h}c+72VvFmRKLOX`{a8
z&Kt9**u3%dvMW<x%CLJ<P{-VlJ+AW=@B5iF=DKX&Fg1g3o50!nqiKsOe@yhxvfC%D
zd%%2A>yKIgSNr{6>D9gJr}LqJtDnUe?fl_m!au)5>_M_q@O{r3f0M@Y&NCu*f_^-@
zdsJsNo4XW$oRwi0C#ZYCdr@n}#0yu-x#p`HNz^jFS2W!6VM>PGJOSMU$%|Smrd+s^
zzFuCy_uMq$nVohS=9(AnuGBwY`(7|zyu9;SqSVK)hEp>bmkH<|dD61X&dGdYhM1bb
z*<%%pEG67c#FIOpCGuRX>G8{W6?}eihnm4A)3}C<-=DGxI&&*`q$OKil;KgH)xFT=
zvq4LS9h<sIJg=q8WM$rqPZ*p{r2lq2TcCe2=8NL2_Ioa$KN??5xFY^AY|GJl)32I4
zp1KG}TocoO;UN0OCMhFP^J0#U;;iPQF2x%=GUUXB&K_r4)H-ASg)8EZp9%RU_&su3
zlz+rmtxYUV`0O#AMV2!>O~j2mo+VmctnpEfYFO#QZK%3%rA>cUcW>OP=J`{m<oNh_
z@u{h(ia(w_slCwi<nbFzL=t?0xD2i4)n9+{`lxcJ+6L`7L9f#ZyoOsgOwKSX6F7UY
zY|+V$(=z0)37$QkwTLysbBn-gp;@=iMthrBuJw+I2}!Q*T-eI%vU%gg3_df#vq!}i
zRo?J2k-yy`mSBF->5XSbR!_a?d-p~83j2G?ceUor+bgt*#R;4}R=miv!p%h7zw_Bc
z&WrUmHfm7~?k?OP4Hm9^FL_^m)`7i8j=Fw47@CyvQ14>S9mQGAw_S=0yEEkSgw7u4
zUBtS>Z;QZnp;=w#>jZos6g_&hs3O^8(XG%ug`F0+cX*hvi+4PGWWK0U!tvb0WQ&VT
zJjziW3pvkD6*r%e!4!Y{vAB4x=J#|ZW32{BmpQpS^(wQP8C{Am&d-qhC3x07ei7>n
z=Pd$zg=Jku4%GOhM6nv&zcqPQul$j72g?`j{NZN8AK&@xG51BL8nvhne@<UkD|sQ`
z)8XQuW=TZ-n72bf^yMFgS<U_~#UJM_dHz`TBGVq#s19>ZUso&fI^Qg&{ePwQPtdqz
z>ByryM}1aru}gh%!L$s$a{^}%XD<3_;kt!EU3gYkNuRLqgNR2?i#*cx4Yw3b$uR2^
zID0U0(M}5&6Mpv2XO9^!GQClc>Zs-Pefsn2`i%<Cy-L-SCN<ynJb858k|&AjL0lV@
zHCroW&$q5knlar)xI$1rY3a$pR*6$9%l8=G)@rMCdm~e$R;D+vUcg$uzeDVS;zcJL
zj|{E2o$e-$yInRHv}c&*37<WvyJ+VQPZNIgj%SapE;7Y<XK3;4^f77lcG+AoF@sS}
z#l-Z}d8Ju%BvrJGrGBalIdf0$R8zm=!fmLzaAjbn%te-M>V7i4r?NX2w&uEQ-U!a~
zx(BKjwMI<8aOK3Vwv2kF)xwfJZr64mxo}8p(ai`)(E6EYNt_pLbkw36TwS;~DlA<2
zw5ifXc*gu46Ee2km7OnkJ8Mg<$DG(4rCB^Ph4*Et+;KH&oa?fAV{gW$XB}b*$`_q-
zJTkHjqTNjzw|-<*Tbf!hTOumL*+hDE$Fl_0i!o~yX0<<c`Mj|wgK=8Dnu+O*yDGB|
z^d4z-X}(#Rl=0B&Vor?mtmf4&#f7aIa(cqLNAfv+pFRz+GwWJ$^T5g_PadQM6%{IJ
zR$9!PQX}K-#n`8|RKWd_Wbu_9rgJiw4nJDDatqHd0cURKj<jThi!wY)v$`8yJ{vS-
z*!>dLb+BL5`eOElD-qXbXE516uK&Jr@v+70T|R$My(eiAxW>?a;sU9Vd+KvS89w!8
z@ZA&e{g$O-dvmqm+2cx!SR*`4ZeCV3F`aQ%dDekDF1+r!B|3&%3}$DTr3s!rSg~lQ
zgu4m<;!d$7AE)5vdrw^07CYwi8E!G0nxVH$SoZ+WqShHRFI-75GbwzOVQ|}vN4KY5
zVODRm3-^Xu8H#4=CZ-Cx>a*HwUAPNDp?-(AbJ@~k4U!*sgo?iU(lT?`merod(w8Sq
zT61s7lcb!WPX=n5KPBc)Ve0YnQe1aLHI(1~ab@qSM9?CwmJ0pli`qLqPagfeWO4g(
z&y&ZmED=d43!2ocrnhp{?HN;Kbo{;arm5De@@r3?H0SG);`K^xdp%Dc>szAo_|1|h
zkAi|e6{u<cw3svH35(@CeNj7~?&y^-61{>p8E9(Wl$be1rpL=m&raq1i-*ZUpFV18
zvi^{n9@6kZSCg}*fBP~Gn;RnMTf6w`RBUtS_(h$RtPUzF)YGioF=0wgjE@)NJC&sZ
zuRlL^ua}UTef!G(oP7(I=}AkbT-d*xk^9T*xVmFr&Bi}2ojt;8C%v=P-!1R);)&Kj
z5}xSYlit~0?)L8S+KKEH$xn*%;wu|kinwcik7lQSI5lOD>W51wboUq(NuTe%bFd`&
zUyP4&5r1&+o#P_P{028qJY91AN>%O`!=1N__T@fd67IQEf2cxvyWzPLvOT6n;>kTR
zZT4>OlBY~$m&kmg=r*J4x?}$2-OB3BZhGo$Zh46-Cf=4vdcwyhy|dfV?Oo!6iN7Th
zwB*F?eZTK(msfl9csD2ekF-OI`^tXH&;2*?@ZMLOeNAnRcTCDtDcE`Y|C=xVnr@PF
z-Ze};u#zt#(&8qgwsgI^Rl?H;73^zbWL`H+ZC@<A;Zxxf<}k*2r3bW*T>iqfsX)_K
z({hr{%Z8~39`i*+R$O7!mgcukc>2JReND`r_YG6qt3i6UGlv;Xdesoxar>oc%HyP}
zpdy`JR~fY%XUlHbRIr#i%xqoxfl~*!@<r_2af?x#-`XnSX>vOI8m9U;AWe5)iavSZ
zR25WYa{Dr)cH>^z4VyNsXAU#_2GZ2X7qQdg2BS8AyH$ef@`{(OuO4kLQRaVsxwmGH
zw>ejV*<FnzGxMIdUodsE{HnbCWTqO^<XuzmpFB7t#lYyQTY}4~-d4?(W@gKl-LjZ5
zE$eYo)vDfh$(3eyY0GZ0)X$ijC7e_i6&f*P#<U)ppU;-u;yB~Gb)$j))nmv0gh;b<
z2j#}-_-y^SLF4MN<BvjSGk6E(I_dbX=8_h^G-+b;olPOqjZasaiG?k>bt7VC*5icG
zRlVI;SDMLfTYl@ujcHlJ3E8WBJ9INcA12g985Nn_o|C1#LG$XdL&rj-rKN-Fb7j`}
zZ!O#iTBmU<L|Q)kQrCr}J4}T6lP~+!^mrO`8d#lGIkMu^`&F}x=1RYwa_iRWu-xry
zSJk8__Oa-N?prh4LGsPBJ32AXCiH5{6ctQ$)>~KTanDTV)5d0J#<C)fBYJ;L-R;-h
zJZvPnB{c5f)D+1z>9V?S(r5NE>l7DEs87}7+j!=1;<TR@r#3OTM~EfK9=_7|oNXFs
zPRh(yU!BbxXQuLP+i>P+*tE(U$Bg8EM~Ef7*k7b`#Gq}Zdds;jg+32*X74j}wchvm
zrb{kQ`pjlQonpgDsd8=`&m7N~#wu}mlR&cMva)vd4L%8v_P^p*yQMqts8!UABSzAb
zBcCPIbDfUyNuAlAsq@)zZYpEi2Av~5Z7Yv&IcK=mGk4RuG%np+xv_`TvL4#ZUeRm0
zW`6TdonpiORJmuH&m4D}#u{;ClR&rRGCA4by*u}1Y~LOjpK)>9``d2kE*>+UW?6C0
zNL)YS*+bRSHG7gG8?Nhce{4(4`u#)pG|N3>R$<#&-<s=7w|r<!HM84v=Aintoj=YP
z@yACzd#rt$sU|tH<2_IC>__&IY8PhjWL?~Tj@{Td#J1*Md#c&5O=leKr|o=k-iV($
zLM%byv=dL#j9XLX55?4c$+<6i?c$-pX*Vs78L=OaeD;Xtbj_TU$cA7Y?!sA#S+D==
zoMt&^$SQ2hQ_x&GrC!$fbk3h+M(oogo;@-;Z4;9?vwyV?cR_Ee;=2E<&Dg>fAN%rg
z-bo4yQT;ZfcyqyQXR~(&Cl1E)?c8x(k^j5plgEthOg2vfI^2I{_tmY~F(sAh^p~@%
zKO8>FyJm6gQ=QEln;_FdS<@;b4jaj5M~WrLo_1Q3J|ioh|I6dL`Y*qa^{$!UyH<yL
zLvO0$He;XGU)D3cx}5$tuUXtWS7-Ca?o__A&1a6vO#|&AwX{!)Z1}6gUD&YmhsK=y
zXDn6~{1Z5xBa=3>Sy`vJaAK;Q-i9;BnWnKuoZKWZ`%C$rS<-P=*88vcR^(_e+B@sC
z<+-zmB>8SyoK<9BZt>)iN_)KxXqm%f8LomJXT@``CeG5C_k6;E{9lv0ZiU64JA0^<
z@8*t!itP8lOkH{FYB^u!kAsTx_Ld?G%%}GX)PC_eEAYF}W5ND?OBVfn$Z_uMAqKvi
z5+@Ydn=PIs8MNE*Je<(KP=?E($62xM^~70Xb{EBKO|-AvKYww_2ifOo4<*}k>TRA+
zXcm_#`Z&p1F0Syz@oYZUio**9^1phV6~15W@t}F%l0}h^Y-(?=_I%{7=PEtl;>iQ!
z_L!Q76WZ6yd@`JUI<di0hRZO#|H_jByLJ|_7w`9FWbK$#ym`X}XEU+F69<#{c19dh
z<oC9Gk}TQIr1LzWL$qp%-0QlCO2HHv&NcPdriYmH%bZ`Dk;vPgv*zi9=7};zhF#8b
zZp9~#OYpHuoL(sK_=|_Hu6lt-!lHdk7Ii+=Id}Gu3g68cXB63kEuJK4wcGeSp3whN
zhRdMYSuyS3r|on9ZR)N%9GkmuS@!yA>+UZ4Up(V#tlLbffcrPj9`cd1nz3-+xg{Gy
zYu#4*Ce+X4jGmEw$n4pS4b3<2{x4Q{+2I$p#e9W*YRZkttBf?>{JcK*pUuj&4Q}eE
zZ+lE`YrSu>s`s<h)q|G3uO6*&`&!_(_?N}nz^5&Ki`yb*2V6aFW)!hBkTonTgj?HW
zRqxZQS&ORz3)U~=nU)dKxK!%uA){Wc-SNGz5*E6ZZgf~&RX<~WV6D!wEW2i5sn7>Y
z+_n~YExu*3E>KqH@(R7atPp;8lU4nPudccxH9PcY-sKfc(Wa|fb)~KzOY41=Fxjni
zW8mVd8w&z!*DPP9bKhGk^uZ>#tp%=&Z|ztWC>wKmh2FaC5Pox$RsFMVy<@{;ubW+6
zv-{cWO?zK$>OHF~IY;+<eKrf%oR@L0v{onTH3wHI+z$S}|K%6)!VBKD)fq|rbM*{X
zvU{!wpR)e)7alH-Ij{eHpZm{@F>2S9Eoq-`vfVtz8}gvy`~Ejy(o>_tuWRT(6}!kX
zPw?!a;zc)i-2J=1<k#x1u&e*)rFYE9E1Rx7xi6()sxwpgj4wJd2_3!NJcR}Ior-#T
z#zzkOw*A;~a00`1Nufux+C+Z6cC`KClAz%HglDp%*{|<EKwaWlF7J0QUvyxK+|%mi
zI%x&_jdo27ZLF5MdMLIx>#<*Xkr8)rCSQ)v;ziBNuiQN<tW{Ov<0{|3LgIm<sIyIn
z>Atp_Z{c5WJ&8NJR#N8igad(mkrrnZ>!sb3JZx)K{)e4cNi|r--pt}wbm9;T-%Sbm
z*Ogr!^D=zbEZ2Hz^s2MeCe^mtwMt<_0KbmT1!n2bTc2;+;I;a8#LBC(Yc9Ofo0eV5
ze|pQV{-sg22ZYwjB^6q#ti3jSlPT}sS6A6nd?WfE+T=~Q{VyggcH>U0UnIx7TU*y<
zv;D2FD)3p5-~Xyn@0d}AurzCOzyni$-93*M_D;`<)BTe8Icu@`X_-GMmrFi2r^@Zy
zeCD|MG}a%dHVNdHelJ`<O-*v&-Uky7So1|zoL7{Nhi1-46WaS_K7DL7aV*{2Wq!rX
zEV)+a#EN5C3X$mx#IC(s`A0c={=pk^Hlh;g^$Wz@q*wGB+Wy;k`To%rJ^ZKl7P0-l
z!Y>f1x8eE;-8W`M($yUKFE42&Z_e5G;n(lCZh9LIpU{0{QY5`wBR}g@)Kjaw4y*Q@
z30<0JlE^(fX|IFggOG#eC7FklZ2ft-c%Ck7RkYb+Xkqqj>xzwA&92MUzAUa^lNqkq
z$Skv|V1jeK8Q;-ETRE<}%7+{%nAL3-X~Wg4;hy@nwD;BgUor99LfJ&NODGjgRus#d
z@_4h-%JrG64}M)zo4jtX>m;3ZQ%|q+NV#_ELza=@*Q5S1F9W04GtZc=lGtRpT+MoV
z>81m!b8{Xp^4nIZsQKKuh^b}y(-%v;@=iTAG!#GeN6}Dx;?Mfpq&&^f)kk8s-mrNm
zR2)6?zT&g8l)Bw9C%p7quD>#9{%8BuCBfl*&~A?jJZ?oE2_lo{wKno>H0)61V>3Q-
zRG_Uw;*@~=VF{rG3umXE3lp4{7eoXvYn6M*;jAN*+R>Z5an|G|8;eV(J&lS@aIL&0
zd)fHL3U;R1TQnmhR$k4mUvuddUs!gjc=ncE?OUVn9=BR6m#{aCf1_ep*-|~1TGd}Z
zZzhRq*4g~q%2$$^bxhhe<6yMxiS64S#_pURvfyIYnU(vqi*qG?y6*CZdL%3o+xj$R
zf=#0{)8p!Y-~IjvI9_}&c=fwy%A32F?p>J`8vFU9+|q|SiOLIQ-ug{xX63E_7BffZ
z`&!O*c083`#plHLP5nBrbQ<f|h3u1mew$YG%>TGo<k?Rlp6RncZnSCaYtRysyb%!S
zW*o9*LwlE5*>Qg{(PEJYeXm!tG9`aKa7e;-gQ3+m?-L)LR%O+kec-rfp4O7^y)r2q
zEv~K5j(8yWSc9w2Tf&{&U&4L$gB?=}x72^^Dcr){cRFdS+}USWdLwtRi+u=4u;bS~
z^LSzJPMZ>g_IABAV}o7a(>?|ysPXHbd9bkerA^6(j&?n<ZyLJ3S`iZQWkS9Q;um$^
z^tUoiTo&fa|01Qa+3MyY#ko1j#c%F)K5=@s?M35(Fxw3qeED;7)UT%`yRXsIS{t6b
zO>uht`ERT8-%LALEG$-$ct|X6)`s42mBkm&zc%`@ik&I`%Yj4bwm<$$R=>Bf?EC%Z
zb8~g`+pQ_~lTtmmt;sn3u=ejg-_m!drP&_L>b`mP9g~P==jkQ8H`iX0&78cbWKqi;
zV}~a^0+!F`FbY{dpHqD9=c=khW#NB!?fS2<@oVk8mFs@hhn6mVIn(f?hS70Wr?o#E
ze%4Jgextmzaj%N`#^oiG*=2qI1Ww!^czv4NsuhRauSgs{@Jgb!f30Cx>sQ0BUeTqU
z`l~(&R#wb8VxZ(_WMJZFWT@k}$-u~OlVO)uwL!s}o<NDPqZ)ty^#uCl^aSeE$SuBc
z!$owqwoTRI$0}`xh4qsfHx^FnEG(SV`mu0QFXz(li<<wPc)#+&-^Jof1=U(4=LO$5
zV9YhUBJ$PyvpbgAHJ7aqp0m*QByWkr&d$C?Z?4t^#$CN|WtCyJNvCcc>$gt%xl;<x
zuI|0rcC77H*bV)<O;&!nStqs~s{9zt73gkiwe_KO1ZUWS#Xoks%w(G>Q!ilesHNFh
z5b#GNDJ0{r*P8m~rPHcjMwNtZK4q_bacOTz{l@gQ2NZYyOFAF5>VM+Se~G3V4<mO9
z^jzP+I;LLj)qUS}`xiK>xj%8*?DV())YtTdzut%F$96Mjh5h`+pL6)PtLF-CC1s`y
zk45cLPwcT|x*Pw~@#gmArwb<dN3~_w&)y!AT)4Vm5BC-(@2HQvy$?Ft-HqcszFdLT
z>w5U1-YMa;9v95qQKvg?TTt<7_oPT|OQE0ZF1U8OEYU9sQ(9QkHr>n9B|l=Fi_!}|
z?I|8pemSeF3LWLU7})8u!#zf6U3~XcFO{y^q|nuyHvJEYVEnV@gZli>_1})C{<r)w
zIluntJ)M7N%WIbI=jGA-o12vJGN1dfSN&~?xL>yqc3irz=Qi!%H-lAQw)bDm7wb9@
zE`C%=qQ*6Piv&-ycfGVk_^;Xe%e?EOUqt@8?Y92XeZH#c|H>{d|FXS(-_rfHU$n&<
z*C%(m8W_Kb-!h|yJ@nV?`@u{1``T##)V0z7oBP=HWqm%ozIXlZjPR<p?cbK}uPxCK
zJ9y~uqPwRSBwtK2EYbR#yP)`GzHqEpee{c{U$@=1U%Jn?3uMRQ3QcMLuCwnS)P3|9
z|K3$!wIlk^<>L#?_lJCAf8_sB#pnL~DMCE8b)TMYve!{DdLiTW(WyO%&8jU(&8j6x
z>{fe_*{#+foBF1FljSjcIF{Pj@Gq^gsa_mnz`S?1@k86JI*p?S`csSy%%>O`s!!Qu
zU_E7%q4ty_1LG-0hRRbu8Q4zwWVqHcNv+D%d(V7d-lY=DT7uZr+Jn^8T7$&wxR>Vm
z@GO<_;aD2e!@ty~hkI#FPp+QC{_a_-_kJI1396Bn^!iwvtWkf|yldf<R>6fL@&Znp
z?1!B+#hqvC=Ph<GZu)-XSxa}`Sx)}g*}`_a{yeEpz28+=>e2e+Ystp!jEq$e*4}f<
zPKa1wE)lW9d`85TN55;jI*hM$1YJ?Hka#5|!&W!tfkEK^=1uQC_&!FY%|2%Kb?2dJ
z>lIHPy71$A>iw(HMn57K)we(V@ApOG$90$f&U();5kH>0^!NT(zWDgTf1fWqe(V>r
zm8+Zg;^W7-pkRrN$y0dcuif+gVz6iO3&Rg(i;f?O?v&&9?Ua+wSF4hl(J3eHw&*ys
z_m>|hR7_htw|U<D(D<j`<BP$c@11hY|8*}WKav-=75kUH==iaE&o34~+FknVyZ8Hl
zsra$mrN4i_Zx$E#dezj!%h%<rS4sTSx|sYRx>HWLe&&mfAH+N5r0;|D<SjaW^!p^I
zjK{}4#n{)YN5<@#nDX(%WR>pz`TsV&sops4*MTVqABmmRYg5(zx9!bu&viW4mTfeA
z<CSc@!MOMAkv<bM?%S7d<m7lJ7jH1_tv`Eon~53!?8`T5Y9=mP%cJ8vQF8u0JOAY5
z8&i&@B_vDD?&QtP5xcg0<IRZa$DSorNX_m}%*>H%Tek71#H2-QeRRAh&ivunXkymp
zfB6Ovk5{s>p+WE2Lk%Wo(tj`Ckon@BTzt{I_pI}L6Epd}!CR)bKGigOES&P)#H=kZ
zGl#8y*RqW>Uraidwy;=A`o8|Mjg~KF9(%SZyz9lSh>3@uCZuvr?+(?BkqcX1@H67_
zqt~hNQ)AX&xBOw(8vDoL;M7OH7xphRIDEfm@tgB1cOv2^ALREuHz9aaX5_rn3rt&e
zJI>p=ZCnw=cK*m_&Q%9K#O#^w!m%fKzoYgZ`QsXUULVt_-?ROg#-8S58fI2UHTbNK
zY3NxU(U7}!T*L0xUPrd$2e_>)YSe6n7aPp!4dj{A9q2QsKTs#8BQPhXCr~D)D=;Re
zFVH5YGq5IRX?0)c^e^5&u9WJ!CGL=0EU`my@r)gkiz9Z3F21otcCp0{;l(?4NH4C~
zA-?#>4uAIJPVu`of2`m6h;gpud^x#e8f<b$HPqyeYl!(B)iCoruE96&n1<fG3!+;u
zw(l#K`Lc}F&iq1C`@Ag=79W>>6uY$J!k>ljd91cxIKd;k^Uw5+MQrjfQ`-1TH#OE@
zKdJW5S3Ua2T)%A}f4|R4VSl^DwD=?U+&2%WTirbVe{tukM!sFypBX>>zgmBi=l$yx
zX88=QbH`7A)7>W<ZzeoHBZPbZ)fHy{?0a85FqgV|biL`S_I}e<{qdU5ADvxg@kMUE
z+?;k^p2txg-)}zVm8_ZeAYsOo2L=X~2NRRLnhqbj!qVQG$;rolSy)CdO~u0Ij8DOj
z8B6(hHE#T+^P|?l`rttW^@E8Cc}<6p)Z5fL`u1Fu*6Fz>ozrtkTBhfUbWG0$X&avl
z(ltIgA7gtP^%w15c~x@XM_XToqtfeAtC$bVzvN~67m#o8k-e(9b^R+|vwuGM1s_cJ
z9(3j2d+>1ma`PWQ_-}bg|JY^5T$lXEeQ)DKdytOJ_YxkR-<oRjQFLt{W7OSa^Rr6X
z>+2U?jjf4XUHxNH*!PdePtBf@7<)$Qe{fUt!}^eOQ&ipA{%22WeOT|kN#e)-$vSGM
zChLf4P0}&bnykaOYLbrLCQmVb-N`z3QL0DoH_V^Fxj#70bCW@cdL+-E;7Lbae4R3V
zD>(VM^O_E~O@2_2pl%Tp!O6$}zqsk}@&AkFq+G2xHPPUgo>Qyb+WXK)YIfu0%p5hp
zy^3;y!MW?NcYc_2Wrd#Kg;f<3riMS?;g)K#EN=@_XpKYr{vRv+(kz^w-`!-R9#<2w
zILg8$UH4o4qoeGlMu!{Cr~GyDIG3<HEd9o^tGstEzfyabRm#16>#pADsJjPi*S>qS
zGVJ>Ym({;3*3@&aZ~VJ!`e(*h6T{LgR$S$^x$sKuT}COl`PN;%(ouI0macvGXja(w
zg3#5!cP!#w-&wnB`fSOVYp-~wZ`sw@9d-8z>)O1Bd&0IC`mMH}vFK{7&(&9Q%Q8#l
zFPA>tx*_~~k#@6W)ZD~nVde(jt7p$xdsX+$#aCv}vP#)MZ`sxTb65R`8#fkDmVI;W
zq+Z#}6n^W{P5rX@e|rD0v`si{T*@|ivM<l%dja=u1o}ww_&-dNn0P2PA%<&u=SIyK
zv13aLZc5BO^fV!aYkGH`W{ljg<pn<_Cfspgv-3XJbzX%zc!vbr(gMjJCatHBoOp6*
z_KX=hn+z0hrX<&FI(hIx%H(dvll5x+&XaxZ+Aelq+Tgk7;I?HO4Rb0VzduzsA>(vH
zu9p1aSs`}JtAl^~tnqrfd4ti^^T)bE?AT8S|BTV`e)@TX$<*`5Cz+g0ODLZ8=7;Pq
zi>dvIfm-t$TUXYoi7i>#)~SE#hEC1AV`<63QnQ<TGjsIJmTatyn1Ae9@?GirxNpm^
z)^8R4xqe~BqifeB=h$C$TFCR<Z|je&o70)2?rusgo&2Nk2%oP|(hCW<t&OWE_efZo
z|Bbvk-(+#r$AeFz^H23W{5Jd1-Xl!hTuNFh`;IO2o*W?};1%qgJe#W|_t)f2_ClL}
z><MN2eve00^4)$-JI*kVPcl-cw6iuzmSig#IKNk`|2R$Q`1-WXr8j>)Dm8lH%*|6f
z`$&8Bj)2*Y>o48svF%=ZihY^8#mNhMU;3Xu_35O70{?xU<&tuHIyc#iz1;O_)qw(I
zE{VK7ns%KU4xz=G@+&7iEacplS!Nfgc=+;zW-pmf+;-Z3&wkh=5p?mmQH7wN(H@;?
zdky2K@1AIKcy3KScSyUR?h$<f*^}EHM2ux}f3@`-^?(1x=5Esi9$UZd0h<hW{GTyv
z_rbTvXDgfv)8(^wQQS2zQ;>}(_K-u&j$#pm5-#S#m3@H+E<E(<R$yWjus1XM^|oME
zqK}4dT~cz6%2|VVZ=dlT<@erWBfG2Vhh^vK<<8*(_A54+O=<oiTHji_aLW(L#;+4i
z4j)U3vZ*}s_2op9`-fXDDRITST;iF1pKn)k>Ay&oya-8F9_d34DH}DpB<$*~C8`$1
z?<;;;(!IWOq2i~b*0CuX`%0Ft=6Zd>x9yR2%C6~)ROht_#jcN#o+svNE#qk{!?o0v
z`+esU$+GrKi)zbVFY#DAXI`w|XRVaE&xLcT>+f}aOC-ZYZ_i)unte}qt3CT7OMMr{
z!$&Xw6x_Y*gQ(@qWnM9L+7c<w9qr%OCQRVn_$ns)qQZ_J(|+72jyP$$O?Q3fWYO|J
ztrZg=*6hi65VI%aQO=%>hi9T6{plC@Z0r%d=Tt%PVyPVq1ASwzuMk^j+R1O!9#a2t
zZShshIW^i6{<AAf*9oaV5nHkHP~lG2tW~d8z1~za%lg~2DW$dBroPzce!t5^_pxua
z%B;c<p#|-WB{x4?W-G#L+->sqV8z6T4tp{Z>i1+M#qY^T%-@nZ`{;tq^={G%i;Q9}
zu3*#44iTPivZ}TF;<D7E%kIkMMv0lcHQUKrQGYpaYV?9DTjt)Mwn@(<clq|_slF50
z*&i>=e|qb8nabfy=6Qy1s-tTr#D>a9|6Y9kRQ=?ztFJ8_3gg;1e_jo3Y2O#~`oZmk
zhuTjcY%;nW5_tDUKyYk(S-$w;Ab}&_^S6XAY~<q-N&a>FQSs-%6W5EDI+<Nw^=jJ-
zwdqRdUticOQh%^1;A_>o1*R$47aqS&>OQ?GpnBROiNMw`OG++_E{TfCnB=9iZv%J#
zJuMxRLtB{mz5gz5s6WDf>W`Pjksm)+7})7(_4K!KZ7z&T<nz-!bJVl7(&D1ZR?pgP
zx_KvC^Hv-`yIpBw`qFRv19$0iHD&yNtz}Z++h~&)e!t7bxIwgjMvN5m?3Ee+J&QEE
z-u}NCJ@H$5&~Ja8UXx8aS2*)sZ|z^fm07dp@6mFrk}2LYTmJ9J`29SS^_ZLUNtfT{
z0W%uEaT#CqOB9Q9yFH=vTm3=<_Vc389?d*tW7E5Bzr@5_NlR)H@BLH0r=@fIh~%0G
zo3$G29)D^`ym>ob^LLP-L1KNRmS9_De!Z#7ky)?%A~v=N9`3%ZduY9|_WGR@=N!3}
z?bp(A^?FUGfli0OMy6;b!M3M@N@0oJs)sfh1Z8bJS0|^tHDZo!x6($NYAxk9^+1)n
z4X-x#NXWTP{IPLayV0ZB&KrMen>KPhds8sGBS4Sqy6TUE*ENL_XUHfj&1M&>Pppto
zT;#gUt0ghY!mBM~;_rz@-SJP4?De=Uwa8b_wMa<!Lxhse4nd(U4K5YiJ7eOH{7?Jx
zOX;8aB99~gclAiDbM@AI^xvq+R`6fh`<kVeH<VPukFIuV(GODkIYa5++xwkDb}}v<
z9shrP*y<zr|GbjQja;`lRlRGPPA-3}z3W@@vz1+3{(GsU7rMvv3S5-?u6k&;p_5DX
zov9r6-@A8Y^xqar+##yC$TZKZ#XPd5W7`fr!Fvh$?MnKq>Z~t`Eqb+GDL1im+YXs?
z$AxkWHJx0dW2SH%ZsicnEsRs*d9LIl@?wpP#5tFajPA=qi58-Yi*)_GS{}NzbZoQG
z6RdAb7F4?RXoZW!ul>SOHZFy~Tp6A38wj+%6lUewd)Pr_X}E~N7ar!o337o4F4*$8
zOqURpyXw*}A;-4JxrBSa%a`_b59{uQ#Lql<WSPdp?^9fOd?s~tp7d=^W+^la`(bwT
z_42Oc9Ig42WMl4Z6W`}j7NL@xkfD;BbmDgFOVc0qldM0M&XxQUCgCjKD*0lyf$(3E
zw1xW*<?z((-r&NS_Vp6uohgq5BPEP|bn}{L6&kGcSGGJ{($p=T!FEzI&8#-~;iI%&
zg{xRqKb-xuQY_2w(Y0BwF^+c<HgoFz`YJQY_>Ag~7f(NXoHLmJV9|Mf1<QCh0aLN^
z1FEZzJ@VV(bG1I=j$n6(c87Gbz`^GDDizDa30q&fPUc-`a5%tpdV;56&yzo9p|7QN
z^w$Y|<qeYz*L3{SneC#`WO|(A(WOP+Jt~%mHMXWH{l6%ZCb2ka;?D>!<DP7-IX>pe
z7jIil@mq6v&cfuEM|REZzGoJ9HnwD&{*^nf@vmK;=>3XHS1P|)f8A{L&hB~JT#qiA
zpS#rHu$gFg+_t%gc&uk}HE)*q8&!P2K_fw8M!mQS|Bi+6DreKx_7!rPC2l=<k>&o^
zWXG2c+mCFrsM>Wj{u#fQ*M^F3rAtJXPg1E{$lUI~{YTlqhE+$L+mqT&v<^G=W<6+d
zGu;@!IO<0EuA}9jeuqY`6;-cK-dkcczom3jqn*{v^J-Qz{dJaqelKEn`jJG=`b#pV
zp*I$rxW81$`Tp|J+_))R>WRDkws&kXz5g(I`IMa&z6ba;i?7|ec6;eIK0nTi=={k^
za&|pWYGW6Fl6F}vbz@DSug~=rV#_=~_RVoiGjLS@?Aqh^Oj~O1nd#<MHxCu8TwDLy
zeO~dDXAg31qci)0qR&sdwX5WnWpK)r^L7%3P1QoXyN_!tMl{AAWnL&C%I;*{&F&N!
z*C>0``NoP1o0w#~y%#SEI{IN##iPI^wm6M5M}k`;E7loE_lrJz(0C~3PVkKOz@y5a
zmAI$%uFYB{tsQ@G@1siJz%@_5JMDjTFiNni-g(ZyqHDZgB@~SBHQR;GXgtXEcy(B7
zq=c6H{*8~my4_oC=+jrH@WHQjcM+>pC2O0zw!obY5mIkC7}p#Szt|@ba8c0Ay*ucM
z=nN@cufPYgiB((Nd`em*xr_~h6V<}B&K%-uy&192fc><{v!n@!Y<POgSj1j(lrJh2
zdEOh@Q$O*shSeci%j|oC?@slUxBTWZ{@|0S=BIh)P^RUtqkRRgeRV69?@ZHHusSsF
zk!Q|7^R=_2vMZO)UgcUoBf#cRxXQ&px9^MbZ$7r7#&hG_w@x~ubGwDRpZ^y$w74eg
z`b_%G=ej#jK1V*z{U~@kVs84rR-NqyEbO;7{(OGgwp*vXK5?$erXTk_pJ-n!7rQ^d
zqel7Hx<_3We_CJE<Zhk)Ajx#M`u_#qGp=9h4*eVFC9wK??a`<4U%W4V5594vG3hh=
zj?~<}KT13OyWb0#I`3N<xUi8?%jDu}4(V0JYrA%THhix!A#n>AZ%@#|&WZ0uR!JYY
z&{u1r`@xn|S3<&#Tdl4BQd6tqmW1RF)~&a-1e6qe0xM*aMVOhBMTD8}X?|Jipn2~~
za`$mvSA)ej1i2L|EVv)v`+0cN|A5b4Z1ewq=|A-^`K<Pt14rhwojCYze(lybr%fNd
zT+n3Q{O!@qjRr{>>i0Hs1iZc#Q<|Jx8_ak8h;g!DSo`ZoGY!wJI(umM^qTrhIgz2C
ztJq#2`Qfa=^Ez3CSvsXvwD`8fVuPHnK%N@CWrhn~<aStV<OOva$z(lZ4xD$2f4^+r
zub`XqEJ3Ag-Lr*xyuBhrrH|gPJDITZhV#?R4;FWX3pql)jBP~UZ<u!JEdLQ*;m3`K
zB&V5+YDIKStB8)_dGcLU^#`Zj$LbmNM;A;<3aUBF{L*yImszbzWhb={?m4i&+4a%h
zts0LP9(@#)(!FEa`B&Z|w@$pQ(Tt80IWu`v>d&0#UXmLWZd-DR-aIGvzUyhG^M~CR
zHZN*OjAD_H^yy^Ux3%K6c51v9!^UOjA1t(J=Mijk4?MKFQb({&ed&x-{JQHp0wuzx
zSqat4TZNgbON332n>>HMH+RMzJ=aAmI(~c+@$T37D_ErwCb%UozjpPT*G9RkR<6}q
ze^AO$*JZ2x!u*x;qSv{OZt9t)Hz9wuT~Oo<4e$J;TBk0>YI!?wr$%Z|ZSCAtqG=kR
zyYFbYD`S5Q%ZFuA!mK>m-nwzo86VdPCB6_-wVCZ#FO>KqW>wIShv!R|epLAL<@v8P
zsj5H4%#M;~)2x{8H{@mc8Pw?Y<uxU+9JiLN(VOR<%f=JGN7L&jkI@ZX!F}5%bTsZ2
zGA#5^665nea!jz(!a`9{oL%Kn;(nK!H{D@P@1HLgll#*wlxU$P$b4Ki=~0A9vT*dG
z1Bb3@Y}lyFRWFm%&m?ZW=)mD~8Xq>k_BEV5t>K5km9z7`Iv#%WKRQ9eO)@52;;?C}
z<c?Ja!m)K~#@us7(-u!YBvTSHqnnTG^M$xXyIZW@odU)M?s{_h2d8r-S1dHx_cT!A
z{<qT?jXwssiOHQfajaI-a)-LIczNoRhtqm$?r>c5%Qc?yZCOOU-`1xOXZ%WQsF`zS
zG1tE7g7!KG|3B(AakSZW_P@wm`&Y{!>50`BCqD6K_Wz)`b3WTVg&mW-ZXCZi|6^;%
zkC_>Dg@!kt)o<9jsEJ>)zfMBg(57!Pk4@*~ih}wR+XJSA%zvsaP-wFKpZr(8wo*<$
z=4@dZwP}AJ^Pk!$`+9|FdtrU;KgENGE?A#TS`a?zsLT2KAAUFES?n*zUQvD;v1;<q
zt^jrEh&7Xab$+qi-F8a}+$JOcX8zv^VG{F>Yp}%~(NK%~HzB`PR8_;hO?tz__sOMd
zU$%bSrTC@v<1WoFU+d4ezkVdQXiuB|sqK5DRe5HsCMB$P@p&_?qcv7&<HlemzWOqc
zBS*g(tjxIl!D`#y)9KHZR@N-FnST0HbeXW@&oe#&%<%$Oj<_{N-T0s<|HI4V|DOMg
z=AZ6K+;iwhz+wYoVbQdQ#;rGB$R%#wxsA7X#z(pMS?-eM$-k;=T*D3>e9q2ur$+zF
zFRhQeA4skVkvOc?DjBiXKsZ|@EphFkoHfBS>YKm5Z@sm_OQiB}bxo0;;J%5sB^Yar
zw`+1$1iKlne0KShM5jT|)Q-kNAw$DBB{4ORBgc-sI9l1?$s{~`@qt6rG&UI8aLM#^
zFo`QKK5%%2#s@<yrXOibF7FmRAin$I?Pg{>Desnr>s@%hOz!CXC$!PPLCK8GO+Rme
zx5$haQmpl2yIdLHUkiM&z+Gg=3rSYFD%ZyTdlM&hF5k}Xs<S@TP+Mk4POfTLo9xY7
zY-_5*dzx84c;(i9mG;d&efy8a^vqT3^S*j1`~CY<oqAuXB+MkHPXE{et~TxWohRoS
zNbLB(RpR84y%r}AEwwm#?CAQ7TQ#RWeQ-YWuEq=Yq>{}G;_GYu&xEhadwBAC#?fQV
z5=ReCl{k9z>5Qt1)}lEbn#<!ae7{^3yYXV*<+V}aSy!`5R$rSM_0{U`s?t57?=QR!
zJ|6wGY);C<m^mqrb!2;YB}INadS%;<Rn^H~l2+ea5WV%>q2yhyuX6762Fk>A1jfwi
zU+KOvwEyUo%{Nw6*U8PAd9*$_qwR3|4(s<TuN;YO-F0Vo+{uGa?=iA$z39B~(Zc>f
z7Sjup7pQF$x^hUU=~l$5fR7hW2rd<~+aG0qDEkrT!>u1W7MRJMGO76WP0W$^j+%!2
ze2%P#c8)(e76fdps`3e7_UFucB<ZMYqrRe7oJ*A7aIWHt#$p!J!g&kC^aQRPt2f%I
z+mzldE_~&HQ`4*nhXC%+oLR}UosK$(JmxrM(6)fhP58<Yj;1IH&j9IUQ7ySMvs?n&
zPO_L9v@TFvCUoVHNz<(v>n=PfjB5I1tM17AMR7&vK9;Q)`WKk}(hjk4GMll0$C)E5
zLBr9PM|nl-Lzb-@qZTIh+;RwL>t!+B&{@Ag%}#wqt3F3o@@A)_C$=^(;IZb+N)UJa
zd2ZqYu{5D8$8=`0`ESry*Er18Bo*NqAiSF6lz74?kA%*^cZ-~kYLrh~z@yHY^+46p
zcT4aog=72tShgBEFHCxK+bN)}lEu_u`U16Q0#^>@G~K$fF2GP}owCN^s3xfh=K$gP
zLRSveD>Qx5^_uZ7IJt85gCh@)THn|Boi(E?-}Xe<!<iNB=4Ya>hlOrm^X$~;@_n)2
z&Mp$NDp<oI_swp3pzfSYE6n_ktvYDVnGv#&_1cO*eb-iqP0I|CKAjwTvHw~|$h~P&
zR}WbAW+gVeZQW=XCTg4A?Us6DRUmK9<rQLO86ncv$)WXz>i!p2@Cci(YCLbUs?$^I
z>Y<e0tYoh>TF*Yrl$x42&CS#xdhw@^&WodFtPadQ(;a$r&i;tSMmiT)uuaPf5uR?k
zs#RO+>anX4p=$*5GDF&KOHF-WX0obtxzyD67fo079^besBG~4_3ZCz#s~W4NroO*o
zvZ{5j)YW7AB0|?V^3`XDv?)tnJrLNN^=O9M)`FPDw=4vgv&oBFE(w(6%L-v;H(AyB
zSL*5^=iaQxfortVR=<{-`f!$;=?0I*vm#am>grrrVfO6!sw6$>^?{P#GD4Vlo384N
zmAZPUtoPLQOB+|sco~)*(iSQ;b$!0+s!ma<tB0z3vyxv~*N1-N_2RXw-yo$QwL?(<
z)(#Q%F6(NyJsF8rdoq$|Riv%g`1CaCpX@~c!|#8JXdF&xl9F%=5O(IwO1!bVJ}NA1
z$=2Q(U*CnF-WTI@JzaWJ?+nSh#Y+@#uUeVQXM8x{jCBp4@x8xkH|L8+CU0A~anGtv
zK{i>I|80X3A{@Bc#4a^;y1s7}J5kT-xaa7e8Bc1eRE@age$Vvc=DD2FxL!V^QC~Kr
zalKT=!DDez1sl4>V%{uc<-eVA@OYS!QjMqMz5^!`taT0EaLDg#YvJkJ@2$<Fo+QGo
zo-87~JV}Imd9sLfdXflxda{W4^CS`e=gA`S;vM_WiZWZjTru;8Gq)Jug{EHTEf4A+
z80hY>@ZgsFcDbp)Hf6oR#-1l)(<a|J#x=?MN6!;IwTX8Qr%d`i!zpAzn2nX;+>DN>
zj~9-ZEPC5A;ouI5*2J8iqy(Ryq@<Fbq{NV(q~w&IM+q)HkCG~S9wpZHJW7_8m0=Ff
zJa|MT>cMW4s11glVilJ!H+6Szd63AY`$Iy%o_p%k(%#%xn{WKjd%?)07!sPYA*_`r
z`_SfC#<UF+7Su>7t!VtoVrtmGpk|5sieAgCgFI`(6yxu%m~g*ZYs*HTR=#PgE*upS
zt%_LVB;P&d()I9tUFSZnoq4u%vU&-p{Sn0-KXekpEBi85=!oAxIK^?hMC-!po}>lt
zJxPnwdy?uGhW~hbZqcP(+q7mozPIRH=szcALH?YSMe%b|7TT*my&lN?<bdgiO>ZYk
zFKL{fHJ^+3PRPPeX{{{={(A#>_4Y|usi-%s580k`L{+u<6_4KP2@kutcw<5rc4ljB
zDTr;{DtRVM(b-*8>cs*l;kTg~3+M7kuU@q=ymf1Zq+PD)hI-%F(8^>n_Cwv#AOEfU
zQRmufD8rRLD_wF6H@iK*WZWu0X8n+iM?1N6ZGso}F8Au>kqMj8sm!&xASBVOPxFl9
z@(-8n6ZEXK&N#ET{>;$dyo+bviR&wpD|Euw^|^nX#wFQya-ryXBc9NOor+pp3?f?1
z>_WXVA50AL66P08dsyFiDCbW2jOJ>t;==Gmxpx|8j=wEGdU&4ew6*y;SrtpC{f|Gf
zCtB|BPPc6zK4eSXJgj(jb!E2fwUc6UnMTjIT7LR`K}GIFt5Nw<);2wRe%^PAip|^)
zzuP-;9sViN+CTl_avP_v&S*of`x!?xY8HPq-E@J?>he~@!oZpz{-Qc1^-JOtA8Xa}
zvLDYpcvLg$fw@X8Z(aB#ru;XLABvW5K2Ts~^R%sn=iG#i;^nViN*p~<YINw)yuD(J
zBP_%g->~qyHvj&i<u7i{u<+i-_5Q5-i|`ve9ODlk^o%MfY!b7PS-k$?;}_KngJ*2B
z<mp=S+Tg=p{i%N)^|C`!qEidLt1o4#KRowYOXKacocB(1cjm0?T7Rf6%*!A~Kwj;H
zI*&|--wcZ%!BhSg+FcZ>2|xGr&+9o44n6;5*~N0W>sd?V)U%wztGPNK9FpdiWD&o2
zYmR}zPRqokpb0Ay50>uZFyav6xjjpmt?d63|5N`o=4U+Geq&yfSWITIV)p|t<ypdP
zZU5_iu4s8Y$u3n1DE?W{$H63^BJqyhl8uv@f0l3!lZHxD_E-HBftc47ip>wAj?WTS
z^J9C+BjkHfTy*Y<{d)4}{3^5U=FFWf%(lx!-KRubrHOmJPKrQGg=_Jl17DuCbV@q*
zHoF9Wm>O`Md8?iL{e6yoj!o+uW(%`bnXCJJ(Nd{z;{L9YA`r79z4(yB`e!Yj`y3x_
ztx2(FlVss+<2%dA{C2jm+C0{YwYu^*SGMvry`H0Wqv^FwuHOe&UxAwn7e#8gZ=L(|
z`qM<&dE1{U|IREvbYQ`=md?FDxt}ZVUOmCF;34l>PG;}f!fMl4Ch`jX<evZQ=Jr$j
z;=&{6=B~=QxvJhKdj8rq>(|t%M*qw*Yp;|4(CB?BDz!M~<?n;vPwf*8T6HwP?#lL+
z**SMFXkA}c%2r*!*wo<7Md=@V7n#qvvD7@`#?RUNb${2L2#Al?mYKTs>(@W>akFzu
zZ|8{qs`<d5TEBSX)sL=@rG3|>_uBv1y-9w>xoJ;(pPD|DsjmGHf2zK2#b?v>o0GRa
z%PRI-XKVK(Kec{-LhiX8)jQs5y;{9G>Z7kr`I8H8oA>Me_6uCOCim_xoAA(!%NCYe
z-d8G)t+^)^YyV^RruSa+f@-+sPq|I!|F`Om^rn^DCOALm-xvGM*E45sZft;c?Sbtx
zCq-$*9sjU&^`>0y?Bd?9TTR_6YwJr--}-g^)a#?mC9GO*>*Qpu$&HPjnR~Q+4evq!
zyaju&Mb7^lI6G(Gy^QY%`A_e2x6po_CAB)bTc7u#=<(Cvo#%FyY}H+V@`0<A^x@AY
zX3V!Q-SEk&wJ&jR$+;T3_0GxFJ5=u-P(H{z`#%5Hs1pH~HI%-%`WQ-Ozk2m*v+nBp
zIraQMpTDo)|7Ly2><FzZ`@~wRF8@whEU^8~vG4n%zfE6de82Zq_C`gw|AooPJ1hSF
z`tJNae6!zO!`JuzJKo$Ln*I8d{Pn5(<AS5lvESV4Db{A{DaO1yS@-MBPg?f`B-eOt
zGFaoYNg~2Ae4j;9i}wCG?<9BLYKzIul{ME{%v-vCO8xU6bC2+P-{<G;JKJVf)U8)J
z|Gkj&zAU-xvim1W9{zU!!`r+mA5Gi2B&}9ma9|gmm9gH5`)x?Z<EQJN3VqxipsBk5
zsYu$w_Cq-(;WL`^xQZ`^Cd%#7IOG2Oz6<;6wqu`;nenztuh6<ZV{Oip;A2TI+s^Lp
zn!7(GTIFtEWpqYTy{6@P&%Fxob1vst{I1KmwKD6$XRi5O+MB-Cxco6)`@zw`&G3Vc
zo8d<jw~ZfE+%|r+a4Y<v;a2$Z!}W!h>sC3s>^F*DbE&yvqW;mxuFT&bxeAv*cI7Uw
z`#X2j{tU6`Z6`y`!mdB-a^1CdvSi-N6lU}Kzf(8qo1WguljqU$@YnkK{ZZeZ8k|gc
zl&^Bcz|oEE*y$5TEF>c(w3VfkQ=TMj>51u?G_if6(I>-4<`;iS>`=RU{K4z|m!)in
z4J0Kcw3LONQ&JK)^yJiNDYG}HJV{#6W5Y9HV*kIOTA!GyuaeU)_*cz6c_G}5?bnGD
zF8Pv?FI1JKZBw5l++MHpBx!YzO?}S9iT!8ycU?Pv$V9WeJAcE{>ybS3PoFrTCOPwl
zhBCKwN=owH9+@?hCw6Z&`n18#%`VLQ>qf)SRsCnvt6!Fy&A*#^B)wR2W`v$H_vw_B
z<dr=#Iuj>$A2s^4!Nbi?%<F5Rf$OUN$p@KUmhv60k(8XFt}I-<^iHhLv|roXJ%v8j
ze~hePdcHZdGS!){xK1?cB-f0!^{wl>H+?nTTGMVDz1Zl?uh5JsQ?Bxy`>(a-S;!L}
zv-&lcCHGx;#b$T?m6};*DYx|2UA??fcMn#reU~&h?E8kW)xRS?Z&cgE^StEY(}(eE
zPtV(5H#N+BL(uBk5eu*C>Rf+iHZ8N1-Fxe<Zq=yzyN8q3zDu5{w}<WAt3#*N_qXnP
z^V4UC!)oan>#zErx%^7(SyriZ@s?f9H>2(z)rqa}nRD^(Z!y2uDbmYJHZ@PSx_Ojy
z?wf}@{Js_X7%!8Yv9i)I|LbC_nGZYs%nBmZXIm_ptSfWnq#56f6!zbxo4RYQZXS-C
z`zE<xZJFA-%(yf4(_?p+8XeY~o0G8I&upW!di0HTlXJgZI>}e{Dn;D3WK;V)tDElT
zzCOA!SNF`&|GVo|N}Hb5O^5WkIg2LyZMzVte*49O$+BCnpVYhcGKF8?{?ZA%xEc}p
zVCis^%Fj=q7yI6;(fsA3E?u!|vaij>lVWnOQ>6P#HZ`|f-8{-X_f7r7eSY5x{e^?s
zr<)o+^?tB>)}Eh5Kl=R43Zm6#?^rrn_s*4*W_d4C*o{j>iw$Gdt7j~mT<df7&Tl=v
z%(ypc2CnMHKG#mNsl7}Qp8qn1Tex&nFQ?VbgB5e%B+c>rW)P<STViqN%J%MA;?<Hp
z*G}>*F4@#L(dy<Aj=4FBJN&j8*88YiORSn4%X9Ih9NX&@`T1E%uO2r&J6gxqTw-+C
zVQx-BgP+;Oc=hNP%O~f4xq6cC*NYVKy``Jl*Up-{^?mZ`;|n(@d*+=mdsgu5?*;4n
zLkjz6PG5T}@!9c<XX{dPYx#`r_~zc{iU<#m3yePhdC#wp=BM^ag}z!HcmCm*-wU_z
zt^d5|gI^S1xE<fz{m-_V?R?Y!dehXHJ%2vVpRTCV_5Dck4wbzs73)pH_3m9f^>C5M
z^hGz+#7~GXQ4-6p`uF%k^^!T;_10%bM&FO}c%HIylgRwd^6uKxRzG!JlRJCu^Qm^q
ztt;1U+qKY4J+3rL%Q-gJdGUkgc76BQdreDiq<*HIu79w^E!9FX)Ou0chIn=3H<wSc
zeS4K6TwSuM^{&;;V|sJnBrNwU+vu!bePi9^+MLTrt{f;l+x=5--EzOQ7YirzmRvun
zw(DgIcWmjVUOlUuj_GsXESl{1?LwgX?-vU?S9T`P68{_<Q$6?01wVD^3QgXbf;W$F
z&&_$b({I~HA9d@B`c;!-Z7!aalY5;a-@ioCNGIB2RVUZ|qqbL`oGmZpFWJ=i+v?^K
z+qpRp*ZFNLbXT|Dv37Fool7o9j|uwPmlQ-S-es{Q&{pQk3Ol|UnJVU)t8;&>DgGS3
z@aBy3+EXJxO8Dij3-VFfsvG^NE$PI+9fq@4p9(YGb54Do%)0-tUZ=*_cS=NEH%&44
zo6KbFvv@<}%7;zU=NV|_XPoG|S?yJEh|9Kq%E2cRt%*TBNe?zl96fSG;^?6kiKEAU
zNE|)5L*nSsh^UokAB6m<{XF4NfsvbGfs&hHp^e+d0v)%Fg(hx=1uAZZg%*Fl_<Cl>
zR-Dpqc8;3+a6y=PLBloaRowj(-`4Zn_X%99k1=>tZNEjYTOw-Pv3uX0yXQ@sad^gu
zSF4URr#_zdVbeyH&gBY45`PObenth)t={_MPOx+A>qGM;-|($3%oIMn?nAM4V({!^
zx4J&XT+O~3+Pl7E_45tJe_Gs6Xx%Jpkw3|GvuXEUKbw7<|NgxY+?eS8c}0V>{H0cY
z{(2sZABwSy!sCRG@}E+fx7hlFVy*4R^pA{(|H`*5lKpfgJS-vp%<I>id%r%tYU#M)
zyR&BB&*Py=iD#7GO!S`nd{gdrnZ`rK=D9}}clYv^-ds~5Vt(_;hR=rsuIHMCUcE9U
z+$?PS*`)TEgL@qRh+f%Xb)D<BJ&$ei)i)<oqpzBU)ldH{S`+Y%XWP<GhB>}ZjW?)H
zJ%8jGzq7LY>#()W_tQ^3E0XNt>TgkOO-$)YN^t2(N~)*`)(|mt<q1|ieBr~lwG75D
zyaU?oSWGX>UZ7Sbc;%4z{1sP@J!dK1(78a*Oz6sCwx(YZ|2u;cnVqjRee6ACWy<{V
zumOwdhPDN2VZ!xS4rw*ritr3z*XDSYWa((Dqr9SjX;!N*Pnh<Z@Q>bCcz!&p-8ieU
z)&FW;a`FT&S)P!E-HcjaF2uLm{nDJE&$91FKqJrmdX4FEHH<%bn~Y-AS2Sj`m=;c5
zAhu56%CXcYs~s)@;^LgI9x^%B&I#AfNtm4VbniDAN6B+SR}M(lH_fu}4d7nRk@Z-`
zQFe~PitfiOUkiE`*!8UvHQN}t^;2AR%L1PEt2{b60SkM-YL#s8Yt_59>cU}N(O)}$
zJ~Ti5PlqY~bp6rui~Kzr6BTtOA{@BYo?UKgt=y83tfy-+!<kzz?Q&Cl<dz4?&(s&{
z_6Qtt7c83b(KBP`jN|LChSy*1>Obl8Gob2d_SZ+Em+d*%dVP+t*&I82^+WG7nJqu0
zR_o-isMl>@IWcj~!l^&5TJ4kUDw%BaRC?iwquf)@?-6-+S+Diy9PdQChe0<E8F1Z}
z@S4(}f1Kmg_9T|4w+)&;=}I{M{MNmo=Cx}8e=^6b<dP-b=3BICS<+9mFHC>uT<=u3
z|J3wbPbKE0tUR#XbD9^A*QSufgo>$>n?h2Zmr72^S#@AmO0a5}`s`I3ULC&h(&NMw
z-g7TE8tr-3d+OliXAy#NpWS$mF2A_(&*2O644$maj=eWQyI%CP9nV}1moGWVy3>w{
zC<dJVDVOf~=JZb1ZhIbEP5B_{O})IPTYC>auaC}J6&-G#6zHRI>q*nvD~Ad!j_#lO
zv~=O$%zw@$)9pM>3}bxEBzH{6F)FmXney<C`a;{8Keq4q^ZfQ-)`x$iT#{$Vua=zg
zJuyT1-1mdLUu~|{{FozM>Dwq?mQ;M`?Ud^-AGlR#t__q-tCf)2_&SPxd0?yfJYN21
ziJcF9DiZkWy^}obWjVcHho8!pIy!Bi+T{s{S7yvp?R{Ecq;)lX+tbH2-`t&MN$RRh
z-?T`##Y9<Z`lOT@HF=!*JDGZ)AGbD}AF;@b`Ti#My>{)w^IfJKGy9X5eRI>a{m&{Y
z8e^{i+FJB+<AvmhoIy1kmj8Izym-rjf)+6znPsfP?HLCTIY!lQC~Odud9$2V{I?ya
zZ1=V9^H)<ggeUS;i=-uNKjiZ!Xh!Q?uFV^r6Zy7jpE<hC-zj<Ok1gpRjl<V&{uw-X
z<-^>H6Bj=0`k|Bh@UX+3?T;4k*Z=)GBL1VZ-J{?H`M=jU?e8^hvlCFU6ZjVR;_-^4
z5885US5vfq?q9ok>iUrDA!ha0u54YIc_Qhh`@*S?&a=7ZE#~-s?Zc#19g^E>gro$#
zESkTmq-VGnG3(cR7Ygfp<UO=JnQQY~H_(T9_R34MU$`Z5$7<#*=5CcOS+=3uPvqN$
ziHGd0TH2@EJacxP+VSV|{bL?H{&mt)aY{jK+mlV(qBqWJoV{^Yr}V~It*<xEs_)G%
z3^n**;b-(w!*A0E1HVll75s_{?EQ)g_5D5-nEQPye7=1l<LZt3Y_2QxvHY~ytMbPs
z%HzcQ{bJc6ZLU&R4><K^B{jNjHHcq)YsT_G*)xANOqRD@Id=W^rWv0DRrq#V3C@pM
zEwipI|MIq}y;F0wqo-g082Mkh>+{cfPuSAxC*3*1Gbwt8Uy<}>k9P^L+!x;5T=6*e
z=UOJoWi8)Jm(I@Rag=luzH&gLX_ka%0JkznR&qtfI{kYdlS4C-CUNQ3EOU|;4t<f(
z#1-2UzOcPe>x<zu|EaC#d9Uu7mANYaR6<g_SiH91{K{jC&4XL_Xs@_%;0>RyQ{*Ov
z)6?f3a;rc1V>NqO*n&pKxzP*W^nc!98lUvcU`eG(-rWlku|HqEW|aHm`SNJ*SJR)9
z_&H*iF-Xp9<%(=zo40y`e@fsC{a>o0MloRv8?|MlMC_YGLlx_$G_P-%{?E^e$NSKV
zg`1Y`lS%*Y>m+KSyx42bv_Mh6lN#NVjj}q0jj~!F8&$E^A5RJCU2ODAU1s9N)fRv9
zkJhOM%;pO8)#1CoN%#Jrlmd-EL5&i8|Dp>QhPg=EgiGW*w@Oy5G7$C`Nqe~QP>xN|
zjOKQ(;*SoAa__Xy9Jk$>#m0BSOu2bCSMrX<2F%+<(;iJbq;n@^Mz1tiaY1aN-a5@Q
zheKO`R{VJ%bk*n0^!nU1w*G&yX*~R*X%89?`P>Pg(OS*5xiCDD@14e(qq(h>JC+*A
zn?GF@c|$$??5PKBe}cLn?mEO16Fj4HHP_|>*F-Zt?K1~eTX*hQVZbjg^6c@R7*lE4
zl-Y9+TDBU_37yes%w>G>zw^w<7t0N#Yek<e=sy(mC2U4}9M|WIpPg6MXYt)SeYDu<
zh2s7zi7(a}Fy9qPTeP}{Q~d3dhN=q9`(NzhJXR<*>jlM$^2}Z8-KDQwrxxm1Bl<D8
zRkC7{f$(h6w1?cSH-E$=@|kI#IqKG0d1HZr{OhGNLL^?Og!}HX)!HAj$8wbcbGArY
z($+&dYl3F<PUR}z;FPF0P5aDYqx#mL5nADAUEFtOJ?ahkx%5$PK&xcL5(8mw(X_<I
zLpf){XEa~tDlYU&l=IU%bDVQ$mYCIv(8r7K75-dWbH#d@0kgYk+M|v`Ix=B1dY!q7
z3jz}L_%zNOu50}X3NW|oomn+kZfozK(qDzONU1++)>?UEm4STplU0@(>fycpRs3~|
z#&*2gXAUU0&aC*u{ZdFT?o{~K4^D1&^SoCWHLC~3O|3DRtQUFGeXrmCDU$NC^_qLH
z95A*t7e1ew^02ihXU`PD{#kSOc7HSabivWh&g$Q$9fm7cah54`&zipDYw6G6=2oMm
z2nA*4&#5W(N%MMi&P<)yYiU$u5bdUycJjpGGxw*Sii<yP9z8>l?a|M9F9Q!4ye&VP
z-*%#z$Ir9n;TD%4PL4`y=e&*_vh2KJA-{J=<I2E<M+G7g7DB9MeQu4-$$<|ZRftqr
z2(sGwxjE?0-!uDm3`5(x{XElSZOkwEEAjkQO-g8R@!^@$QQzt)^l<TGeI@bd-cvI&
zeM<V7xNR35a67M2a6y~v$0ltqn=hSA^0oCpm#3z>PB{C}$-?VV`5XTKN+}h(-QD}O
z?_IsQ>R8wH*QcbV3SO2UeXM>?D_-;b(@mzlkK8NyE;cnbZ%KH>pc`?+pWE!)#ir)H
zTOK6w>sH+OyZg~@YemQUKM&aY{xpUC5RqIw!vZv{DYy8Bh2UZf3(3VFwmSAadRVe@
zGG}W)&+fW#8!yoXX4g0E3Z4Dy(}N==&);{wKUCD|zBts^?EWsB<F91OT4wM4@b>5J
z`fwW=;ro4|m(A>p;{L3ts8Xt9GQAvfdCI}9&y=SsJ-NMxPxs%Rf^7j!HT9E}HReC#
zIHhjY^l4?^0<n1lSB?cYSw-9nT&fo)aOJR9)31oDfh#vON@t1q+zDCO8m+aZFuJv-
zdySKLdFYFW^SEm7OkZdr8m%iHH>Ydu#MhE@Wb)KB4vRHO-S7$!zRi)9XzloOSN8(G
zGNCI+^_o6e&skviZH1`WhOkz(Yb!6*AF2|)bz^}OyL9M_q{&>iHS3(@<6XSZyGVZ$
z)pwNqR+{ti>!G_heT*f16Wa_$%nYU-QacuS<4^_H?HLY<?82IF9vO30&u~eUpWL}i
z`i6gi-By7sha8(ey_~s#k5Bl@QNgAv3C{re#UfgHJ2Y8RXRHdb-0}2T;EY7OR$iS2
z2KD#n2i-WN#C1EuCz0J-<4uxg>rcNqhwS3Eg}=^AkP;LWzRa1G$mW=PMrB2FBulB`
z>;-bq1g;#9>D;7N$iJ=ooHAo-#(l-8rwwTrnh){VYUV5uZ}t7MY(uM^$hM2q5App9
zyy05URW0F=D9_xvOZtUFfUqoQ)<R*&+!D1F&3g4Lr5C3zklQ7A#l5_>tGYnIQPM7S
zmCT*y<+p@q<j>SN^W9hE*=%*L&$1$|Kh3%h@#zKJIO@t(y~8C@e)`gCga6a6CnzbY
zwFz7~6wq`_!YzRPFz2fz7RR3&UIBJpURN@NzCRFMDb{2ZGj)~B1)g^SGal--^4?jz
zq4T%Mwhs-5>iOh?ZX8wSs;=<4a_oR<)2tnS0o=jWtF9d5J@op4nq%x8r4{|RxkSI8
z7j81L3Dpw6W1qeBw$=fj*BUtqwynN73pTX&ifr51d5F($`G#(NjW>zLt+hFUzZUPP
zpC>42_;Jnxv1OXMs_*8Dw_HEy^(ad(Oz_I#tfpTP%7L3b@7UKzbDrAZyQ;{+*uo*P
zty#pZpyQAlU+|4Xf?U=XUWwwzHQpqe1WGgC=FCcBchvQnaLXk?+M08Hb1X~g#@P#M
zwl54Q{NJOfaX4aW(ZRpQt%qukdL-_fvB)6aH*kjja*a0$e66u(mTqXTbjjuEQC`vc
zk!7nvVCTLa%4z~}?DGZduN+lr`Xt-zvR3Wl_vgY>5*tHyHl%H6I>d9_TJXjJL9WmH
z{1dr<Yra|Jp3YSL;#Q>Yw#HVMR39DH6|J5@GgwPE^nBPAu`b|Z;ce|y0om<ygB~n+
z{B60xVFoT~38zHi#Tq$@Jgu@lOE+{EihMJex1zUEd~V38_M)b!8EafZO6u>Vw_HCM
z+_bC0DM0@3l1VomkI!`oXq$d1PJ4l5$yBTG2FYE4Hyp~jX20-E-1l+8hVFeL-!8<j
zT6BQzmf#ha>ZYg&wbsZ0_PLzvyY;w4WxoeE8S#X1$&}pb_Ht?NtL{9+rWbtUh%Q(3
z4(~+q?;39&?%TpBdgDM~(<}?O0B-I2DHl5TRm}UaYllLh`ioM2j#D23v<e)IBQ#x2
z4wyX)x^Yy8>-P*z(`g69#DuOK6l$u9Sa{*Vi`E4^lczed%uQfvm23;XalnA<Gp|Ui
z?wf@hdhd#qZEQXyXSaMqzkY|8)C`XRVPTF_$HSVeBzyzJ7jv8{FA^$k?%OhR0ncqw
zt@>G6T8@&-JVW_1*tj*$Y-l}H)9#ok{8}R?QMWaA%|e6tCgG!I2F(l9(!9!-Ef7l+
zymBx{iCw%{<rQmU+|mHS3$ri9>S)|I@GdWOkUST7<3J?WYzxnn+y`8azGtScQeV+p
zDfG3ui)V_~BX-eB#i!aD_p7~Jxit=ZWIp0I*k2#5nUl1_WItEV1H(c`;r^->R}MXv
zn>e|qkZr2t{b$D)cTZT@U$XYk2g}X{JmyOrII<o{Ir_$^u4vU}*;+Vr0iT}Gm7|qS
zRTj<x^2=w<zo!?(y!Wccj2BCtxQjzGlIL;B`h+j+&eZy15ZY?D>_otq^^=Ppx_-A(
zylyN}Zgpa9z37*n(Jj{x<TlOP;T*8fO<_fEHA`v1`~`Y)0#^<@H~p$u5_aQ&q3A4&
zB~IMzAsNZ*xnxVi55HYBo6EK&aACjS#SH03zSDT*++U}3H2>x~CphKd<`=p1m-Bcp
zir!;mwqeF0H8EFnH%2pq7|l5xH(FmP2nKMASGySV-D*tSUsAu9LHdS!qHy)nq$jiA
zPAWNAvpZHzLta>Ami?w(UIE<KRc75kb6<T$E4$Fy_w(Ca+J7`eNoZaCGpjV`yvOJ6
z$tQT?-0tt?^Ygo?XJy82(EN@i^@U>qvn}VT<El+jFMI=}YdKym(07deqO5UWLGa4)
zh8MdJHA!WxcM_Hj$ym6!o-4N`Nb$b=$_e?4LtZRg%~e}6dEpL5_Ei%SC&Zkux)`Ot
zWZs<p(tbi$4roHU>ggO=k98bn=O}B;|I7jI)yGWPy?lqPedywZqzzoUJb?>)7iyIl
z__XS^t-f%WL-d!0obF!95;L85a*`>ZOq$PKWHj#2ebcR1_xZ-rdPlC`7E-d^%sCIW
z0{Pg@IoG%MT|9V|$Ei=%Yi9Gi5>HLn3-7LLzDZgXba;WOx^VcGf+)?o851_MJl*TE
z=#hm*^U}Mar#ASk@^mnMp*dCefSKLW4b2Bd$_$$h*|~X(o|)ftUSUP=OqNoEZb;3M
z)7oX2v{Q)Z;u`HK2`u$zZ+T|zXSn^sF_C?*#+yavt+ii*Z+UI`Hu*({Xn^#2j#m#X
z9c@b#K7G9yxag<z%c((Ix!sRkU-WyH&9l8T5Ao#%+&G-e^?Qf1s8xN5O^3$3*2gW^
zk8w8bvTzOHU(WgJagUSh`5S9k7RRw9H(#k?+_rJzAwILf8;5JSe$QAQVqJgZso>Gg
z8#+GRitq^#_vU<+DCt<MGd<(qmGVg<J%=RU1gw-}+_tg%5MNo)jl*VKzi)Vn963_b
z6g9&oKzhF5m7^6+RTAz2^2wrFRmThs(_FY@zVvL~AHK4;n5DE}-h!GvY8vye*2n*G
z$?2SBvCfHGJtX7t60V<b7dq9Iub6PZUS@y4i$c9jb%>Pd=i?jQm+TPfOJ47u9ct0L
z*D=8EyU>-Rx=p`!$m-_(Yxp*aD>o)=VY9Z@mx9n%yLBfO1?E23AjD)?C==u);3E@%
zLX>0Iv9u<u8%_b@(VVZ6XUD``<bJo}!m(IUs~yXo_-BW_c>Fno<5T)d_N4+(&Ij>(
zDAsdiuvIS-<<PjlSoq38yQW<?`~&3K7abM7a;Qx7){TWuc4{jx9M%*4bwjt^;Y-tB
zFAf*|8_Qc1Ua%golb3wXl(jf~_lpnW3G90{-z?&9t^JaF-AQZH3r_Z@RUPvRJX-nq
zR$n+QDEet?i3!io$M^PL`l6sAFUaoQ%DbUgQKZbEe##-aw!j<5Ka^cO!JV^6-ck39
z`ifpgmQuqB3*^`YuN+^_C0d)*FVs^d*i-0b+w@G{KapM7<(kpA0{bd{mMh1ZclsXT
zF>KP9(>jr5t6|pyJvZSihb5Xm%?w)f^YZ7rmwFX6_>X?h&}GVc%<3q+r{|st<JSv*
zT~Q6STc+nRH`e>w^n6QZ)U^p(*n3>7<fBWg+`Ls6j&IJeesW*?hGJ>^gE{w|%$Kq`
zmcQ^zWVh9LvvBzpZ>Bek=SJ}f%T5s!`nyosF}Fl%MfW+DuNPVu*zMYu9r)*upF{Jz
z3;(_wCBG2hU6N39eWg=doR-Oj=vK8~D=s+2i{5&%+==~f=!>L=dM;a@kcIt@Ub?&`
zAu~GtxHeyiOf=i2amF#cb?1v^2K;wLpDk`b(&R2Ia?BuNRg6J<?BBUelIvDnIFKqj
zYsYdY?(d-)j~lsUZ9*1yyK8;<5YcKkZ;D-TSPR=btusetTO)U@HIUveax8oUS8<_p
zqTD*|9(@yKo&D<ds|?!GC)S^0)oK1Y@fnxGVJ1<jh!sx4-60u?8@Y0I0v9$f)hgNO
z(<(P@^@ZbI9{+_e94KD;Of631%pvdAn=_U^d7iNCP|TUY8SOi{9$Oc8c2=h?JtPt4
zIhFg}%!OJh63d*J4~L#mFB1J!8Pi%L6~3_fqE?BaTdUl$RTqw1ES<&{rhVo}y;N&t
z#A*ZS*CNjn_8yAK37FB|%k|k%<<O_)qK`$787KzrY)Cb5Zsjpn-ki?B%hPQqDH|Ys
zm@_Mp#WA->Wkqu!%TsOrrcYDF9e<u$U7NB@^vVGjRVFpN;2Eu+T$>Fe6Zw{DoH-iO
zS~+8xf&Arq`8sxm`WB;gwNG*zA1q;hT>tbJYx((-CZjV7D;j6Am>PC35K9xja!jVl
z>V-pqxGd+Zg~E=tC1Kh*2~AwSJ|PQR&xd3rPvVmG30v5$39Z&#6aVd4-pwVs%k6cf
z#haJz0d4<SObr?qsIduMIi%2Z>&KJ@d~Cv3jtVqYNq7aw$FC4IyWrcZwrlkTr+R+T
zTQ62RvF{Cev1mJ&?Uz8s``=C*SnLQ>JS?_z-od?Fr!V07&5@O0@8~O|u%fk@Wouyv
zykT$Q6(E273YYYaRZhaULoyO~bLGAXSlB#Qt7M~ht6bUY3&-baoRME78?Jb`GsBkK
zJ;R&h)P^Yw*ro|yIa1UV72y~lU9ZjgDnZmSc8%JKc2kzG8^hLaXq>ENVi?{k_H5;a
zV>O~y5euBexkFzhPUNc9nYM7p_G>FAB<_tl|KMJf>|Js8DT%y}ylYffbe6Jg-7s%~
znVG<qgKkZ`Zny;S&ljH3-}1;sH7b!=`ijPk8!Mc+XNP1wE*7<lSQmHVD3@qe#7d`n
z`PGXI>UDNTw$`ZhTr+Nv^ik0`oX%ou(78ZOP58<ok)~TSyaL!SbG%Bja<o08u%drv
zR_jlX6;5_St0wffXgyJ0$c4(~Il9->yOn42(<-*_*MyorO`NcxMnz>sr#8#hf~gD4
z)(KuYSlaYyt(~Lo9hDXR_0d_ayk`Ox<vBx7xI2k{ni$YpqY{GP8gPAf-@QHbM1gwL
zd4{wPQx@=?=Qw5lm*wg0x~5OP_Kvw*y8DhXmR^{*K<}H7WyKBm0Quinxukcjb`t&`
zlJRgKSFTOK!sd3Zl8+v(a&oIL92b`Cs(#(TCCPW{E6=;N!SyVu7QO+@=^R;)bR2c(
zD6i=K%u-s=xIj-&=*nT$re8bOgxxrxC_2kxjT5(fNXFw8T(UC33%eg{eJOBiwe#DS
z{c-N0=^w(MOC7Dh`D@zKsg>Srr*~zg*gY!E{do7#^bElww&E>T^08a3?k~tH-4}lK
zRn6^HSAX(_t^Ry=P1y6_^-*ijZQl_!_kCIEL(>f|-;0!+Uq;PMYzs3t2wpvV#?q_0
zZ!W$vE6Xlr-@Rp5_uQzvhxyjNOWv)wM@{T?TwdA+-_^!BS6{Jh%P19|y>(Zs{uZnF
z<5{Kbv$yQ(?v1*8m~HL5<h^=(#Kd04<$c`S6P6ya`YP|5i?7tevP-#FZ?U>x-;h<x
zuDxYf_tRY;ZbYn@{BxG4`tBL)C)=L6eA4b&S?)*k*7(c8PnWIA@%{N)(&o*ijHHSy
zOONt%@8=QEKDD6sdWyJnyNDjMZ14`B60e7wE?BmncAGAu#~vHJBc{ar;in6>t*71l
zpM;rwu-Mu7!{?JiYxl!CuIY`{nlWncmKD@TN=%aBushG=`>@DBr}gyF4<dT}%0WA7
zdVKN}g#9NmAAG(j@TB+?<4Z3@^q7-_cKG!8KHOxW*LwQc4-q}~=HMMMHM0&qO$^|g
z-rsnVH|1ew5%b5JIS!v#f6lpP-+J0%zlff2ZSW4AFP;xKU9@gJ?YLe<PuzBLbJpX?
zPfs2_sIS~~@@RL;<o4+&)#Tsq+V&&v;rC?DU|+V&yE1rrf_HEEQTMQ1($kqOSy+ZA
zSxAPBP0hlG$EzUXhsnXj<btNd2Pd$!cQ54R<3B7UW7qb&IWM8hj@xc`uSbDFk57Sw
z#MB1{hE@j?6JwhWAG^iU-fYUrC%#%(MlMX%qGrt%_WHX=QfkF&jzvv;kPtENfx!ly
zgNaF*O@|LnVrlO^%E`yxEhM8Sre<NI<5BQq#(Mr;t)9Phe(0F!A3SJaelRh?uj%lS
zH!SU~k(_+e#=<guX(|>mKG)gL980fiZtkpV?&hp&ZvR--++P?Q^(Xh{_axq*C)Jy~
z=LX-9&@0XRSYDrc-+xnTf%Vk>hkjb~8+Wg)QG2)aQ{;~Mr_vugYRzwMUs<CkxAaqG
z#hg>mAA8P9GcK_7Evs3!Yr?7H1$I-<AJ`dUC!8MqQ>WzZq+{ueqqXKYzgt<OSGD9*
z<%^l8o-YocHT%+$sV0dJi(}uLOn+(|H$TLVxi<Kx&lm5fn=jZ;JzsyUA;gY7Ht1(e
ziQm)D7c{4ycRy}&Htk{7thC3QQ`=3ZKP^uC9b(7)Ht46%9-mM<ZvCL2IX3=Ji$Ca0
zJ%9AFN%O4U?93d#b;~#I+%fUkvxjL?v-_jxmP+rNwd|HzujCppGs87+*4+N`%vVy!
z<6+W_`G-;y^0}sW)@sIxeOq2|v)*FDp{EZLxTbeIYsSd&Eid?KG3ky2TbN4v(e!JJ
zHDh>=2kqdI@qcJksMLD;&@~Y~>Dxg&WODo;7Hw2+J$?9`h@SlJNzx~de0s9xhs-YP
z*6u`quIY`snlWl-%L^iZD7BtG_FOZD&unSI&KolhJxz4xn%@8QByUP$WKr0ozq>^0
z_1d;-#;{#mQZO@O?xEC&K3vm#FKfo|`7JHjX)#kKMB00@FW)qk*)ckPW|a|Baz1U`
zP~0s)<!|Vmm0@Q(t#_$JNNg+5{CGX}{<TP>8xvk6M$CU<SYUQB`BBWG<A;8_^!IXi
z%CU<J+v@45R@ub(efhCt(iIW5ZK|n<)Az0Jl&f#c?v!J`E^Moor&6UO<NM`?#k3cN
z1vVESKXO@g{P0Pa{{CQ3-^8TsAhVi2>$xuyEhfJ(EKs?a{HS2j@k0w;`g@x@<=EMU
zZ1ujWSJ}Mr{_^9->?<N-+f-5yr*F3Hlxw@&DaX89$X2aPtxD&P=a(Bh=DsK_(7yQi
zQCbj3ZjN95CW{|RCm%iftkT`?>nSELy?e_Kx0~V2-oCwofpMXs!NJqlu0KALe_!0U
zsRH?D9$%Ihsed=+@vK*OR`d2g?ah@kvT)_*QO`VhfHTUVaE_Rc3^#Y_*R8!<r*1V}
z6mt2nQ|&gR=(}do`YoA(3;W*3zs_zo2o;rLPV|b%IPq-Nse0*Go9$O6?)WlMCB@ZZ
zXTi_KkNlUpvDhWW|I`q1UU+4z-ufERaC@%SJqvxEWy6cMu74PHWZv_w>yu)3xb*63
zuP(mYyGHT1a*ryDGe@$WMqN-ahiU28skw!J7aRO8EDiM)TWqiK?_aU+yQ`;Wg>Sa(
z`Sl<=HU9kKTVYqHE}CpoZxZ@sKiBsc`;TPS6o1zTtqPAi{h(&gi2}tqlLEsylS0k7
zEd`cwTMAX<N(xNlN(y!3z7*KTeKC9*|9H`H^Sv7<K8?N`arV&3h_lC5Mw~tPGUDvf
znGt6X-;6kWe5Zu3%_2L~W$zw&e$D*v#jabCP+Pa>#0G^plMM!OCL1;4w$yL1h}*JJ
zC9Y(HNnFWBowzR>Y~sFbR5Gh#TXsB4E$v8_SlY2Hv$UgGd}+tC^qw8bl6!V6%kJ64
zsp*TNQ#TmJr9^yPe_zk0b7hz0mrE;n_L{D0w3E8(65pG(aK78ti}8!CBo+k5@?2RV
z$Ceo)KmQo}r30@jE*_axaq-Ztii^i~)mL0R_^aaL(YA_<hmTcUbU$wq$m7!d*tJcu
z@FREIqK#5h6X&>@8pJK0HDf`buFsVfW_FiX@Tp~nh)*_M)qecH%=%vypU!V=G%+x-
zJEhikv|Ft0c(<9`k#0V>W8HdgN4w?z)gQa3eWFj<dxJ@hURmz5o?A2Jt}f0^D?h?5
z`*CLd8J|r>+7oBaof#7U)imk)u3f8EXWd%1YL-dEg{}(>ik!RxQQl73yj&bYESzgO
zoV1+;UVn^xc3NESJKL=uH|KeeA3nT(`0=i48&l`$obsoQ{?@h&oqyt|@!yN}{L_Eh
zr-hjR+uya~(b}Nb%)RFL1g6)<TMn@CXm3zF%$;+jgQ;HHc+&y38V$WUr>;G1y?0v9
z{^h0TOZAw4y?V~`a{1{F{u0T{@zXh(O=dn@7PzZKV(r&>OY_?0y<3a&tilsw&xuF$
zbF*H1p47;@BP79DPy9xQHmljQtVZ7*%VNKonD<_+zL~s6ulCqWql7(r&T21=9!c-r
zYM5R+^TS%5%kQ`7*B8c4=QDru@Aa>+f4^C;J#=g=t&B*pl@pDaf1K6qfoCIcr0#wB
z*!?mamK`{@Mt1|}>nGjQqo%+A8ux9-O7HpdC6Wc+(-pUuN*20LH!LrmS>Qi8GcRg=
zsne|4W*L9O&-q$&tvP&->Gh`72dZRrH`pEL$~o|nN!n=R0k<=Mitiq)KclsQbMyb0
zw;t1btMsdLE-gKu7Ry$%>huKNT|GBeot}}q%jbsP=`Yb*-ZdKKPCu>9BsIUsM^2mZ
z;eP3BgN+Av`DksBlNXJcf0ETK!K;yXW>kXmGSM3|Ub31!-2H!9*^<*7d#BsBy}pz_
zLyy_*e|czI&&AXu5wXX5E~g%<@Lg8F^W~gtoSAvM{@kDPPy6|@Xx3{F%Nk2}1}E6Q
z6N{K%&1&|bu93GgAVFD9?8XdtR<nm2?@iPTFL_xQI^FJiiDW_SbVc(L$&Y*WlJi~}
zJ!FqucAqWkQKz14-IU+!b-dq9d4F|N>5b5Y*fQ~mep}XS>G_SkH^LK~zlq=IU}iOY
zR-e%5YoT&~^UBi7Ro^!qH;(;fb3OF{cWhhERcVopzS9kFm(JYaJUKJZYK?cha@7B+
z#g|Vqy)IaFVAmYI4RZ0K5%bq`=Ny>HB>l;^vDYFxAvsOtMoa(Kz7oq--u=nDzF4k1
z-Eh7{^3zT|<$V`Y&rILtW1)EZ%k41lGgp=#*4g>L{?w);i<n+-*l}Q&j^+kAZ|*hc
zH!(?X*mIz5jm8E+ZSI^itC(hQh<fia{pPMOGZuT#-?@ut#`4n>e(vgtSa5nq>n@)k
zE}$4+?A^W<?7GwcS4Fq)`=k@OP46$)n&a%O*Ou2e@=63GIJ1e}=x}5;ODkyfl~6iw
zwX!sF`S(rr$E#w0<y=TT;1=7Kb1C&mTI{i!Ri|5YH~H*ex9v#4PT^DWyG|SI{C{fG
zksVC04fY+_<)g7dPMCYm`6W!!9|9YBXT~HbFB7>jV<oFu;;efU^$wT5G<2G7r(7!e
zVWFP0+e@RQEqckvUKk~=QM-J{Bz8KV@fJ`#XBXvZMYq3Zt-tovt?}!ReFuKs(b>Rg
zFLGl-G^^R8vc}$>!3o0e{)KN<jy?DC(&IgPwR$g%9xT#x)_ZC6Xp>&@x)(+dSLs|{
z|68}ve>&fHa85tZz2<N?)9X*cjbCr5^*`G5^=4cGbD7AE33FM^lENE%Z^kAFe><@~
zH7i!`#ojj`1E$;cm()vsh?uVEUn2Q&v0n1LS4IzKs$IUbCH!@ZiTT^hzt+#0-6tN=
zex7U1>F2E19(Oan{+!y#YY~{>>?d}kBbe3fSxKYs53lzg)Bo=JVzIz`e)BFKizTNg
z9NyJqvFP-S#k+hg)K32p&&>N3HJva038*+K=3aAn57TR-{RgUaG&b1Pb93h$P-itu
z32f|*h)GDECUT=?X_fn~n#JDzn|FQr88)3!{<TrcGCk$AmquyZ^n{;fZ2Dt+dsj)s
zI#5ZJtFwV`HrJZd&zN3sTzcSFj_wA=^Z(oPoa01pbj;_<Idht6_J!~|Q<JTF+F#<n
zMXdCmAG(VtV(sY(rn`C~R-c|xTEELDLjUxa*UP-WOtxP5XX(uvh5d5z(^T8UBiaR7
zucf9me*LlGz^@*y4UCJqb56`)k~Z9S;8>6L2F}M{`$}G}_wHxh^+j^U=?2GLJd$fp
zcPQ@akz94UC2^Kd@nx1+E1xT%Fg$nid)7^>Ir<y)#JScSKg#sFc;x}M7`+XO;av4O
zN3JqS7q2~_7V}>|%YB#6rRtlHm1BSTTuVJ565Hl;HT6j3-mQlBUl~2z{a<l!x=pQm
zY^U_9;vaGAOiESdUR-*(P%l>Q<)z0P^=jw6FnZt~+h%h$^@wrou^O%T$suYvKkK*N
zE8e;C+nVG2t3K~sx~t*#D(;<&cXjMu)xC51u9o`WUEY^3gsFZr;m!@VT05s@+KWqx
zEA?Why}XpXQ?EAcg;By%J?F5OMoC-slCQlmN?d!ZTJLt~^Nr4>cGjWd8@x*ucZZ69
zY<-pFw?Z#*qr{%sbz2r3v5K`?Bc8sY%fwsLaB=vWNN>@I%?=tH(pm!&&I&C&Fw4}b
z(X_jTDLag_p7olJFxQ$$^^TdVb7IY2?0vH_aJt>>63Gpb)6<j{_iYKBmL|W@dvouF
zr8iwO3YT?e?9e;Q75RF9kye$;KCAGJeEr;OPETff{V}=mYh_dd^E}ZT6LeVxU2}9d
z7~bZ}Ih3<k`D~ig^fdj2-kYahNIekycGL3Cm-F<L-@P_^)~Hu6EO*Li?kBG^6QiT&
zeVC~YD%)=aB*>bHMNIc)y_Q_u`1R+O18i%wHz;Ov=Nx&&Bwf7YfSQls{XY@g^ge%y
z`!-{}_x#jdJQ3?oPY~VJ6S4C2jL=;s*7d&2cE0rfnCzeWvcTuhoe0-y6=4ao^TZ;i
z%X6<eKAGwD=N$*wY_v8g*7I}c9BF2fF5Z7Y?apQEOHWtm+4jA-^n8LIGv6ztCk=Ya
z-(DL%Yt<8;ciQN0hGQr9u96x5yf^+owaMi_Q}#7q)@w`S8^6AYPN<FRdhfLUM#Frr
zHIY6dH#(lPnmx;G^!*Wd&Ua<$&ZXY{w|9Nnx%hNL^)8;B>rZz$?hi>l;}EM>&vPMV
z*6d|3FTFW@#6K@2r8AQCT4Gvb>CYVps?KO^u)EBibHJU|EG4M1cSmeOa-QgomXlTP
zyL1HY4+Kt=>n?q{*<(86{1-+k3-y%6UKpkA(>wbsVA@M}ZZDsS)(ihIa_gPczO_l`
z<IFifPhWdj(O7C3lVJNzEMop{R<j3wjlA_cV-u9~L~qQH=gK+cYk6|{wKcP!oDJ8q
z<$G~y`T9LCe3wf47X9)}J>j|6QBb`^@^h=6u-)~PS+n<DUwW8#?f+9dGdI}CPhET1
zxH3*7dUM{S_n$X?t%ypfohN#u;W_KIGbOCo-puA+bJIdRVsr5|>q}2}>DjJ(dFlBo
zJ?3>UjGokQ(o@!ZY4mK7o^acrpenJc=bBW1b>5#D6vy?UF(&hsPtqjeI4xPb>q}3I
z@A~p1Xu6$xiDW_0bj9T*l7&&z4dY)LJ<R_2yCdl3rTDjz{fw(VORm_};JAuga?P#|
z#Z}#st9G>{g0fg1D2u%f1Z6R`*OwBz^kSF2x|BStUa$7q3!{W}dd|;Y8YRutOP==H
zD6#ccwO)1T^Ns$ccE3Z#3mi%n*+az(JxUGRL#G$Gl)QWsmbCPhOjzgKu(GsFT{}Mw
z@6DU8EsczLeQC|=(#&aBck%pio35B%BKdKvp6|JfsfRK@mM#z3wI*=x@vN&qbwXng
z2wiQ}35q?UzN)@Ea>cF|)-LbjbDCEz=Y&|Tom1TR;?lzjda-=3F0Iij&CGL;WqWfu
z^+a5(+nbB2XYyjz-UL;jf7<fu%$zk=^Yuf-KkR(vWEUKJr10udnc&z%fftv~U!b!w
zxRlTOh@bXuA@d`99V4w`t}i`p8e0`}b?JH0ShkpJsV7`x-C{1J)}N`2Rh#qseboD%
zIpNjU9`CH0b6)l;>z%OJ6S`Mj|18)wWA-ZVA9015=YIN?^4-^(8+Oj}@zli7bC!=w
zUK)i>mrE{rX&g13vHOT^L1bIaqSGC%yLuuQoNoE~==Fn@B{P@x%rSZH6FT?2$W>OK
zu-FsstGZ__*)^kcmG=yVU0)_otXF;3wQ;(=+$oujmrt!ba(!3H53lKZ%S&GtdQG=e
zFO~eTRL|M&dg_t%T|JiTPPZgyz2A8zZ0>QLt3S_##2z@lihJh5T^%o1b<bS3tHl@;
zih)-xWk9i9Y}S##RoA=SdsoTM72f^ayS`YiKiv?R)TVQK0)MHbVbF9#<&v2O^)Y8|
ztSqry^KH#>#j8JMLShfpU2T069D5}0>d`lWv4@ub_bqy<F6Yf7oUC8}X7a+M<x^uj
zr#}kS@ot}A@^X`ZjYHHlwf^q@eWx#_x9OezyW;eO-M=iA<z5&)Tc{^2_jl*DYCY#G
z^S(-~v6}C=id$mEt_h5*x?il{HG@B7`UU^O`pk2cYmUr&Hb>oS`PAZb39(i@7nhz6
zh^^|mv^4Vhi%V-5OESe6cbTkah@F+%pm)~F;jQiT`A3##to(dqxz+r-thtQxEA*D^
zf2G8>LN9H>D<QT{y}v4pBVVjKx2E_gDE?QMzTDt7T~E98<wmFJcBe}vH~36f^e&a$
z=rY}~yR?4h29LM4n*{^r-eg~KZu$CGOmSVxdZmi5uRd0~wo)%`?JJ>c8hU3fxkI0C
zaCo_;=-kXz-tC_sy%tM-S>!ie?*7Y5&!c16=3GrZVZN)!V)5x2>q}>Tm|CQId5$qC
zKUS{#JahG~2Fq34KO;&7jYGtZV@o+NyG*Zh+IS?>@Y|>3^(L08Wl=Y$O6clopSqMd
zMK9Lw%F^Q=vA=pQq#h`UZR5F|dL$tBSdHF2#nhP}X5QMYC*QeVY}vWwNv~?uR_P@)
zy>dFXS}$qNtE6MA^b&g>U7AxI(`kFw^7s@#?dNlBca_{&>peYo*OwbBz30pB;<>Tz
z^n_eU$3l0PNj3l0`tLD)tIj>{cvZu<TJOPxS5ADZ^d2?5O8T~1FLC{gOa5}xZmh9t
z_XS7$>Rlx}7I{xUz3a=4CEoM9ck%34aC*Y$T|E}dPtSN?GV=qdYL*UtzR|JN&VGg7
zgJrLr_JzkD;k|k^COr0#>CvT@&)2+?*#}OX`z|cKIkj{B)M@ogPbbG#*<4zB-Z_@d
z=0fU;+E}+cms8Kg#;V;BjMw*DdRlU8y6wKOx#z=Iaa*k2H6eIax5dg`GlW-p|M+zN
zzhnNXb^gKgzm?y5EhTl!`^^8)d9y-4uHRL^e{I{e2*t$qsX}Fusv&PT1uT%8&v7Mv
zq64!Sw@FH;gYq;kleC!*!u8Xlw=7(@dEJqz`%eY8pS~);WA#E+yXhMaiwl+g3_2vI
zuJWch=n&&_6`7)_LxS<1H*y$M<`lSf+<iJJ;D+wU-WJ(&UN@dbb5`^G&Sw10Griyd
z2WNZFl!7DeLVc2|4_hwQ&+Whac+rKN^+zNY@|{my(-M2k^G5QXmRdCri-bij_0DRZ
z7LSxUkNZq3I3%K2HlN$+&Ayu|+@(MGE~~sTiajJ}tn%jb#unx@FN>6EEy`)$7HNGg
z!q2!ivd<Au;`cfu{E9>7nfHy<#ui&KuN%*WIoa?0Sln<n%K4C>xvI?P<`&_+zlQSF
zCoC1eZ8(y<u<yddzLwZ`UN;`kYpH$beWPB7TSewmz#+l@mJFqR!bMSM3L9tfzKJjW
zzbd2EY@30;wdbDLnXb>dt|a=E#jUv@6s+>bAo5V!Vx5q;M$rr8HnY4k4qm`0&ux;@
z=AgWc+azt4gYdE_leZ^@CbPUUxX88B$NSCn4Tl*ycgsxKa9ojdcI<){<~|RLCo5W%
z`#f*d^C)r74pcev&d5<CC+*^S)toQ^p|YEriT$!d-)`zXY{(VjyQ%fCLszKpXUHMW
z*{wIu`lySTZGNG~X`M4=!)Z3o>NV3hoVVg+Uo*AfgcPUynrRzuhW%-6INSC}|I^0b
zJ;JXHHowSS$Y<PrZ_<X-{6gP$XeQ2oubPu4#MvG*y`cVxDd+K+sRf5b6~8saI=x8~
z+pBXWG3}zaP}xq6#C~p}Z<hKG8;XVae)=5}yzgc4Y)y;sKEWjZo4QBx(k>c1$)<_@
zjXI<k?|I|#mX=ySPm2djTAcknEFO6)&g!r|R4cnVd;#b0x~Vf=@0)FYA-;8LS=oD6
zd+`;A*|*AG_x0wfpT6NR2j}jdHMx=EWiRyu`WczNN@`qaaAe|@)Va{1$kZ#Tb)h9u
z%(r^ek;k`0eZ@;Ff_DFTxlHxf)phc_H@@`aoV{+shL_x&v(HV~@RFBv_PYriUh;F!
z=9^e>=-{o=O7XIvfgN|xENXADI-^q+aY)Zy<xOG8A-m%$G6gY*6zA7_T0AP|JYJ*t
zutmT1hV4AAjk6=av;?%zboKYT@pMXyuiL~8=fj2gencHoOjnWl*xTZ3Hnrf;cBeOX
z=UZ-^)%i6Sr1re$ji-BB&iW`N&hHlD+oAGs!fBzt9ZHGD-Ch<CX9-jvC{`>p?v4`&
z#f1{*Zk=fxjtg=A)|pyxpx%hHU1xg15iZW-Yo-(&(o+03K^zndvAUpGFcm6`)K2U#
z75Wyb|FD5qi0`Jx!wz4ezMDEMk{>5ec$OT;WwP*n{B@sobD!$IYQMR2adx+r*8;x9
zn=hw+7yBCdHfn*iwawHGo`-H$?#}MEa<f=?JfiW}7vT(t_{O$9BInZTfB7sh)MuIX
z;m)E=|7jIU0qw`bPNYU9JzUTF=0j&oteEGG<c%%0(>yE^R<<}#^R!6X*^(UQVUhTB
z{pXFlZG@7{C%@KO$Y;EB-Lws-r8ukCOx<ukhLiow#DWt!obG2P6`b+mRIkxF^6q0m
z$6cRw#w}K7o>;{m(z~qk#xV4dU2wgMj6vifMR`w)q)9EwYF-wJO#-{mGb@%E?|div
z%3$(qJI>v2rf)dT$oX4lO2Gj|&UTq;1xExqkIPIgIAo~!t>L%Ro3tWZy(@`o*UziG
z`LwgecHe{z=cfztStvf7Fj>e<+KBV`n`s4y^c25Ms0OLMs|ivoD^zw<FR?$jUg+CR
zt%nV|LVQ0%4hhaymD%jcVU#q_A^91%N#eov#*?#m72o~wdjI9$|1}nGTej`kuV?c=
zzl{I?`CfgH>f85IUe$+PzjP(=`kgOs-pS0-|9<&b{jc@jZeIFl&yldB7CEceiS6>a
zHAm>SYL4EK`2UCR)tk3_^ZZ_XM7FK_PHM}EdRw=yJI|dbviGc7cQI?nX}^_U<#wyQ
z*&Ka{v06oDQ}`jl-zqYj;}3DNzj=M}#+``!S1#N+@xuP!<9q)XT-);Z&E39pyUv+g
zOMG^Jy<pq5v|>@~`>BW5{||h>KRjE@eTu|y_dtuD$*rd=cDvs<TD-cEv;It<jk@(G
zwJ2Zj($puu^+7#{-LK7@bLzxwoy2y{YaA;c2o<}$GYEg8_u1v0Vf+(2ZWp-?0a?pV
zhj3Q=Ox|$5gp>Wugn|>th5BY_KAaIL<ToQXzW>#U-$$e>{m!5G{g3~e<JF1V?@3kq
zeLu1LCwrj99|i8|(e)doVk9fK|DPaRnOFAY?#5ZuUY$Czd$mM+ef71OcTSzyt)JM=
zUVoymflKeB(-S`X&O1++p0NEDrM%|QSvS`wjPeoU+s?$wd9D3kp^`ZNxDa23(!&Yz
zsxlu#4jG<T$=T-g?dyuZJ^!wqD6V$9$B?~a*VT#J&q-D0eLo?cv2>f>Ljw;nz2>)a
zYkT%6hMoJoNjZPT)h!|6ZucDPk6OrVI`<^h?Or0Mwai902iEBpnnmrwO68WiMg8kt
z-hJMBg87`s*%C{w#QtQVZ<cxw8_ui9eA?Nfyl+Cmndw4)7Kvd0ACsz#JAcADTRud#
z@_YSMS@*c_Cw^a(N{Bu5&^mI*oXyfPl9kWbPJEv3evfr^=E`+Fd%C*{ccj$MJ-NPT
z&uO8u9Z9_nTzVU0p73eAyxSD?L~gaqyUkHg7_*n8?TVSY;kYU1@0jTY2b4J5b*2>@
z5#l_qGqvE5(eJ7d_j^&<Pk!1e=g+MTD1CBwv)jD;wx6y=Gq3Ts$eGZ3+HLp2sS~%K
zl&W+rdy=~i9PYnE4Edth7_&~DxIMJ~P?|l&=J+Rk>@M$&zSMSB^9b)eUoerqry@RM
z+081iwcl?jCeEKL#CJpW;e@+FX1DV=kH48(aL5j9`-$(HmGxgpR?6?omkp7vEMGfO
zyrh3m;QQ`FiCc7Hj@_`0lB`U=f4*;zf%T_jk>FI<8{Tu6<+V>DDE&>@a9AaqC8VHp
zrc(I~)uQP)mA=nVE}FkniGPN=>E)-&oYg+lHk=pXWcQg`aKePs-Di5i86HmcnjBE5
z&(81NvmyP7?gsu<eS560o#5W>e$Oepp_H@z_5034PmfiHHES!s|NVWFvi_;QJ+t4Z
z&IYBs-;RcS+t(PoPMugDU4MwL{N8DBx?6lgc3#(=r!!C3&g;JOy!8Zg-K1GBi^31d
zeOGx?9Dj(BAKFk*k@*~Ph|~Yg>p<B`{rji;_N+*M@^!0QT!?Jt_O%nmOZ)fKT|4nw
zh)@2+p{4svSG!D|Xs%HF?%XW)vh8)M(wpo5g?@jox63zs{<de!cGXY&<(3x~pY*zD
zYU203EwXukR(<<a{#fX_>$?KKCwlig?>vs4_<N3M<pJ{`<JfiHH*`L!yeSSn#2Bt3
zQxthfaJq_2ao{0N@i*C_vSsOSvaeqIRhC@s{Q9=8>b|hKhr`2qZTm0#X&=k^x8~QJ
zS%rm{vMR1G-X<(m_T$nnySx3j>fQcCJ>pY#efMeM30t?$JLy|aFdqw<zw>&*bVi|X
z5*mr~9fkNLbRJGn6zY@EdN?Cd$WP*5_Uow7<414AM_*r+7Sj_v_i)APWAoZ$|B5CT
zO$wbKZLIezlJ|X;z_sv0eEMq(FaA|{Gx3D1T-TkajVEl={sld<+w3A|@O!$Gaco`v
zCb!b-%^SppzE$cyY)BX4tJHefA+IX)+2s&t|Jv#u&mC{fd9{4cZlAL|W~{bquiSs;
z+KtZ|S^JV?#3H^{nm$ficy)7Z|4K2>8;L7RYj?>9zZKtkb5rM?rwdNl@^#*MzTpIO
zpU2sfpDu^wx>et7b~%)GS}k#N>f{YKH+kO3xv5g0vn}V_)>VDoi@$AMB`JP9<axoJ
zRU4l>UQF*Q{BdX1`Sp_G(renvZC!3@B)0or`}(r3V@uo<zSl1AHibQr%ZB99)l1TL
z<xJafe7VrKn@SHG)(Y|6)O*+=E7W&W^I=Oa*!Zbn<F!McZvYu@c+TkPjIXVs@d5R_
z_id26_qF4BOUv0`hd@zMA@;xZh^$!WojVtlzDH;j&1Y5OpAlcH@5EdF(<y83ajlhG
z&U<mPubEJAqKMO7XL7-rAWn6ipWNU$*|UGcy3n^ai>%r$!+LG`Bh$2xB~A;Ck1*Ct
zi{gD>#qfD!i)>oN_2s`A&#+9iu2CzhpZ-|syM<cO{KZP<pF6JjOn)i#ZH8Ln{FOp{
zGn5`qI4RWk!{d<QWR*Dv7x#r-EiAmTFU<7uqY1BM_@Yd|*)UlykZAuKRvUPB$BlH;
zJ+D8Wh~u<=vvHmO_s0|cUEghpe4;no<=w`>Cw9^<avS2ZmYvSytbQ|T!+AeW_BRtY
z%yd_&m)Yoh$S_)U&W58P^EaLYnV+=wmCUsW^{kAXH<!N|hegX?U%u{duUha`XYG&S
zI}S5X+<oW&)BErLZqKf$j!jqozUI)|ulZZeP0EVCPrsYL`qiUe*|vKt>lTMZZ@zuu
z%DssDH*Z{+V>c~R$M8dKs1?tprKb~Ot9ULhJ>U4fe)s+7sVT)P_kKLT<L~MH*MH}S
z1_qx#e?RB(HT~88lV-&RJ11X`?tR<)_U)ew!fBCPr2grdS6dyuP`*n1+i#ux*Ox_q
z@=mmlc|Y^>th;^Y*0-dhrK0N3ZJoz`@y>@^(zQ|2KC<lh&pWm|SH39v@pm2rZ_VnB
z`;J_lwLtsKL$7Zp^_tp+Z?{`!-1-^)J7fCkol>@XPiLGzD#N{FLbBrYqURku<|G@2
z7wJ5FmA|@w%Iw|l4JUha^G(dQY%?i(y#4O}M^_eK=8pfKmY&T$``-V{_x?w0n9Ajq
zqxXex{yzQddF#@CsU4hmJ<{mg+huL%=1;v5wM2HCXK8s^$(PK`n%zgf)ib@`u=v2P
zH#!^Cy2T>q-(@vR=)V#l`)%zrwr^gF%kSM0IeYd^_5b*<|I+W?TC%tA+_z)9?52iX
zzxXR{_ssL(uFu)Oa_P#=CuOc*UK(7#Ji0xT^_q@ZR!(tj_esWQb?;YXue;M^%(dq5
z@%^uNp1iDeKO?8`_3K+Re(tWn{M$dfBDy}ddby?9RoVP)TeohT{bT0W-Sb#NPI`V_
zzki~f?e1;Y*2Q@RpOTqqeMWTWX`6}FXT*0#?(Mcoc=*0bDCze3d%1qIZyi$KzuN9Y
ze%|^e=iBCQE!$RJvgt+nmw)$nhq|Y8J6Ff~W~@6ovAbS9p<UH<$Ks7Q=d8EU)m{5?
zJ=eQkzfRYuB$_3f`o76opZ)U1<tsO@9!WISef1{uV%MzT@QsJPICro4b$9=Zzy7;E
z%+8$}c>CJDAG>Dm(Z2lU!}nD)x1NvY_02b3>YU{wXVtT&wO6-vz3KA#e=FDD>aV?*
zRl9lezx}H<uTDJYI9Ju}s$5hf-{Q{~QqNT1ZQl92Y45)@@7mAx(Nnuk7F5l&es$L4
zeb(U{-**+~dtFYve`v?|UH8rIe#~?KdE?{zzpwB8-{WI7`|d8j%g$40uHCnH<HD7j
z|E2eSwNF1({eRo{{Th17YKI#4zmDTc^<H}U$AYXM$7Zhl_4U^_H@4^x=`WuzZhNxu
z@P(`f+YLt|8m)SSSDdbBtnv|9QGed0k<CXa<Agg??+l#_Gc=ieCBB94Y=~`p+PzD)
zEquT1(srvJffc7c8mnqF0_F!Y@k;1km|)1%E1`X1Mj?~0#C-l6$rW}9=ilUg7OmhD
zW_@Mkxj;^y^Gf<AhqL>F7bpg^N*VetFucq<%RpIv!}*Sy1N(0NeXjjMHjV2_>a=<X
z+cfSg&)@w&bGf0KiFc>|g${P6-b#%NE$;t~#S0Fcs5#)+dHg3AQ@c7->CeCga{jEZ
zKKm?SJkKii$!US0J*UaDr4GWso~<u9(f+gHu+ZO6*VRjYI4scn%lgVtp?*_G;Lk0R
z##a2o$NsFVULM_8`)XEve(w9^X{OBoS5;qHTR-Dl`6b>fug|W{H-51`i!W>Sva-ov
z*{{o8Nxrn?e_*N5n>A;GC*S|Qzxw}eu8iE@t&zr8XKvoIt!&o+>)W?&U%vd!th*N0
zTdvo?`TPFKruDOBtBZ3V=C^<QrN8(8;niHrySJ-t=FE7wyXlkeTHT$$&hx%~`t4tT
zee);V<rel^uJ5mZ|95>B|H}NgmzS?w`f#hc^{*?XGnBP2n~1l4`^CTa|JHyFD^=J2
zJG<>%+p@l*likkMe^0M-?GD|vb@e)L=jy+!@BI(axVU_yfB3}HJ1?7>><hhr>(b48
zS!!>;sTqshTbJ!Woh!WU+pqI`|L+akw0Wz4aP4F1x4p8m>rJ=MGCg#)K3v>9`O(>9
zUN2&#Z@vEi>Tmv(M_<#{Uc0jV;+ok$Z(`(cot837y14z-th4w2=Eq!n_~8DIHK!Nu
zFO2Lz>|Fi#_BvaaeL2C-t2Ohi9-Pqjnt9G>Y2VXz8NQmEjm%v8_}_l*-zE0n)^(PY
zmyE0uSG%%v)nCu+o2-?+?u7Il{@ztszjL8P|Ld-gJD1I9SY5O@mhbeT#}jmF_)aN3
zSf}Ik?u62#Z8}MLCzT$yEAIW5c>UPo=#ninBA<4hNY?ANc{u6FS(Bo`Cw$^A?~3A{
z$ld4N$Uei<N^SSwH5=D0+_-n=*IuFYxX>dHUn}nYS9-meVW~%F<$9guZ->`gO+6jH
zUhC1u`X`~THDdc$c^p_2F3S1yNvNxm*uGUBXPUxAJ!jZ2xo-dVUUX>plFaQJ7q(hD
zSN-i?mu_LZ>8Q$tQ=iX^rFq-lzj-H3DDbIFTBQB!si{h7`!@NUIlf*e`P$+2TFGJm
zF2_e6OT49hdWTPKs${?BdY$Alp7lDyA1-R2Zt1B{o!L=exL!xt_}kP}p|X9edTtiH
zsYsP<=UuP!c+<bBsW#X4Zt6LID|{Mf#OG5+imT%z)sn7Gi2J|i^-8bsnX^p8JE!iP
zy>3->gyE4m_o?%Z!qc98dFX!KUUu6vvDsTy@4v~ZJ*#u!RR7*fucB{Ei_W?-W&5c-
z`CG5=m)g%fId!eSu*>ZF$R8V(w@a+mO`F!SR`=<lH)q!JWpkPBOZK~Y;l_oJdEU0W
zyP|$>-hO$<skc7j1-rZb=KtGV-MTw{#oUuwT-&biKXz}?tXtC7w_neS3OclJ;og6R
z-`kc3Z8$GoYb6~ucf#LUT|Zoc4Y^IHZg2=(H}kVe>M|?eoo6mixp_DHRQkka^-N;f
zS}C2&l%{2ArOjL>G)?=|lP{t>PtX2my*KVIe|9(bjx|zQ_a{Abd!1q4e5dEr)t`%Z
zr&N8JExYEV?lNuGOV@LD&r@1o8!Fgd>RkOd^SZavRi(FI^RJf95Ut*P`Ou1oi}HPn
z-Cx<u%*#)^x;u_rx&3zL%9sNo@!|hJi@EXCzyErESDe$^OHbLKlzyG-yH=M+K94;h
zS^K_&>6=hjnYMkaI!;dr7yT&lXO)s&b6luO!h_XaEj$u=?|ZVlO7`8p=JEgGw*!@{
z_ig^S_Lp60uBzRIrOx)TyS`jqdi-?asxLo1r!#K;nswGIcgNmxqvYj^cR%Q!UiWde
zzMKB}kK3i{UtiuX#XEh+;hu*xeSOXK4gJLUl2acVxrxawPJQ^fVul8j+zF*8`*f7v
zom6_ZPDkh+&*Z8jsk?4OO@5HyZ94Nyo%foP$+K=ew+nWaGjM&PIN4RsFz|_?u**Dy
zxUM&*#cS&~FlFSgt~q$yE!!Zp;><F|z5g7)A3nzAe?4F}>#O<?FDJzPPygP0R!euI
z{~^BLs&9%M4#^3tyfF?u#5lR-+s+)p701IGf8_{g94KpSdn1%_B(L$<8^Mf2_iS=?
zKPE-Yv$Bz&#=X<DR{diE<L)(6HyoGZ{Jmyc!GW%aKihWL2+w-{F=T<AbgLAT8HY(q
zZQnNYJ*Pjt>B!4@QS<ihw)$OteAD<&`kZl_(fatcZ~mT}apCi<{8Q#XmH3|)?fmQ0
z*OQ7fCAaUq`PM*kd-kE{Q!;ihtM*I(E+LtHt@u9A%dh^s<mZ2XvCS@R+4G#T{}Xqe
z+Wd9ewe3I6%CDWf{`&HE4-47e`eT#cNG&|RYh~=5w+pYBZJ#O1_Pj0ST3+p?^_}(a
ze)+x7JuI4PW^vfq@X87y?$GB3{;Myg&R$ltEn6$CeVLG%_gjtqrW=oJd}F$zknect
z^G`Eh+1Q28JukkB`$tfzqB>i)-lHY2lKfWcJ>2r_OwnKMtClrut)?5VGJYIeYL^}=
z{$cGar+vY(M=Y-%of95=i1qAGRlQZ`9!`1{qgTKB+~clSHS1RCJ(%^%Y29kQM{Tc?
z_JzkD@;%F%c65Q&W|^S5r*p4X-U*z$vp*#L-Qt5YL%oj9w0(3X@u$eeucCW`+n%m|
z{V(mV=dDD&$)=(oCN8<eHf_cV&34Wp>r<<aTwXY<_(x!=o`1;mj}fJe{UPEX14=ph
zH}Nx8%{g76Yg0eD^L=*cET0<xQoZufbhinwYVua=J(%{&sV*S)i0{>z*50L@@>$A<
zlIL7YRrBl*nR}Sy>Q0{UxyKEz{^SXbJs_aVGJoT(M78BS*Z8<47R#muol<zaVZy~(
zT@p)I&Uk)nfp3MG$$Y;{`HHd@I-jRl))v?9{(E#W^JeV>^NgnQ*LU)qI`<>i$~L$2
z;HqMYMOM=nt}+%6FSR=yDsJFZs;C?){xPxa>fNVR`;wBTROCF>R&Yy~e-u9FYi)e;
zl6P-^>O8;uM&;sC?Yq_frF`E*pMP>FmE#Y6{@J6Hu|HJ&lS`?fJ)hXF?azL1n%8wa
zY}faj56-YDm)g#Emz+@R5UO1-`|I_kr_G5omly6lUpb}1ZpV(6!`<Oi3Vyy6RkexR
zx2VPc{+qhx-<*~IZknn7xwC)Y&33!otNzw|&ZJGaW&Zfv={&vadlP>joN&flYVIxR
zoR{<WPAq!AO=?2zp^G=~UAiZr^2fxa|FDq0%9{_B-)1_p&R*NsV%z6^<N1sh=02}_
zizgi|%6#4y&nC18^Kn^d6*_=3;U@n>a@DGDHoG5U+^s6J$@`F?wQ5e;CLzBaIuCXn
zQRTFbnX=(DD`)kb=^M^la<bQ`Kb(-SDpMGC$nd_0#lxP4$wKkoHy&zp?w&Jk!*NZ{
z-*cuE9N^?^uhD$ip|2vdxg_FQq>rSz`SN=IX_rcGOk#SyamRsQI$9eT=ZoB!(8_9-
z<k;9dGd@B1*~@D=^PY=Ev|F-XOD${sIwLfpHcjkCLqB)Ui5@0t!@UQN`Dkz8yj_}Y
zcI7tLn#0$aUT<1@psFS+q1H_NMuRV_SxRza?~Q<j<ZI$LT1t1Be!h8&>Gg(X2X?K|
z+aR~Ptv*$3#@%g;_wL*K@UD<{_m;z5F+neU?q~c|Fpn%>_I^{1M&fjLAv5c8&fhi@
z3l8){1_;uH%&Jv5)%OT&xOx7+N@DwTp|Y71q9;kbF$_GEwq7Z5v+u+WHy3)`$njH=
zG4?vdxtKL)+k<44HwIyc^d76cF^oH8H(5pI!^9TndNps0q^_3aWnLDEvl@IK&C^S4
zmvlB>e{f3SnZ0jLhosIbu>U>Fb!OS!^faDYn~<$(8fSGj@NMQ=<9(m$^~LoEe$@md
zF#i(2F@cfQEGeO}S283)nC<m7o)e0!*AiFcXmfTa-qiKESym$Tc%#j1wfmV_b(@#&
z+q!h=*R$uo=sMOPt_ro!xpePSRqfuJVl$V0sR>&uJxlCM&6=fL-fsj~9KKh>npSk$
zxqofti_N<H%-fzPp6IhNIsMtD=jPOQ&fUcy(pA4bU3jzR$->i(HeW1ewA;0RGI&rR
zH>)>P&Q0d;>UaFD(-OUB@ANocbY{(wP3+6p9Qkqo$%4aA_iyPhn>o9F^~L14zMp5#
zZ){+-Obc6WDQ5W0+^k!2b~5MVoHV^NqPx#N+ZA)xaC)}ovqIbBHF-}W4t%#1D>6Q=
zRQKcbJN>;Ho4+*O*);L++cy)Rp8lwET&8Xdf9cL-<^J7fpLV7%Zuo7+Rhh83qus2l
zGG%egaWNzDhbwz!=G{E;bZ4(kUTA$i-zx5(ceVu{kd<z|vo-L@-uU!i8y0Wed1QM1
zhM9*uWr{6-w$FNXYW~{ibzi@)=E<sGyY6qg@7%Pl>H(W?2(O5I&3Q%VD@#^b6_Y8q
zZR4!cc@Afd-e14k|6(ckb)oZ{4?J}J+P+O|!I71>;(R<i`|Gn6+h>{;%}iD9ziIYq
zW^(=F2KN0M15P+gyV`6DJd-S~YV*_AOs@TMn2p*I`L&OIRxURys!UVve{S}vGI4Rk
z{2Y-_m)rzv*Y+8leqU$i_2a?zn-wwpHa#+2z5L&`!nBhn2i)|SbDtQVaI<U96)`yC
zrs$k2Vt6KN<?-v+ZhW##p4gDDBUE%vN$`G@$}{WkdX+h+`EsVu-{k6}KX-e_Vb<;)
zF<Uy0t9Jj0+2(OTw7Vr{tH%-3?jteVJr4Ee2*~Mgc0KdxeRa3Roh==w`NclY_&7cC
z(dUh>OleUnDbrk)(xO$;`do#c{YzEjn7{9)Qrc$2^GbZ5bsudypd`nw`{;A0E0b8H
zO3Fr8rD+i=X)Ehpg{Gx8bG(?YD>Nk{Pq1B7tS~ZDus>AnV`T2c22(N4$n1$7j$)mX
zITKqHZz?$1oe<;n=GoeD*r0m{&-RYv9Nj;9ws;(97vq#no!D_vtWz?1V#~&x3QE7y
zyIoX|MIK4q;~JwDaU^+>>zTYeN@>b@f}7d5cigOtKJsRdZjxF3-J1$YY$@GG(wOrE
z+XZj7NbT;~(s8_9?4xAr#D<GvoRY~CJ2r}SN~TY2`MCcq%R~c%+fSApX?f$=dDx|U
zhtH;t<L+V~XXZ?7&=ljGnKiK^Q>=4l-ozHk{crziEj4<1%Y3?oUQxS${q4V^ZjyQ5
zHg7VBj*8szW^(KCy2u|g6Y5<LI7YU}OmaPv7<oiyqU)i+jqYdO#n>p+E?%l5(|7vN
zQ{%{r8a?j$`YD12;bMxPQv?m;#SFPqCT<9LBiO(85o6k>e=cHt-6;<@MTp6Hr###o
zBF1<+MQ~G$n4orw;N~DP&ecccKHgN5OGx{7byGovGWYbYn?6LSbI<>}iQ`7SLidE;
zO&vE>x@Vl-<Pq^ndZjzlwr=(rsYfo|?>Lk=QzvHH=|jn_IyGUZloF=uIE9^7O6t`~
zx^_w_aqbOeMe8GShS`(8Ci$jiZgLdUlTLlO(bJ~<h+pBIV{e2i552n|wqJgEclmz1
zEkDgS85+C4y}aeyso*fbzYEJ|-;1~(cfbC|y>rvfEZe&AYw5N$KC{%g`rVDWl0T|y
zqpOqEmuJrp+OmDi)_-%>XUV5YOxaia<h9SnZ^!IZx21nu!e;sAgvpY_CMoI9=BRCJ
z>#HieX~3K7r2D4k?b++E?`att^2@3{U3l8P@`a%#f9<j-i3hImtf~LoYaV4~`I()2
znXTbl^Lo?MGtRXM-T$M=EOYMP!e!#8)<2J3`F8J=DKEZPb6eIVC{O=q_DLc^d48iA
zmqg0q2?x!(B$5`-SZL-Y@$Gkx4PW@$3ZIVlHIIE(9yTkoOj7P&Z1%}AZE?e6Gp?U!
z+yv*})_BG(ty+_L^l{PIuH$Rm{34FeEIr{Vy>rf{iN`ag>wngyE^b(#D^hgKP4Iq%
z?$;f8y}I+I#W*8!CQeWl>x{^nI3rceGh&|X4ZZtm-815%5(V3*iWNqt3ie+W`xu!#
zv0<wiXJq=sj;~^!Hxnkd^xjmk*>_sZ@bnsAvBDcUg46wVA8kCUWEZU~wBf9h;%!}_
zjfa&Ct#v1D(3WmFzq39^aI?{^T)}o*vBI0#g8j8(A8+PQY+x4Sw9J^;@$hD2R+xpd
z&Lo3R-)AZ?ol9SB*gcbfGyBZYGaB7HdbV{Om+1b{v(@8(MR!Zjc8?=0-A8=3cpO@i
zBcLe0$#KTl<L)<`&m2nL<QlUq;z;r;*P3OKDhaz>ozfyy9wm1lIkUy1{?M8n0YUN2
zjxMTc>HJ+X&mxYbu5-0{7I`FnpDUACgi6XnS0%fR9%q!gRqp(WpQ(_fm)hLn{pZ8}
zO-CM1bB)Q1KJvKFwdP%v%7b~XPVb^s9yPiq$wjF=3^eO#Iek-Ml2HF<c2z!qokyP*
zyV}f)I`Vv`E7QDal_#yPN_Cq&&eVH%t9<!edv?|KUvW)B>8|fSynUZN?Y8ZnBcYKN
zF_T+Qmqu3Hnb3MZDUwBJqU(vENEe;Su4jrORn`bpA9-s1k->QXx+$%PwIX+{nbvw-
zD)PsgsjdgCB3sr>cRj)uc_e3w>mjv`?l$K-*=L-r+N^V?&U4Ml=X*{t>vh;XS#&~K
zufEgf*`^c1>z+tQ-8_7D-QC=2uGjf8PQTAKE02A@TGQ~kdF3mE@CACGSza01J74xq
z{w2C3+E~)`u1VhMIrnp<q;Eyvj+k&$vNTt9d-}CiPv1V^leT<wW{%QbzIVTBb5mn)
zAG~YkW1Z~(?Z&yXZ(%2A%l5wgde%NY{_B+3BdPUY>$6G}H&>fR+wlF_S3Q63!)5Y(
zX<C}~f^Uz$+P42&tdFW*=beW|U%S#OI-@rg?9k<&ZoTQl4sGuF)tfkW=yy-JyQ$-b
za`%kAn>=o)f9p6c`jKJsg>R=1J#5g4;X4HxN;$3cphL&0@08M`89GUQr<EQWZ*;d=
z*Tp`g<=al3dYOF_T2D{k^ua=rd;a8292TnG6E1J+_~9jH7=K#nVb2X^yX!7;1+h;Q
z&0XX^?mdy5*Jbmt{gd+cXKA00>-#AEIQsf+Z~eFK>v6wZBR5P*dHkU_DdwEUvB$52
zeklu82K(8(On+hbU%!=A#!g%BLD8Na4adX(KaKzPZ+TSXHIwD_w*UN(s>;aiUDR^=
zaQKuD6(8nbl3$ULx9*jX^t6BJcdc|ZH|TY9uQ|Tx{~W$kQrWXYf4O?IFTS&<AjmLE
zAW!QU$06^d?RmeXEh9g6dolOueGFZB!g`M07ro_Ndyk(K+FQN7)a9MNl+>-Wo2tJb
zjN5u3@%q8I`r~hmRxLQ9)@@Orv!&xSTX)5p?H%WLy;&JkmezQ@Pv^tUd8~}LHz}lK
zi@D^SY?|S}Ss}4_S?c<kei7M%?WSUe-cOtN{#zFrSTga~uD<ILQ#C{We|8ItTGA>i
zmYG~RZAR6!RFCFJIo+)tlGnuylW!`e{Yg7Hf9j7TN_@|a6D5KkZRwTqyLsa2lKS2=
zJW0y)^>al&wDvmLZ4W$>Zf3N3S+7uE<i{OzHgnAkJsc=)DYJ3n=|t(7rcJ#ye77_n
zH1s-syRDHlzxT|p6K<T<YbtE^ZRVP3S>Mtt(-wXFXHE9RhRtG}KaVL1rt2iBrFE;+
zly10w-#Gi8)=PuQ7dOZhOUz2vb1piQyy0y9Eiax^hV6`&&o0>?x3N26v{|jbw{E6J
zl(f~$*)NZL`|_=}a>2F>(QkGCq)ar6OF417cm2md4(s>d{avp;+0J*Z>b%r{WffHm
zX60Sl@2&IZq`hWA!~|Bo&zlb<$BF$obGE6$GE%_Enp-I?)$z<39giPB5^_(+)}7gM
z=Z=T5k$e4&+Zk12JA6(v^{<S4V64i`q?Yb@!aY*JP?I}JEzR*zi_dA>c_~qOc{4xG
zR6fINtj8U5EUoeQ44n^>b6FV|Z&G-2Sf@i`CaYmKf2zZwS<YK^zgN!5to${v^^D-Y
z!>e=(B4@J7X>U4^UMa?+bGm85(nx`gD%?q8>5hjM`J861mrIS3o3T@^*yX_n1MV2H
zl*Z$KeC8_h8-EWv(tPH=;dkSD^*gUKouk_$KN!3Z_*$0xIwL!`zISK)s_(VY?!UBC
z*BdVXz2>EEZrcBwGxy7;m6~t<RNi@h(}9N-Vmo9`GxaZyd{C@XEHr)Q%>A}@=l;Ak
zR{mUm;LDl&ikFS*-F@oM{*g6S{ak+N`CZ%Kf4l!~6*}FQ(s{V3d&im$9mh{8n>H@Z
zUVeD%#AV*gog)*zJ=wZkPk#>I6*152zP~pwXswOBaO@tBLFt>7tS@I}mW$1pnj<#j
zYZ?f%&G>rhn@+)vu-o50Wo6EFm5D3yH;X;;bf>G$yvQTZSGqFQZSgo!FWl{7v(4j-
za<_`jn{!U0k2aa!PMLgDK84?C<==g-G4CReJYMHo^DaW=!8TW?yhxQt?A<f&Zg&;h
zcb@IxMpfw+&rjx?*k|h0+g49Fzx?KF$qkm=PG+f&M~>-q+?>G5`FeM-7weMO3$yR8
z?#_EsaMevOJm*Q_O{X;7l9O(H;<@!tiVnKTO*Ve3wR~59*6n$E&1zS_B~^-=Y~1Ft
zi(}RV*4&=;tS^N!?ktR}-~au-7W3xvI_27?*rXges~*dce{b^Grb!g7`1A3;j;>+e
z{s!4&UN=&ET5R3CZ=_FYVgBZ2k<#CyT;^?&HoZl-?A`g}?+hY3?v|Y7TgVq&wYw#@
zew*iw<kc;;+dM2D1ar3MOe;8|#(6wvO2HvE#j@#+PH)sC*NVR~kj$Lh5_`?-MsjaU
zZJ4)3!c@pwsMm~)$L~xlICPZx=M7snu8p&0%EDG0R@s!V@}|h`kX*d?jpy2&>~p3S
zoY3TSpEIT43@4|0jpmVe#tt2KbxN0WmCmSVeJ{ef+h^K_<L*M=W~w}F=oI3csqnDl
zqfnou`ooruPH!0hw%$0KRM`{I9%<A+ZNq5|&gvSC#Q772_#_k`PIxHPC!zLm#zG-K
z38f=)pBe?KBa$`*xW6#)IHbp{`o_@VklkNZnGcOE&c8e@7OihdzUFO_I9H(B#a{8-
ziX%}A>-$b5_O`@^dEZE$+EN?lWs%U@;ylgUB57tz@-#1t#7==~pLoS@JB}nR?7NXT
zu_e}S;)df+oWFG@6db7GY(F!(;7APT@iP+(4&^9*YnjgexplJ8<`yfV_L)LuKO+u-
zR&N@|9%8(#B2)BsLxb`<Z;NMbEoWc-DahOS+{kMGje76>+n*=Qvx+&PFL35gUEBh>
z=Pa*2&vjtlCz^30veB(Z`N9liCf^@b^?C{Yfj=8AueUlMo{;wCGE=FgYC!*FrmvQY
z7aH_gr9QPfDBFo=oJnW$wV20$<N4v518H*h)7BsGdF;;ms>o%5Ts!NlVvhxk?5t8n
z4hsZ-vr29DU+}E{*jaWN10(qjGxyY=3Qu_UPMoQ<QZJxCo#|_()`bRnR;f=e3k3UF
zr9OKs;N<_z*xqx3JtyrA`%kVjEw%9r^uDvaDhyv>SI#0;5WPTgJB!rEW{2cFt}7~Q
zYYqq={>(T#^OxH`CS&h-@fD{{8>?bOSDY7ZWQ!5YIHB6;79)~Te@3ZMt!5IxMOuVi
zg0Z>&nec>V&sdpCXX*#^S2BH_sePfrl8JYw?uCw-OuauN7H}GWVpQij%buh5<mFGU
zGc`883-p3nUm3bCu$#;(^<koevl^#K(jtdsH4c-+nzF;Uz>CHrA3YRgw6?Jd`uD7m
zdwPXtQTsl3)pwWtpUBnAcihoA<tk_JV8sQW>Boa!+s^a2@!Xx0-DYaR31d!oo9P8-
zxH;ATlq~tRV6A`bO1;{Li4QKmdTO|0;kvyW*FB77i!}NB(_*uO#hm4Q@zGm8ZM~IV
zB^ITbo4@Y$i<E+Gx4xY^x$k7yg*eNb0jqPKrl|L&WIjz%H!hrd|4zlP0^a(fQw!&<
zvc2|_@9P#<?ezbfw)w_hd05;XcJ5zf?h3!~74fO7-*SD;+Sar#VE?vLVSZ)D7EPU8
z8!WvlnD6WDTLE{AR-|Y5m+p4{du`Fw&G9R9gT+fNGB2LG>3_BDRatA5U36~viuBE*
zC9PE#wW2L=s&<F@l`S<3*)H>{^H#v#qWTrrv-`j9a{ha7(bUcN*MYR}NWFOKX8yId
zSEa4H-bF0S2wyRMlW0lnuA3nJV%=eW+a5>c#ykz!Z*gaCwbXBut=Fz^U1BeO;UC}b
ze$G9gW&3VFo$K|pw(RGW*`KEKPv3ugZ@2k2ld@@2_h05@8UEk+KjP<a{_MNbwX<fu
zimsn+xnjjPzCJnadii~8Z{7FQzkK2G&dZ@G&Yg*?iY3-rO&47CSz@KtX6b;~0~%Lb
zd%|Lmuw31Hlzmoq&hr0-8!ua(o@?e{x54=B7U!R@uRoRHTYWAkCFFTwNU7cN5b=V*
zQbq9)@xr*`UfnstvDWux_5SxctbKj?!j)S`e*AgiQ|~&zt?HeeP=Dsrdka7LciuX5
zr|CQY)cPgcu5BsZW@=(~eedmiKhmGws|tuXa3_VIe`<a0L9Kx3ql+I2E$chpe#-vu
zswporjHlXEx(WuL?tfHyDSl(!-o5Mm7q5JhS;!fDd~=O>*_M5Ie6@O9#YeiGzqyvI
z@?3Vm@OPBuOIE+h^*-^gGgUqtO;0(sYEnv_)imqa>%I|>T3^Y`TYc{7%vUz^R-My%
zA0qz2qg0VURQ#huY5vDRz3>vt;{86SRA+8ltunLoQ{z*W&%ytGm0Gq>Use2a_U_8v
zbKUK){;XNBtKr`&Zpj6^IvQsgd!|izbxU%Nw~?RfNttu1GdFomJ|pn6enwcE+_uR+
zkuy|`-)xxcJSS(8WE{w+%6zxY^P(1P>za5})kik|iIGQcXVIjepF~}Kbk&PARdj=9
zr}&9YHz=KR>Z#E3NhyBahh#p6er5d=vgcyjy1-7;<3$%9x|M#s%IrNybj4wFrcz7g
zfd2KYuRc$8VD{rSc{0U8*^kSl{#lEI@V+zbZ;EW=ujqbkbvXOXZGql=&MS|1IGh!7
zT)-&3+WVuN)F#ITg0ESnHv28$%<g}}^litq{HAm^=Y34)6Sq3VhH+j=Ug=Ofjl(2i
zql2>;r^zGX#$#v1GY;*kIbaw5nQ^(j!KC#He-i~8cbySiaonfzSB>I@hV!gaMKSdY
z1dp>w6}v3pZ2!zSduy8Ag9B^YK8v#Ov9rD^a#$euo8{H!=mm_{tWukt7YIhPNPS-E
zAS@UDeNL!Uk)3?Oudm!!k1N|=N!;TQdyMl+@|%lyPAZ*yy4mAQdF6}`xqREESxojm
zx>jv+*!<*g2ZX{|UKNBc(7Vp^sxWqeojHrthx)w^&UsuWkJuZJ-4V(-^tR@JUixQO
z-)no71KO8fO=q{gk~-7Db{hAU^i~JvFfNmnsSe6v+$L$g4!+mU@?H$<&;Pt|=9=Al
z@r@^Uy=Hy2$#H?4H0!I)o(mXfvr2th>2TIo^TLd^Oujd!@!xp9yXJt}>%8;f37g*W
zHST&Nvf{X2ed8<@l?x5FOuRR>FLcy0_1@IK(8Bz)f%)81X0yoe3HzG9oX%l+WfZkQ
zE}7+(ao7UJ$1GAtaSH^6S)_~u7jRDg#5jA+Y<`QgyMA(A(%&bz;<QL(mCxDvI***}
zx{t)1Ioxu3XIkmDDKn;7ho*NQ{_-kXAuFTvr%+jhLgMsRp>Op+Tn^cFtK@{a2=PTK
zJ?xmdn^orX#unkUH|LJOGjd*W>&b(cPO@s8{*yO=SKv<Ea6W~Ty+-BX1W%#985$2~
za0>ZJ=pT9aaihR)&*P6<tS-f8Oxkc-g0s43+J^HQoa{9k4<}3%>XT4>IOCy^pM=_x
zcgDdTcT=A6I?1Y;uAg4N;j|TG)wUET`<iJRW;UwIYz#VNsI4+*LrjNl!Zd;1o|jIy
zSoutg(@LDKD)cQvC$V_Hx5Wct&UT$C1xJ`TXZucQIjiT<VVkl|VE4)E#jRF8hvq3K
zZWf-j;keGj9>L<4Inu`A3znUodChd^*QI^Go~i9yz0WJUIeO>r)!*txXY1X$d1!9z
z&PTkZzH?4rzZ7u&)Y}?e-qK~$qRVvscIt}1i3+-NioZ-((`@UVGuJ0=ds?(_+7{jW
z&$BhRKXqF+ZQK9lo8R@G`!&DVG}xQf+@#R=(At>uA@^E+pI&b{^}D3tv&Y-XJNnv|
zUAvT>U$$lIzOz?jxAHUZJ{!IE?A)~a?Tf71Pp>N8IVIEO=2i0<-4i&MHqEX-cQkfu
z|BhWBU#~Y@|I4jK?&9&1lQk2!7dft2;eSi>dHK^t{`V(d40$wp@utFvB@)w*c72Rc
zlHTlUqrUyX8{z%IJ*T&KeYDuU`dehq)g|4F@=cx=xCQGumusI&_E58(5?Y+h+-&@E
zVbA^gyyVz*%yZTynq_RajR^{tOk)mxZs1U=_qW)$_)_Awoxkj+%H_1(WZ(Vv;>0B5
zGuxiDO%9ezi*~)|WxsIICxh3!t;K7v8%R%lKYd-IS&*Tgyw<VgDLH2hs%J_Yi9Y@?
zIVmPi<JjZMyaQ8wt<KELJoRwpvY5InQ;tuLIaP2e@mqbxblWpB#%H#r$xSTXVmkGg
z$wpiL=*lT;xAB~vS~cV3JWW6Q{yRt3y^3C)RjJLtY39=I<@(d!ZSuc$@yNXxZ>y-C
z)3ZI@-GjM*e@=gsVJP~4i}m?v-{boQb{;OCxZCFRz2%zbTkY@WZoObATX7|CTe8)M
z<8N%k+Lkq`e|vdJ@=|@tgY+_s)O)8Mzp=f1)YrAYNY_yIN9sD&Wz6!=qJrKXi2P|h
z&H97mzSgIFd-RLitCh-kW|uFRT=rmY&dYDR?50jH7f)HX<J`AhzmA!F*AXd5H{Nc%
zH|W^QzX6Y?>B!WbSTaK{(afqkCHb3Gm*H*Mqcddtlg=!2H%z;~SYq?n!1|t>e>INf
zG>9aBbErOYu5hPjdEZS&{;Ar=vmYpS6-us==y&Y;D7j)r17jEG%k?ul_(g;-`x|oB
zK0WvGN8CG^&8~GTCEAle8GPBP*xm4Y6UR<9?#<t)OXrmR-(>LnzwOrVap~!`yJAcx
zzgc>wdf%>{kt@6IJUzAX3@1<h?sse5>W#bQ&TiWpZ6PzU_4Gp@D+8t2s2OUt`SW+3
zkqzs-le+b;%JS8o>~D?3TMjcu?s#+F<mK62-_8Zqrn_^$HeRmxxvk{t7RLv&Z*_A-
zDwaR|ZS-=-ncKchcc$pyn>Vj4U#&Onhjq=Y%BjnJtnVlKuY2jY^JR2#srA!k`N9>q
zzPx3s_n*Db`g)0a?yqw-AB!%RKb`e%#<KUPOz#@{-ru?VkMqg9TOMx>iq76WQ$osT
zm;T1Z2dr}L$NsbYDfK&SS4G|Kzh8Hm&$hl<z2^G-Z~ufH!|y#mW460!`L`?4+-nYt
z{l4P&><asv;PTh&g6n6!T)17@>U4Ia^GrFTEqfPlo_f|cwf=rq&P$#D>pPWgQ&(@9
z>T7#@l1NF(wXG(5zP-D>-hA4P6`Jj<yNtd+7WJK}vvK$Dvn$rmzBc>6kJHA9hh3$2
z#%!2){O2o%cTRKP`sUBrdVI!XA#>v`Ct{Cv-bw!R@!dVI%IakI<Xvj^;csusWqq5@
zWLoXrIIH&QyLIoM{W|(OH^2Uhsi}$iHiMnN)@`|NeO6E1_pq;S>0Do4)nyr{5?3vY
zS(bS!dDoIxv!h?vpX2dd`q{B_=B%O_p|#Veul2gKcHi1FWod>htfv27^|@k=)qHi(
z7SC<QDHC2Pv8~oi>v$z}P9}8Mnbd7%Ga}t;i$jX}(nHhTX1}u87d-d8<yF=>_2IE6
zSg*Rqgv6dvy{Z~>ZP%IPzH6yzg{7{mFX^mbmlgW!%++Hh2W)&>{{+<b&s(aLm#Ot^
z+cKed9;-e*`}=;izkAQCn!CB$_0N_a`SMC9>a?s_=yWs5xJNGo11)SOx1KJJtk^T5
z^}PQkjtb503HyqQm4BU7dd3{7@<qJ*Nb1J=iiVwM*-jivT%Z%fcJfg22A!I=6G{my
zbe!5wDkbgENpd@(l(^)EvYhu3<}<Nh7V5~jb(;Rv5w1K?G_ie+K;;pyiN|dO-Rlqa
zUrq0iwVAuoyT?u~q1{lZOj0|szwr33@0q4M*8O{~dhXqn-oxfyg_esY`q!6VJ9h2a
zzPP@%N9x0s$}RS8{`M%OvNL&8frS=#ar)^)k5}r{)J<_cARO6ZGtKpga^w-4DXxc@
zH@e68b+XT}{=HA<Op~*iUU}-nLU%E{?WuwV-ePIDCb%B)jXYAL-`yge)L$`2gx{e2
zTWO?4jBxfROT|wO`mS=HT2Cn3iC3OUSMs;mxB1&LlRfoC>G4xH92Vu=9W!mi@m**3
z-e^5t{_WNo+xy8f-$LRqo|c+!cy&7aren!pF1}koIXmmWaq;Qt5_y8{iSE0%8^<m=
zq9tv)X3NCWT+)>~+b4RSG2@EJT0EiEtScg6@r<2jUOyK8;+-M-<kL#gg~!#pf8=cO
zIKbB3vSz!-k*Mw?Yc|$<9C{U%q}RH0k@e;yiD%EvG6)Jvn%FC2w{hZWC+SL^4HM7T
zNY7MV((Cl>mPS%vZ&KQAjl^l9{in57PdHg^ocpBUhMQh{&Xd9mZg%WBA{*|zDgMq8
z*?8T}uzJn0>NQ(B&U1CoaBXpQnii>&w9++cT7*jC$8+y|E9UPipLH)|b$yLltw*Wn
zE#KSV4Ww_@Ej!gya3j=LJ~A+Aa*~Xh*0I#)B%5p6$I{cknjTrhd1K*>32(bPZ!DWJ
zV{Mn`jiB0Az2um(Q@v)*pMrN98Jn4FA4{E_WMigvEWJ62>6*6MlmF^(Z0D)&ylS`i
zfnQRKoBxrXJ08ZHB|Notxjgo()K^x%KQ0kBDQWT}kJ<0zGvDw1ey{rd-tXVGfaum!
zHnqF7KQix3+%Qjl;?xRb?)TgeLcX4AC|vA!V8=!EggY8bnuRyIvLAo?bm^}YJwyGA
z>fJZj%s=1a8?_|oic)g3nC0uO8WGRGKe>Is{_U6O=A(7~Uda(pX20L}^IiPD{0;R6
z2|V0P$BgU~VjSPPuZbymTylI~LHmz~`MiFbITklJX8u*pD+nk$%vdUQnzL28Pg~~l
zvVuc~t-*a-a|&G^&N<8_F7xU4=f~geO>e00OkDqDn(d_Bl~d}iXL<MVx@9Cv>^v>J
z|Ie?@)!RUvb*-s4^xiM}_kO;$sf5<f*-G_Zd6GJnM-=||uAZNL<Dy~fJAt`%KmOm@
zY<D34qDb4neJiHb{i=M_|Ezt3W4ta;ZP`_O>8TkTG!l5YnU8H!NKksl?sH~OgXaus
z1I=P4wdAq`PtI`7XqstdG40;2&eH+LOvemt6Lc;!sU>9{IO4-Oqva&yWFyT4BQ-Xk
zb1e;;^%Cowg)e%W7fNQu9FREnYVy%9SCkgEi)r57dg8@0SHEywF0tR&Z_itJjHTz{
zH^1{+(pN+?Chw3mm?q6ATv(f4tMczL`}B@=nmIdmZMOSnQrK)fN!oAq<%o3}GKG;3
zXLxg|$AnlsZ8{|RT=bmf@`8hr`|VSetW<Y;UzoJJM|)?z_fG4*?n}K~a-$^relGHI
ziT$&DotMkpts82-=&$l|kykO_GyTpdzfT?8|1Ayc%xIk6@o$CDMd|&nQ#;~*FY<C(
zUv^C`;Qqe{^KMznR-c@|GO_IVqF3Tu4yv^B3Lmx9+04>ALo?$HkE8H1?pa2@7kXAU
zJ@XOVl2CNq>Z^Jb>+ky1zwc^p|GC)2_W0<>v-P_Y<{V$`(ZBX{{A_8Lycd)180@N>
ztbOl6MQvF$r}J#FX~|J8GFO$Jb%=4cZ}qTT;(E+cw}>wzaKrxO^atLFlB&v&CjGIi
zRGa?zd)7m)XkDeUY{AXm-7RyYmb|&3l)PKa^7a;u8(PXjhCyyeQorebs`t^`m_IGO
zOHy(Ep%&v$Kc-xsls;#Y&GRKsSk<leCH?FC|KQks-zKTJvNt@nj?y*(HwxnC9RB2V
zq2qbeF&kl%hbtFo`m>y^(8)Nb?8q%wbyuU_^4&b~9a#}of%4Pp*0wFRe)QwnhLYQl
zuWl$=eeQq8y%}uhUq9F1`7qLUa>$;8^|87<<y#8AFPayj{V=ax>|I~R!@MrBcl$CP
z=JkrbdzbMrFQ9f`1lQ@{oA%~9wiD8e4qvL()%m`qW5;UOGr77#d6^T7g1dX9qn^ZE
zU-V#BkH-;VNkMa+*r_0uqLs^L9RH;0Q=w9M%K4<RoX5Q4_)ksMUpKALd8YsV)5?dJ
z>et<3->&tvy6jKTTk#tg7C%nUwNF0n;Hb>TA$2+aLQ7rKvM-`0i~AQ??q$_|@w9ZY
z%(Pwa*RijYn)dGM=M(aC>$3md&c3_n@$5SnLW{h`Pbyng?~yuYcP-EN>*=+V`L^5T
zFN=De^I_jNg>Br2ci(dPG&y5~Z3542rem8-6O^{G)cc%y*5G+V#6VM;SuNT5z>_un
zGnz`RET(PW)hYez8e31I?}3OgcAq1w8ag8-4LG%#)KV)Cr08(Zn6s2Ic!LYO`lH^w
zM{aw&8IyM`@E7|W)!h;vwPeo~rR3>imeyM}Zaj?7|7~>oXM^FK&%VEnPTy=WoWm(`
z`ej3>#nbqbt%CIho6NXoXDL5uIn?%eYfbP&w%@AeZ-SR^+@dK{=<{&KVlH)=K#Qjv
z4oS9)oU>d}aFB7o{nKBk<4*Ect89NW&E`?eadRKL&qaTqt`U2;?DwLmddsz~52uJt
zn=E@j>7~HaU#B@w-u0b+=dSC?ySZQP@9-)L&$_JlIaB$;iu)kN^^dx>@@2od?7VjK
z!343kX4&|dEK%NhtUV7i4@Ask@j3Fip|euNfRmqD?WyB|lso)0=2SBV7lgAPFLE$1
zlzioPV8_hB0}*+wK1a?rbnX;1;JnVP_B851N(}FeIogcD1(EFPN&E928C_Z0U>I{n
zz5C{J*F$l-LEkbaZjA1p6I&l8^5)C>?Y7Rb91@2s?{7(8!OECCL*8IoC8MxmxqGdO
z-gCZU5wkPjj?K&ZX5d+L*rinJv`(vXnwE^Q^TU>xT+4leEs|FqvJ4i{oAKuRavv9A
z74x3ycZ~c#b!_~%I7oGoVU&a$sGwN9#o*0_L!0jIUtuJeJNeFr(4x~~Czb2Xe&@%i
zF7jo!2~=I=Ti2~6nES<bYKPgI6}}5j^){5s+MEBJDRr%^M*KJTtp}yY*I%t^<Xvx7
zck7vPnXpY^n)zN~lV^)9oDZ=(2H)eJ_0jLbob63wdtUxv7qq*mb>sEx^5wP44_Ynu
z?R@m@&g0M}^RHI!6uI?a<&!pb+5NnC57pmY9J~Mb)PnwPV$%YnTzal3rL~B09^UF9
zxy<!gfNoJ+Mxeot$L*Z^M5ehLDe0Z$pOju?S+_uJ8e^2pm+MN)=8JLGZuNM%*wrmx
zx2P&J@ItWL5z%ZTCB4nxC!`m3?%iz@d`9J@u$tFABgaoYA16KUnY<?<=kMfrzx%s5
zvtC5i%h#_ud3(n#uBuMn<m}ULeRF22WgH1{OkTz@%OLW?6wjtK9}$!ErUk5z_vTH}
z&P&eX{<^HzXwy17ovrySJwnIL+m}w1Ti>=+uryAu)hr^~zWyX{_N$po9`TB0Cq^u3
zO<gD^n4Qgi@~u)@uNdd*tsap}U5|O`7KLR5ZrHOeqdtc7bk<E?a~<8upaLUESI2ux
zN5mS}GfKKbVp$V6Idu2@jCykB@}h)wJswB2Kt;>hi6E6ZmCI&K{iNv=p;&pU=%n#9
z&v~0eJ~gR=i<b1O+t_DaG1&ag+(0#VLww?4yF$LxJdVoCxTT6iF0?2&Et3&5c|2i(
zB|B@quEm4W#WK^rRhh4mn)dF>@t@y%8*J}=yy|u!@1*W-mHrzqKW0hX-uQWS{pYXs
z!Jp*o@;3ZEJ-sd0djGHY`~T(J@6EZe{^{0*`KPnJ=TEyXxHmfP_?N88xfeD+E%oZ3
zc3tvrblmfj?8?{+Ur(Rjn)5ekcjFT8)7j3?r(K`cZ@sUkUiRa{r=@3pPrJ_fJ}Pec
zp4`g0AD2BXJ@<Orb=LJ|HHA9`qxbER`L0?2^XbEPhyN~my7g)0>DL{Zx^I)0ZQJ8F
z<I*Rq)S}a`dpvd57praCqc`J2YDuzL{mRE|kE7$Hk8RzvttYoq)^OR=(lalnUFTdJ
z6}P-6r*f|0qNk<jK1{pLT7P)oo;xkR@voK~yfE#0o1*S|-R9^xcb;FLN;IYS*Ua4b
z@bq-c*ZXTCH>`Ucv)`n?w5QQ}|D)IIS6|=%=g;fyJU4#6Ty43(<kgq#<@fh3tv{}D
z>13_L{-5ur7rDQSbhrK0Ic+vy-nYfAv;URUc&`sNc~KK2ZT>Xp^0D6a$G3LwkgLxS
z{;ZfFWXI;?_58r^loHMvbJj5iUwFHNr|;=TzCLNKU9TtabX@FyAfk%R$7Ov(=Sx`w
z&RQn5)Pe&kHa5$A&n<4ytf=u}Q-3s(uTOf$ycd&qD!y827kS3{Z;e`vm7?n@NnInq
z%!$Pz-A#wp_q@)He_!+L`;ywdpLgcpx^5j`&(ia-^gzTtmY&mwbw^go?&Oz^4w8Mu
z9&TNlDSYGXOOb6Q*}tbevo6?~cO$mwdx7^mx!jY==YEIBua%CwaH!y-_|;txRdso$
zZ`}}M`7AbMXI@2U(P7h*y4O|vcRbu*SY3E+WA`n^Ri%e#*L7#z{V+|x?){g&(%bX1
z6L&0beY&n*Oz``bj-BtjSKX+7&{@>H;9!rLW=!w9-WyXhHXQlg6MF3IC8lFV)(J}Q
zSbWahZSdS7ZlL*{S?#gofhRURGn(A*83aq64w99b?ko3t^3I%3DhWFN%xaG!4;-=K
zpV1=DIQf%Sf>9j1&$-zRniZ>?g*W=JA5WcJ{wqaq_MYqN-Sv^{=KGt^zp9kFR7`U9
zmJ^a|Tn{Gwue!bYWP5{Q$;Yg<{=3s-nU7u2P0(Tg`#tl#TD#ws%MO+g?G|l#IOEW?
zZNgtaZn%|WrML58%adu7C%Mo0mY@AQ-ZmrA;(51L=A7$?3LLplyZ&EvYDr;a(c#dO
zy4O|8OE>&K7J5nIg=+7&v-QiQ>$<fv?|gBcYLb_kc;l()uB98^TvJNw7c;D`4OH!|
zJ)SK*xoCNI$Z>grx@Bz_o1)svYjnQ}uT|Ref5-XR-W!#VemuMMMxfmKbp<7Jr)NLm
zJ?4=oar@)i+hX?;W{I`EjN0*YqL`rZ){dD=T@U5x20hD|Xc*o-r!q=p&-))M>u2h>
zrq0QEAat|6FMt1bj>GP{I&;6SRN9pxcsaDYB`<18$#td0?P8X;TQy#&&Y#%vaZ}^z
zxV>8qzFa(XDZIO*F6zja>q?0YVw%ibPe?3j^)8PR;h8AdIa5LU2ya_f;*Djksk_Al
zt+#aCT<Lm9PdBJ6d*a6U?m5h#CiZbpJLzBVd&s47*^-0NmCKH#YU;*JyQK7Jl9=K2
ztsXlTxt<EuHCmTBv3SSh<@2Il4o>WwKl^Xc5}!$ep3*W?)4gIn7qx18ZRxPkyKkMm
zsV1*@nMa(#*2nTyKaR|<eCu-j{FS<8ZIhd#{7V0<`m7$M_i_F=y$xRF&-;GtnfdNq
z$K%;A->s=XzPhA*-MQ0y#lxkqo{um3enEDn>!wG%Wo3VM)^``Awu-G=bK%fb(Wo7p
zoVr_Tqn5n6uJpJ;%#wYphQ*bS*~j9!zGqGrEPVNHgUw{`a`!D8WUeYbnjvPG8>J$1
zUFm6yn56pF6P8O|4`xbE>^N<tq<44j#Pp(<dCSD6MMk-txmK@~)+feUywzjoa@S)u
zx<z6cfg1wcRMIx*Jh*gMNtdU4>js^RN=Yll3{P+Ih*;-(ic8lhENkLsukNO+MoOH~
zDa_N~UCT&}SlyaBS4{Br){dJCTo1YF2AO3}{OHs@XL^*#o=Jk9H?^d9lzflkJ}j-P
zlfSj2LjAsV^6V#;w^jA)Z(RJy{ce7R@!h@RS3WofoIZYdYf1RqjPh@n{HDJ9R++i#
z*2Q;^WbgmGYIaihu1fz4y-FYclfqSA^DcRR>bWPk{!;#Y<#@K|^7}*fFTB1zl_B?1
zk^Uk_dtIKt{WUvRe2z4^ac5KIhnqWQz7EXQ31?D!ly%@p4EKzd*Nl^kloO2V^VodO
zt!*fr`ZZ8e=Cp&X%=AUmUr*jCvsWoWN1ItKDfGaRHGDH#Oc^I{GD|SJ#_DtKSA*t_
z70tqi9_+_cU;F+_IV*2;LA_fN)al)<s~ptsVY%G(Sfy@}UUuMzL;LT2TY6QOG5N-;
z+2594&1Fo!A!jgcE~D_q)BO=!1Iu&o*H3?(pjlVo{PNvmCh2b)IX71q9I<On{-!;r
z;K7UO&LN$rTW?#Yi<+OAddJBBQ^(Kry#gWJ+qt(G=zMYATCm%LJKQw$;VQNNi%zxP
zUOGv7nrB|5UgZ(4|Gle^l%~yBU)6ehYF&5M!?LORt710Z5`H?bNqgp&0@ceme|?VV
zWLwNsf6TxtK_{6>Eh*=~ksj_DEgu;t8!1A%r%M_%XRK*{e9G<ak<?aA#^f1N2GcSb
zg$->JlG0dw4xMT6oGEG`xtUq*dBp*dITsEVF5R5>Xw#vS4Tf_rsTV)pCbsU*HKo)U
zVv>iqo{(JVde8$@t{r;WU^wTaZ*`c3v_V@jV|}uvyn&$jxohr^HuddWvEkvQL(@)k
zxyJ-rJX>{$^ZK5`wYRqJ*4z28?a8#+licro%J(<@asS$(g6rZ}AJn^ZA6C=ViT=~A
z#r}WoB<XFQc{lYckMRBPy<Ps+YM<mK#Z?FE`|mH3`m_7jBB?*`=dAP<In}%Qw`}~P
zUp~Cz-+o*v3ar1-;oWpBM$F{lsd-WVZ*RYMZSJSaV%<-(EcWdbtGxR-R6hSo<<1?q
z9xQv(7G0fnaMcd)j;I|iD_XtTqINU}aGS5loVdZWd&=3Ul$?u7>8r(9Z>PBEY)@sL
zera2F;*Eu^t5dfYEL|gJxO=O|jm55~;&hF^Wlr22-`&J)q$F6LQqMg7IA2zxh01x6
z;_y!`)svRrnQrsA@rh->ihe}wf1PQ<Er*+=`Z%&IwK9$vIwn6W{UdNOeU`!g$L$?<
z7mK#0IUeU{sy%eIVZ%l{g)RAhIzq?I*;|gvt!HadEsfK&-#Ih$?;q9j&zFL?R!4ng
zGxqaJoUyVsb()yq=B*tw7rWLUiqQ>vmO0TdzIzU9l!%VaN~K3Z^Lsj^1;kDo?_^Be
zD3-^y)b&V^Zql^Oi5p_Nr-(+Sthu6;K2_{pva+(!#!$B-yd2q2X3n~QZAyCAOqI$*
zDJO%MdCW5m{WK?YlDLn3<nNAYuF3q4ytb@czX+Ku+P=WBmc{o)^(Hfgkki}$*6;CN
z$JU~Gk9}v<zPImX<8|-zO}Jwe@TtR5Zav$CgIl}T*UilFc<VPii*@C}09~HLQU7MW
z+niCLl)%I9pPl@&%x!kZQAXj<@1~e>zGqf@>U<!jgfAxAZ+e5~i>fHL<3=&<2Y8L{
zemQwZ<FajnjxCegqVxktzHrTGxyLy9l6peD(JwY1zx@rGFV;5;8#=Kc?=@%sa`H}t
zalm|?v?+CV!fx3Ujoi9>Hby<^xx6T0&;7~YI=?9FSAQ$~^5DMA`5ye75{EA|Y~<md
z(ebeE$f~DTk5@+>*9_KO-)Et_;o&3AM&0QmeLL0^oDppmUUw}^?DD=nRlY^$o|Enr
zIe+Syy|sSNwI@$`eoqr?yS*=Ceq#2+XHTLc-u)C0J-6Y#cV30U&Zi5X2=@OmUnk_<
zzjxk>v>X0^#IExEUa5bpUh0VOQ?8)q=TQ=I6_2x3rOtevcjc7CGnSszvI8z>xMs|l
z$vD|SIU#5nyU(#L4JT(x8L$TL@sG>Y(Jw8C37fIT|JkNPOX?do`tZ!?;A9jwwo4E?
z#_DtGM#D)7VFSy>%*zs{@bziWEES$E>c-ZSxZf`FOp>mUSmwk{0o^^UQBTfXUz9Mf
ze(&4J#P|ae-+nE#)qcY%arj-s#y4CuI_BQfhz~nuEn=1veq)nwqIqwCMOybE&e{Ig
zk8jPYES>zMt#?winTK5c#(Vp1uRhrC$9*^|KBW1-lrGQetsB;S(qAR>C)_J9LUCv6
z&L@JW|Cq01`_DStCXn}^_aCvh|3%vEr-V2EUmd))(`n}(tNO|Qyq{UNo)I-k>RVvA
znbmj3)|J=(e|viM_}3*j_U*9>mbo9}JM-bkRsEM=?}<ssGVm=re5I;F`(8r*Ua_{)
zsEBJ>f*aksXIza^S#t^86w}^vB66MUK_f}Q(;_zyEZwp+N@7|@V&odvBTBkSVp$KL
zJ&M|)*(WBsck79lOI;oPB`0>A+|+nF*>+2Tv3=#T8J?5WeWuu?Ha(G?t#baRM&-e_
zU+Ej?D3l0axeyxQE$*mn#Vz%@aIt^AuwBlY)ZVPhuYZsIQVnN5bo^Jtg?)Q|`N`au
z5x7^iM@rz{mCBt5t~|*7`$yG$&&vLJqUElqB{g}Jw`}0Ku9P%G%+PtOhr}Y+Qwh38
zY?%{{1G<|S(?eWhBDJJ<FfQCL)>ao4@heO4qI>s@dr>N1E-5WtE+%Qa<;2T%t_Pze
z1?$86PP3hT8mb!;t9bs&sq&MtAN6)>-&HMd-Th=;&h$H?Z@139_9}dN7-v?5=8DwT
z1%jtpdn45{4qY<d%D6BpF7{Duk*Pw@`u^Ovr&o9HdA$1R)z(STVjgma_a9$nFk1ig
z(f_B@U#$;+zU|ISHQn$DQfDq6GT5DZ^Yi8m1I+{;^ZMvh|IQyPQch4>$L@1xYlG(w
zDFe-LCbh>!2cE=m&1mY@HO+3{c;=E~LGu~bS|jsQbND4r?`-I_kTx((XHt7ua$w0E
zt{FX(87CJjC2;k<e&BNE%E7|NzO(ISN*DCKoP6}mh4bl$N_2ykWll5<?Vh6<CF1j~
z`jXv5yI0?r)GF21A2EL`{PHkkvW1Ajv}Q)(!UO#gTlZMyU7r3pL~~Yi>h)<4SIbK8
z(#Uzarr^l&X)8;t`+hDcIP`nk$>h${lHaUP#`>!4eA@d&aQ$C_5aT!DQ4(>#7R^fB
zf8o%F81B=?{}-K-{1$wY*I#A3%`}@w6aOCzO_IDFbaeWnS&8-^qC<@9-~8UUqUflt
z{}gQx-gjAvJ09EiPt7RUkgEM?v!M)!#OZ$xoj1e{46B*d68#S>dBZoOCzf&YX5$2|
zvMq0pl}Mb<m7Vi!{;wC4cdpr>o1hcTtd`_`;7AVNj22(U$(sxljLcZTO_qq|&BBG=
z?8j4ExBg1e)3&*!-ko__>EVoeF-`ZaCoC4Zo=eo_;`@31_B>HJww{NL`L{M7`rBZ5
zhg;(G-G<H`mp_>^EY0Nmw_ViiPSA~_;D;U3T*qU=EgnXl{#M_4`r!XnPujF6MeBLX
z6`lm;=lVeI!>pkET&c_Re9MM8UqSi#yhome?#`!Eo(QV{1?6YMdk^l{@7q&#CqIz)
zpKvXRi2BF(IR4iEs?w6vLY}U?i&?hzh?pd`EHFIG>ML>0$TREGs@ck~3p3;6WVQP8
z*Dd4uSn>P*tejP~0d;?$?Vex%f8|blIfJ%F#$?F={(tM=s=r>}x^TYOx~dCGk5-Bq
z`fu^5SmSz1S=UJJ+Tu{X-8-4;or=QkE7Y|ro3o=N)@3EW+!@)`As2O|<hs(r*<zZp
zTTi@L<m#8M%Vl?}BjVl3e>+|NJ8~bc*425xrK4iC>ltZXp}6dcpS-$zrbj*5b79eg
zojo2$Vs9Qu{eC!VhiAW7-nqrCvp;1BZjOhv-mfYp_lsHX-l}m!RavOm-K@St`puO?
z8=SdMm+2~P%M{!k+TEfXwIt`7(&H{M%jsJ+cBm*%Du_1oh&grb(9#@To#$ISES9^T
ziPRN3moc#@s=FsR>dBldiypM}c%0I`sZjbdh8s3cKK1?F!r)JHq$i2T-2Za5{?D)a
zyodHBufF{Le!uo}_s@UoW?SCWUo$J+JjWu)|M$~Hho?-Fo!%9t#w}&&d0|FplbTP)
z&CQvYiaN_`PS5ia4i7!{YntuUF7wqrg^zxuO*OpsICQFETJqYYACH5l8d@d9xQZIA
zTg4?29?9CXdL7fT&<N@5{-g45r<;XvhkIuxMlORiBr+y$i0Gc;8kM56=6L<SJCX&H
zkN>jO*_r5jdS3*4{)Pzd!%Dh3+*>;$7Py|N(G_}@IngM*yT>x>$(d`59yIlIq;Ac5
zkTvad)Q*?^VtKz7yB?9$P4dg0Sm4z?WpY%?oC`|NcZjj(8Yu~`PGJt;EOYr#K?wJ0
zL0u)jY{6oW?w01LB{CP3lJ|>Q{@$Wde?wbYXmgNRf$3>EU7p=rH@vx|l(byT(0Yr<
zjdiZ4%yf-@Wlp>t-rZzpq{OKWGVjZ^Ll?Zc&A(qfbhdU&L9x8<ojdNR+~s!c`mU#n
zZXUR*BmYX9cPc{B#P@SI`rKPOXXzwyot5IMPqS{+&;E7FeW%2?sDRVjj>>UdQlFhJ
zv^@X%bz|23A2Y8X{bs-5c7*oke?PvSHhR7C`kGr|bxJ!QZhSH=GVaK#+@~`q9X~U{
zCUMsj&C4q1XT18js%=)#?%=E2o7Aq)GYx)w#&pJ#*2JXK^DXMdc->ff5-Scww6Xde
zxzNxlDP+Le%&eALA8;UrhiAqdM#kU^@$AQq9L)<&5A43>_gp=>LfT-OIiv7LtAwOD
zR-Z$s8$2ro4J6Mqt38iAAY!vN_uIDF9UrYM*2z>X@_+WK@%XP4!^dBAJtS7Uo|4ct
za?74*?A6^gvCjXNxrW?N+syk5DqhU~#@oftn7l*YU|Kb!aN+g-i2AL$w)@S>jjwL|
z`u3JvNhj}ik!^QEZq!CZ*Ci~L-R-~X(7WVYpC${b>XqxUdFDxI>`ZNVBKSAD&VSLP
zr{`Slb$M!Q1K-Acxp?S8G`F|@|3#<fcF&t6UFDJYQm@j*{D1H2J!b38HXG01ws!Xq
z-BokD&w+|t_xF-o<<DYR7q!-}cG&ZLqY!TydrxBcfrv6TpCf$@oj2tSIBzqlrDh*U
z$>E$a=PYCJ22b|mMG@wOk%`F%B+OWPQfm*mtl^$9Lz;1Nfo($2I#!=!R~t_56f$6)
zURt2U_fkzyJBCZ*uxst68O>2DG8dFm_lrsX-g4sRYS)8u;C@hRgW;U-zV+2%7UBkN
zn;DZWg$)Fk?=9S|bH!gX$71D%r!9vBkBjzY23tIgI6Xgf+76jN{a$$%N;{XHm6l(f
z`#SmFf@}M7YeTrd^X*%~_Wy8{1Yc&N<%{?b%OCO+?rexJI&F7S`P;Aj7~X%ydk^lf
zy<N2()D<fKxk&0y`MkBhBByd+TW+oY`ruWx<7U><V0p*8N8AI<-wIywt;*`2IDen$
zmYs6bzvaHpeDvd4)2ERB@Y<UF3%@zOys@oL++dv_zeM=*{1vUAE^qsqt;@51%Z5Ey
zlpeK;8J^$jQL)VRRIskmyqp!TtMAOn_z+QaSoyt+YW{`o|4hOTU2y02&ev6{$`rgD
z++E)y2N~j-EoK?JRpUia{W`D2N)6>lLbd6YYSW+FzY?3qALVlA%JL%5IPU3>w-#u|
zaZi7^wSY5@d;0xU7bUq9c_y28+XNf$%ud|7!1YL_Zqm8Ti3MTZQ;efh=3G;HK1GaG
zJ=G<N?KIm)Y27Uai(AF=wp=*WD;Blmq7%1yeN@InH*VcK84F#{CF^p@o$OHInZ!GZ
zjeo0w&4oin@!cKOQAh4vReIPbrn!CVi5*K_&z0$NZ9Cbqgl7uxCAR3T200fGZHnyf
z@cp#cn9XC}<@ircbz8rNT;7$t_i={q!Rf2{q+e(5k14sKuwwQHj#p~dO~=-Vnk4$x
zzFd53Tio0FuPas=nQi%_QF3&4=rOIrn$4#oUVdD4DkAW<iJ9!}Yp0oy6(}X>9A{Qr
zq??e`$Le$F;;-b7nYVv+h_xM#ir~u>EDY$L!5pRX=DJeqd@;%D*BkZC)udw9-9J1@
zT5szgwMzE<f*|g4_bmnHF5KnzTMD*2bC;WM@vvOvdMr`5sGct~upq$gNKqiD2-MK!
zQQo?t=Ymqw4l%>STRbFIxt<cxHDb%2XzbD5)M%t6C=MzDc`h8f5YO#xudDPcOYpLL
zcgww~C0{NnEnY5WX}d+^g`TodahzF!skgZ<PwdtWB^Q+zZ5A_>kN#KN$~W<YWA~Kr
zQ7JlC-%s4^(%n>l)JTc*Iml3*ONTZDaGz$<ReF{!XzbtJvNLMQnTtxv+r%t4Z_${c
zsXVDD4CF>0U7pKZH~3suN?KL(zQ=gd^EuP@JP6sVp&5R78AsL(ofWB*76=Nn_Rdtu
zIP_y${lrC{rx&HnDL)w+xM_J@+Sa`2{*SXlZ(m!iYQ84(r+EDx`@+*%CzZoI<u(U>
zY7w2ZTxYsX^33C_rLtabymsSe(d{bF)Aon&EqQt_ruk@;gxJ<U-463zn`bg6&k!`2
z_L5Q9P&XlI8Jo|cDGi=8Weg-IGpQ}tP2lQ#`5;2<mD)Ns3n_y(WyWMn83Vy~Cbee?
z2UKJ@W=#3ZIC-OfLeV$2dY^-GvU56EcXevBgUX=kc99r$Uc$8cy>F#OteKB(IBfn_
zTI4qKF<4u#yZ++UZC~Hrx^p({_O;o4oH;jEY)EZBB=}miFLHUop<A~EQg3fxyW8`f
zm%_<dR+XLF4Sxheti|QCUv7w%u=}y-R{hS3^{o%*icQ<T)h6-w_O<st@+x$9KArkR
zQ2(#_x=**(-8yrw?$)-tciUH#>COAO=#;3xZQ#2zrWK1?9~Pe8ANw`ZWX22Il~WCU
zI3!O0Xy}v>H!w_QR!j6bu%w4?Mo%E)WMiWQF1L#Q*i4=Nl7g5piFKfU=Z1!jJiIeH
z6dCJ<jV%&{*jRl|9cVZyAz)znmwDNO`FwsyoMmOEi~f2wdFPr7?(=n?HP+b)zssIj
z<lo)1JL<`ui;Es?yFdBcnhUqzdsc_Ncsl#rnhVAWJhn{7F4-n1&D;K`c_yg2dS1lL
zCiKQ9mxmq4xsKZeSv*WUZO;|;sOUqz!p?{Lo=mHrRPTN#_b2;5tvJ4a<y#Eyd~-GZ
zasSexf?)2`y#E)SI{1IrleX+h(d)eA3Xj%5&iZiwCGWqtx35kABX;#c{YB6i)WWCn
zF{O*{Y`Yg2&7T)^_?)iY);9tsNxK&q-e&Q=v1{qI|KD<7GwS{q$T~Wk`&9Iik7rq*
zo|RkwYe%N{+vZCf>vQ)SCh$lz9otmrtvhA@wzbzY!Go#2VuGu;c0?|9J>;bu<d-?I
zaFg8HqU1#fIZyH)-zz+I&%rufp5I$GytxV<sRs=%Ep<I*qHDA)Yof7hchgBDrD<k2
z52*g~jFM2xN}RdC^+<(o(y`2m24US(45Lzdt|_HY5o1+Ot#?uCJISWEyZ^!=gBb49
z2D(aN8G@T*x?57CmgrnnO70Z1?B1#op`t8Q9AefXt#jqj1_$obHM&aAG6jvpyIU-y
zmYlh!lsrw$(s--J3`ONh1ukYDQa;xY86>P0nf5Qr<;!KIW$VQ_Yqxm3T<hwluUk}=
z6?h?eb(hDf#G4<tIM$a}F1vEUm)kpBS83OY@8>L3-Upp?Jju<MlNsy3b?xnI)1&_N
zep;3{O?dA1UspbCjN_S-%_q;&TcMwE#@bO>EqCqIMOU|db<t-Eom#jpE_F+Ow7<Y{
z^VK~c<<_t2DU8k*bw0lB>x~A(9!`nVFB&=}L=6m;nbi_q{%-uSCD%5lp4(jc>LFi`
ztp%S0b?=mfaGOhCJ>=`Q^~8?YdacvFoowl^zHfNac6qDp+Om5OHi)%3M@8^u2^KnZ
z&-fdq^5(Kq>UuHB>MbX3u5~@ACn<PZ^X7t|zS_Dx`CB*axv2DLtC->XEglssT~BfA
z8qLd|_}QnsX}OV-pn7Wav+Jw37}(TbJM_t;yQ4koh|LA1hx^1dzi&CQW3}r!SzWHY
z(;Y|VOyG?<Tjb4c?t1Nz@5HSgJJz|LG1V1Xmo>4-wY#S>>dBd_ixT>JJPs9tTAQ1<
z8l1Uw$SAnGLo(`!&vm85Sz?;OTTjeb<a#bemrLzr$C524+191aT+y1?BsT41luOU#
z`uB4SeLl@uJV{&z(jbj+jCB*clA7!ot0s0OHPJDa%`QmWYgO*+hxb&&T~Ds9nt63s
zuI-uZu-s_=sdo%qicb5TR4((7+Z_9;C3n*DoT)a+-JsI5Shp;2XYSsW-x^%5o{MSj
zjgl~v$z0oF*U5I8`Pc@X1RZZ?wIt62^+$C0X0*66PTpjYU?j%kbM8-rW<_}n+wo0t
zpqA+E$G0TzvGhDGJ>as3YsQS}jFUeoB?Qf5_c^w?;bf(h0jqyWfzq{?4|Wti*k~8|
z`FX<ElS$WJJvUD571Lb3^+d!{*K=OFTwy<Ke|{<6Q0H&=S7uHAEpv@`>^%?T4n(|T
z^Qk`~YoBy=+t;(YYY(Sf`Ir0pF_UzjX3ox)1xI*Wlh<j_DR^7)T0nGbesuiQI|Z&q
zr&kDfx%b#SY+Z0`r^Le6#2xyp=5{AWNwj4pN<NAY*;#BDQFK`0q%N~+zr=(6g;THR
zU72RJ$p7}WzkkK9o^!R2581hBz51!SJK1Wt7<{n{tgn~TzW8AA_a$M+J}4&Wyk}NR
zN<VPq4aba@w~UiF=_VL$WAiyTtwHm~o7zua$uE~SKi+gmt=4F|s2N*Ns`CMtHT*MX
zlrm1<V3rVcjm78KtA>-2q6Vzmr3Fe=uO94Jv%zWpnbg*&zfufszkHr~A+~!;UQ|lS
zRi)+KVytuj&fAh+-<n$Ie{1uhp!-|WTU8m8BV-JwxiSiGydd3q+NrkjP}@(gXgzJ2
zLeGaYy1CS2KCpexy8Ua<leXeX(dWG63Xj#BtvdLBi&$GQs0}(fO2RKA(efRr4Z7Vk
z&q8hI(-lty-NA!*ukSs$zc07;2B@#!UK7askG=o88rReMZC|(Esw$W)wT>%mr&7j|
zRL5jJ&RGQ;w@FBD&5xE}aeQg*?O#n6`*tp<x%W6!{`}Q#3l{g~zTV83Y$0qg?ef<L
z^|!Xwt!`cYb8CU>ezA3rt{)Qp6Sbo%o;&<q_QO?wtG1l#?PT*;HMjZb7xIZMJKKKU
zT|J)cEgRNcQ%agDW~g1i)gxk|>!~7LqiLBFH-~gLsm=~{(dkchiCGk{bN<PvKiImW
zf1{qfxx6T0eUHZxWl6#5cfX%7-uYx-H1}ye-I%}?t*be=7N{;1Tc>p8kZ4QPj;a9e
zaK2L=M{K4DcE%cNoEO<06~G<NmGw}|Q8%VuafRz44c#EOtciwB-E$sBiSSGo^sG=&
zo@64Sb$*IOTSlVf8rLHVx=CzV6Ac`?r~Hdb`Eprl`Fb(d+7y?dcc(j+@K&8RUfLEH
z74a-X&@g&m`7v9|ThH%I-SfaN*VZ)a?zVpwi>5s|9oil_yEbcv=8Dv|1%j7ZduOU;
z9J+FLYkkm`TifP6a$T^>DDK+wdv9-Fn|$=+S*_$r$5(45KYA8*^uoqmTg3#P$IQo!
z^b(YgvH6^t(cmc&Td!l68(qH6zH0uqwa_;Dp6g1_=8AFVZ}q5L?0W2V)f-Up*$pl}
zTfg3nJ}Q0Y!XblL?$ai^O3ShYja|E2PDU-M_qn8$yhzMac#DRFzH(Beg7l7AsdL2I
zHbzAp%Mdh-LTTG>J#llf>%lz9i5)*THLi{<%S^nnvNg3`Oz`&Bj+@I|4~6LlZOfRr
zF|vD(Z<I*R6v57!>LBBq#oE3`MO@1i+!)q9!!$}|%{8UesbZ4aTTetTtam+FBssBV
zC&;{MnTa#*skKVGZz*`(A(kg|@ldaF)Q&<IZu7p3hsOkU?^s+~rZmaOz3k3aoySjR
z#+)?@gG&9pC%O4)?5UZml?TsM1qQ8a>&^PSGI7a*X@X5|JmMy4GZt_<-_CON+?s29
z<aJW$ls&g%v^Pg>U&~%ue|A;w>&8jaY#w<p^($TMPbUBJnzzC8)0Eyx={X-NLY>#<
z|9(~f_g8(!r~CWfKgqjmzyI&T%lT(#|2XWwX7akr`~Q6Sem~;&x2ylZef=L%eE<KK
zv)k==C9KW-{px=G-}hOY?x-bi&3b<2z5T9RFP=VIV6un9`}F4xJr!cp4E+xqJ*@Y1
zkNmRq&tLUvE9Al^M&9|hQcdToef|ISp%MF11CJkHm$~n+`{mMK|Bkb9Z#B@laL6dW
zyQ4Dd$eG-oYx4hpJbk{t=Kt&ab^ji#Kig9K_5Hqo=CaE!m*4;YMR;@cxzF48|Nr*d
z{QsYC|NlN!Putoh|8M^Lx<C5%|KH`^dps?ol;d#y;y$IFp(ZbGZ+vn+i>H?9*d^-(
zr7Bh*pYsi#F9Z!V?=q_`jy~|Dgm*@ho@{7+_eP$pzA=>*amS65I_$#FB<KpUWll5-
z=<Z>Rdh+G^q6PP-t^e1*{{Lk4x}RTv&#(XaPJX^yTk-LIGC_9&?f<;}{Y+>5&c?cL
zH<k<j;QszwKd;{4*T3!a{~w&$rypqk^?UvAv$lQrOI{s$nH*o&Ap8Ga{Jx(rm%p!n
z^X0HVuV3rZhba+Jel4j-K5poFdD-a5))OuF5@%jo{dV>L4`2VQJUM@s|HjU<iP;vv
z)vpyj^Upc*(te}i@_$Fv=KK+!U9>1U^}*!acbpe@DobyD{L1X}#-|_aHQ2Adulx60
zKTqOs?_=Hh?U(+3e!hQa`m5UG(><+^<lq1EX?FGO@>_r2vfpDnbAC(izgPCPfA@0)
z+a8k1mON|q$KLwms~giT7asoes;h6h?0x3ERq=IyHvTFw*|Fu@X})@~|G!Sl%jCW+
zXg7|z*6XwRqTt;VMXu(X&bqZnze~Ja|M$=3`}IHEBPC2NzNlX-_~5_hse^my)uh$8
z?<QRMXn(P-v3{8@v(>ib+h6bR&;8f``^I;E3FrL{8^74by*_b&|Nnpa_v=32tuIs#
zY;OOWSC`1k|L;iDyX`d`bJm*qyw}Xxv3kQ(zrV-+efcZ@PRwja)c%cc7gZ+bzjkZO
z-WB+>p5yMNUY+Zui$4kO+PU+Y)hq7rjJcZA=KTKpd;jjT`+q-Kzu)rf_Wio2x!zxI
zE{rL>^uYImWE}h4r)%nV%h&yzTP%CImGA1B@blZJSnn@+6~dSB?vvgYo<zTz|M9c`
zA3l0*`~M@>e?L!`-x-qs`LKWL?9*$fUtJme^IqPEAJeqIey`W>_WAxVJbIOx`mDpZ
zOy&Qb>76Ec`rxb2kIxk@ep+?Py!7cK|2sLqi+J9Axod7eb+6w4$3d3cqb5bP=d1l<
z+kW^`!E)8ZTTik1|34x%?{8<RafAIv!P)<h9LxDBJbS}e|D03X>^Dl@{(t1zn;*i_
z8;oT<PTwsE4!3^yOE~++U-kNHo1XjU9J%+w)%6bd=^4KhXMRx736^V1J+^qmnM#>y
zto~7UD`h`T&;NHVA^w8oH}<)w%N@lF4PGSiZLR%UU;DSd?&YeY$FuKS{e2X4(%=67
zm6ZDbAD_ot{XOz<+R8i6o9iEW+@8+4IdhikrR9?gw!B^a|IgQYju&YGcP>=D(eJM}
z+_JUy>;C$`^8dfgU_0FR|EXi!!}{6@sYl}FMGQZ!=kJpIdYxUh=ilq*pksf&I!Y%0
z|FvLFVSR1D!5@$7OT%@J|NpdZ$Hc@#@%y4b@BRnUc?qQRr~Z2DM@QoA3Jul&f11$}
zTwm#P>g9eLwZ~`T<t7_H(f=K4u;p?8%6;`V&(xQd{7Jo`e$S3^-mRd<vR@x}$FHv1
zE~7T1?9XYXhnxRCR9_~aFBxeyW%c`=_ktSh%ig}X-28Ywhxgyp=KJgJ&oMtAbi*Jz
z@w7~<@-r<NWB-RO;<~I6)_#>YgBDNOF~>6Y^^F;SCP}aJdiTtJl6%ZFn`e`raEhz?
z@7(b7^zHi3x7XYMc`Sebf0P|(jOE9x`~UvhU)&o0I6ijc&-M4s{#`w*-gb57Y*(Ju
ztG4S$G{3ehdAgflH@sG&@Myc?^x7E(C)+i}YiAT5Y`1(~8&PoX_0rkAm1jix<hpmh
z-q>O-=e_go#-3=o(>q^oY?3xjyEE7Fwc+8JQO|uopW~5u=UDGtFCX>mr@^B2{K>z5
z7%be*A6)g*VDWPP;;J7T7X1EDrLVhu?>zT8pJqt+*iI`rl&pE&cG|^f8|Ng;X<PiV
zd}P1F((`kf>5FeW)#oo*`1(WE_vhX>9(*;JFBQj`RjHYAgxfKB9`~#dJ{P7eZ%VTf
zyb`*qNzCTc;)Iuv+!YsX=C4n-`*l{>?uWtCz5K@aei}Sq%g=r9%KCdI`PYpcdA-|1
zAJ=f_8n`D;FBI8!CdeXb*&#z?5x*Jh3Qn1{8ZXnDW9<5{>ExpK(^Q+>bi_>3CNALQ
zX7!C!$T(KxSp1AL%HaCqvl|O0Em&vsWmimJySs>)OxTSgk3@6NAd6=Whd6)Ni~8MM
zUT`d~wfLJx%!cSMca0n`bbM?&)+20^xMo3~onpqZ498+O&M1RRi?7{17~3RORu#ML
zto07Z#KTpsy01n0B32iik!lqV)1I@*>tWASuIFn4b|mb4u{*VWf#7Y{-kWL}hr%3#
zw{gtc7<pliZ<AQgmy9Rx9-OVJE51^YTv5OGbl_*cdEqw-{1Q(`wkn^~kSUHzG=Cdp
z@pQ={Np+EPmg@=}XTR9}bk_pG>ny!HwK5KgItJ@;&noo1FsHjoEapSTn|BWk9eLgN
z>K#98eIvQ$K-)X6=v|sJ7egP;(Bo1s3A1>*c-Mg*ey-<x!goBF`(pRgjs=44ti6^h
z8HXGl>x20?W^IhWFsHUj?9JDVNADg)JM!l5(NjNb{UajruyU&|zi3~@f`T)(t-|j#
z=M;rM?6Ky0ekW|lgJ~~zKi#@Oa6L<JrRIv+@407vin-8}-t^2ybjyQI_uz8&=bTwH
zUYA<t-aDwtC9S5NGjmD75tr6vHH|q2aSx{ya;5i#TBO(a9AaJUdSADgrFVvI#u*()
z;WVyUM$Q*{UN$}R5#Exp%H6n}-JLT_;$^92_Pv9)T+(IQIX9OU9Pw*SF4LH^A@<>v
zT(0z-P>b~LL#(r1@9QeF^h)SuoH1||ZsVF|<Z_|sVAC@mp)Cmu+>6WE%{jAfyePHI
zzjsiSOM04iPUN!sf+In#$<s9EY>0R`#g!{vC&VJX@eu20*ZaECEWHu>8E32<g|BhV
z+T?bj=W5flH9}hwmb!D7v)l81T>0?ugsSP?&tz-XZFo5I(6r@T?lyrI&o&<7>=*H?
zTv2e0xwZJ6c1(f)m%E?hE_9SP9or*n^00S-=6cq%6{;EMydAmYc<NW`UNKSsQeK;}
z<MGz~d;2(@YqKQuSESBaAehYBE2*4uD8n(>jdPY^(1kgIO=3LquS#ze-R^ffqAP#w
z&X>ePyz<Lpz9$|tm46oVHSyroU$z_0if&2#YIpM)*R2Z+x3X{Xl>QQU<3e<zw|=W~
zm6pt9=Z7utxR#d$TP$9E$TC)>Uhjp@uRKYmj3Wt-$!wgn3<54pVQfnKB5tyL{sPuo
z+gpDQ<bHma{aJYXR=Xo6na!qL(qY;;kxL7Xc(o>nY0TLW^KeQkSGrE9MSABU)^6AP
zy3s7XH*_-2s5uIoan0J~c%kQO)3Y_gTN2i~&(_Z9W--<IvMXlYg4nPd1)hngUF%zw
z^)zIP;~usYb1k0}YVo+|kmYhwJqy)ed65bkM`|3CpK;DI2){7JvMKG1m`VDy1+2!l
zw_+TvHCH@rx&E|>^=-qUw!d7_-!x@5hCiHP%ccG%%p!IAAxUe|b2k?j94xz7e!9?6
z`4&sBrCP?JK*!)dj#-5f7v?xOiOGD)C}ypHyVQJ}S@?yxiq6F`j=R@ycsTFSwB20p
zcLFV*Z9BxdUBqwa%7SCOt;OrKV+wq~-2D`Dp(DNNn2o5(!_Ebo{j6szR5H#vJ95ia
zY}wgV78-C^bp7e4T(>%YRn-Ygw`u1{E-N?^(3;$)F~=a{;S@)%be<54^oB#Me_y};
zwQXlpS$(97%A-jO48>V}cPM0>s&q6y$2qGw>_U^VT<*FBUq4q}jB$MZx^!oZ<LgBm
z7H&Q?t^Zzfz`6ys0T$2p9^!m2;#ax4;Fxr4ah!I{2QQZ+m)u=cKuetVviQEx%<$rO
zG~UHM>$2~Krgb3W)`E?T1{)W>XhY)0L(}SAx!iR^EYcbeaefx{o4LH;SWIj2GmRL7
z=r4CSxn1bE+H`D<kV)dw1)AC{XCw47&KWs!PpjIpv&qam;II$aubHj9%SE=$39)$8
za>($ws9#2~#nTywB;7^NSuQF#n0T=qy7b=I_d?6cre!{YCdr!?SWafqo$=!0vwE&u
zijKVOd-bMkXTVnLJnUG@bv!4~B60H}&DkR7ZmcLc$JWYyt$SZIABWV&_zN>?o7CQj
znxytGklf9B_NHpa!E?WE1r}RXB)72hUU$E8+dTiir6X@T>()7fCXco(FkH^!YoVEO
ziqp}!k9*c-wTy#?`wvgcw(HsP>TvxGZ9AVGZw^oKwmY-q#o;;Jb~+aE`+u$2*;E!1
zaCqXczVgXtH`Z=QoPKE9bT0QjK^D)p9^%XwDGTjBB>7(CT;=+LgX|Z}PbWGm^KnWQ
z2V7`jZd&$6+$4Ga0?TSv-5ZZDKI6LO?ij0Tx#=D#vF;S_EMk3&R9MtwDJ?FgF7a31
z#U*vw_d?6Nrd_*&FZ9f7diF(V%Y)YPy=N6auUpV&9XI{7WAUa#ZJu1wYFaXejt^&i
z<Wlbmwn$xbNHSUEoaEYqgBlmhPv<%+=W$9E2VZEBY+B|cZjwA}fn_kO?u<tucX>GS
zD%bA;h5TPpvoFCnE`>kru;V)ZCCp;s^h27qqUR!37M#;+<qqrK7v07!W$1HZ#=<5w
z9zm1T4GScjS<XsoWE^DZHw(XTjpNm`y1415MYrT~?cNofc$%+OxlBuDv(v+tr(DZ*
zf-RC)9<uZn(TmXel^3a=aiq#I*^Fb>hQNSut$J>7y{OwHmh&~Em^F9eg0@z$Nzrjt
zI^h@cv~qSXEI3lyn!HYPPC@9yDcW4=F<}<ZCmmuH-<xwe#xYt!Nysq%!i>r$wKJk7
zseKD1H?y9dshV-n2jnolioF@@7R>z)Dsi@I=2$G-@N~r?L3fcp%QXdu6kCJ&wCd*+
zIy{{7mrMMOaP9Uv!X}T_EHF%G@wL#+IHl=m?8h~$*y%#kW4YXQ3*L%e*>L=I(axr8
zsZ$QLt%KCDu@7g+ajAa^u~<6&kmO#`b1xSc9Mry8emdJx`5uSV=dcSc<xR`>h?_ia
zUSKKDs{6ubmEUab4E?>yAaaj&#Jc(gcf)TK_$Qu@ZB>4!AyXXvuqBdf`I!)l<hDbW
zmqqnvsQt>T)XO+x?3g@{Yt{#s3sa6arP&CXJYTqgmEY!8jN@#r6^UJ-f-rZ>p|(J-
zXg4hxL#Kx`9&)Mk1Y4x8I3(#Ta!zth!9j(K<)>2|mDM<<i~}#Ua5gRL5jRPmv!LEG
znN?T9c+<9k%X55qzAQ{^xW2FQ_chL}2>lhQ%?kvjS$l7)WE@I^v|vIn%*kyM%lU4d
z^YZ=Sjyk)Zm#+`E*xC8Ke0#X(s@<8$$A_D?eqG%^TRX#=#q`Y@`vtzY5;_mH9p#D^
z)0Ej5@Nfnzm-?A-i`03CB#TAQ&0Jh?us-Kv`Dr~z<uY!m&0ZH;rZz2GBVdxebAjb)
z7Tt(fpj5hy(atnSCg6S1&ZccniHD0?bx(`*MXV|~Bh)G^rafnq$HSghuID-dI}-N2
z*e$KiQd(^9n0$?M)`qYPQ%sw7`7c;txtc{c;w8u|8%N$^a8c7PVkQ%EqsZZ5eaBy}
z<8Oj364xKntQI+UV{O4Xy;knBu6@zZIHU@lF3fn`q_%}~R*}zzp2bbiWCXW7*x)|f
zGrxU7+uOM5r?;3pBs$l(>i!k!d$GE}N4{0KO8ZS3A6NR95R2vQhgk2r-q*d&(tATI
z<BXVN>^?D*)Q$y`&8%l7RWc4bfD-7gdd^pA#oz=V$t9hpl{0f;!I6^I<Yk(33_>4H
z(d0_^3A0F_bcj{h^}cQ_OYaMvj5Ejo+4=rZSTXxICv>~nu03yKor_s>n-;Wv1RIse
zCEcc#BWWJ+pkpJ~@t#17#4U$37mJ*eSXpq6qm{dj|I6=pdeJUNVz(}+f47LW^t#T9
z-6@WDMY&(;MFf=Z1*LXga8)7w3S9Fn-mq}{p=ohk?q5PImbD+^JT2lExvJopP;0T6
zcFYEkFL#YxE_57hI>sYplDJ@jCNs;~7y21~_Kw`YKsCj-fPlkH;F_YOmG`p9HlI+7
zq^?7TlSTbzEGeiz<<e@brZLAj?qSpKd&YNT9B1n*2^sodn6a}-?TnyF>b3=vn_14z
z)XX^8)40_$$(Z+^^aIDkvFp>%-Y$0yIGpOJJDsI>hepO3R!8A;+_Q@OF7#|}dNxOJ
z%Y!ZV6Hm*^yWRPgct%%V?ar6PQ@rwNG2au<naYdBtUa7|%KEAE;rf$R_NQCE`vn}1
zcGS&h>8;SsIHT<-9LF{5lhcKs=S|OSgtt6cc|Xzn`>*CZXNvCDNL;?!&KX-X<I>G`
zN%_C!=YKvp-1NQlhEKkKO-4n``}CQ2c3pWr&uDw8*p*Kk=ld($aY%iRgA@azCXag;
zSgvQ)t+;yr^@gVt<y$-2>+O0f9~^F(_t$pDrNix#cWY-{KHJV3`+CF7Q*qby_su*R
zcjb4a+NQ#<zk{A_+}IR#j6=#W>cWh`Cbb?Blhl?4l80H(N~&cX46x^#_PkC+Y2HtR
zXDj)I=lw8vx{=>l?x#U|Q@tna<JTK@el$MP-gfY7-L%_wds$59th#@*=<@R=^$P^m
zS$Zw?GMr{F&^*p^)<P@eoS-8&-}T2=@4S(G;;xvqfIpe-hrz=A{K3C|8Z2JVU;OLG
zh6Uxa)9Te?_y2e%cm80N{b}8KvQ1LkxU+7mWgH1}OqS!C^}*r7l;2HhcZ5xzuUo)c
z{)aD`?}tIse*WZdKMfMs^9O(XVUWC?zqqXa=Z1vz{jZL9%l`TJy#CLJyZitB`JF#^
z)@_#NW1bJ~k{_>%*IwVvmdtdlw}<g@+8Rb-L%W0|H5Q*kM;bh5iWo>vW>!o0Iw0b6
z^<d#;b6usjEJ0(R?v{s9I~wD;&E>Cyrx_QA^80@N=Fcs0_)Fnce&y<8Q|BZzpZZ|8
zL`S~fN$XLv$I%kL*tXU0owSx_d!&|dublHvG4z76fcoj4hRzw128NrNj$OT;yw$T(
z)If4Qv)Xgt10s8-F5CI~N^o3s@$;3_dHi?&-eS6h=eE<SO}0yv%34CsoSWcvLo`Tp
zx3gBVzsJ)zyepe(8!aNM*nM2)H*~&~HsJirq_(vFKz#}i$Ba3RjKLdRXFb!q_OHQE
zX2L_w^38rNQ)VA;oANZo!*ved${9Bmry8g)33}ERa%|6pQ#0j*Sh<-BH_7nK=x}Bf
zF1APz>SOUab+O^3g_wb5E@N;(%&ceYZX9Yb)S2{9v;25i`;<gqkH~9nAxCyi=!%pN
z;*@sMN=^1i&EcwFIp?h6(|H`0r_9PPim@w6Y*a}|n#SgHXj6k{q@01IHj`R<>H(28
zla}q=vm@Z!mYv6Tcs%=7w6L($W2d^(k~_kJLGL;_G{3vFOsQ6KI%O-U_;g;!gd%?z
zkDY;L0_xvgSbD0Jn2y;BGCrQy(NO5`a$twLQo<c!L4$Xl6PE34so!au5aX(FI9M;O
zk6ZKbj|rQ6I97IaDvBCwE)h~|4>>hu!l@ZjL6(=DRwYy^_^q?yk_eY&^*Phg;3*+#
zpt+byExF{tlOE0)O&c$nO!rJ@=}BD1<G(YZN^=R%bmvn=s!NpCwS}D7I>Bp)T#%-@
zlh)%jkEeIIRyN&kw1`Y&^{GGdrlE7DumNW=vs!B0fs`}+Gv;tJ1{Va*dKUC%ZiC^S
zsSh>9Q|Gr%d06QY8P^hW<lKa=o1#ISyPdUC{XJ6O@UEOws~Eb$UO@fy-iFQ{@&<<d
zOll7k4=l0an$dHdaq?%C1g?2rmt)@93K+CC8`(TP^#0@oqd8ofr(aI!vJeicH%xcd
zdKlxebPoT@p2v@wwVu0ph|ZB&|2V}`q$A<T!-h^vAp=f#X0@jg2U51M`kedNplPA#
zTkQFB%A3sM*q>W?{CDoCQC-3l?tH39cZt%v_K-8Z6TEgv25D}0(t2Fx@$?So%BJ0o
z7Ln&ze2&~~=(H3!;C#-k_SES>N(|48IrZL*!3C9jBF^OK1$>>Y(z@`yO6j_1k1wbu
z@XTdC_Nj1@nV>wA+OygNDtowROxeyj`J-AwQ5?I^!PT8c7SrskvIO^T?RdG=)yZEs
zs48RP#n|pSc~K%Iq2G_1g|CTUwa>i1YMSQcBkhYbrF!@@53iW8sfTA}hoGXUvCR^p
zw$_mPQx_(jk`M~AY<6Ci5TMXB?IfeHp=Lso8k^6dmIhBrc>~GEOls*l2Sj=%F0)kN
z;gLAZAUUtd!@|~ZLCjf!plOp8MGKXdB=xn2I7NHxWRVV%ba&Qz?%^RSGj0ByXAdse
z3mok5ZZtC#XI6XYbYO>(MuO2fR-bb>8#FDHeT$FQ{|Y#;Lo29ldCbN-k)XDA#neiH
zpmj|AD`!+IPAxEB67;TR%4$icQ)f+_S3O8m@SDcWD7?`=At{c<=g{W{&q^@^Nq^>J
zXSJD@J=kb+R`j(rWAYBY!@=t!;wKz--<ecvw?yZ;)2XY`ilW78ON9K|Lr$%naLPh5
zC@)Shi1qkWkNOKS8~2HIBpkWg(798{fO9&t+EcFwTt<5AJ*$<NmpxddWiZRxWwuyb
z@~4=y#~->LkeJ8b^EC2+iw*CL8S0FaKUgH(`D2q{B**4+uDL;T$NJ`E%T=yN1a*`6
zvL_aJbWdrHN|Cvs^n9uqtNzpsKKAch4DN&)YO*&^WlW9`G?-TZlu>x24kXJ@YVeGd
zF_7$LQcF)fAfj{O;Kn-_l^$&qGu*zVz&KeqC@*7TVQlxD>?o0#&_z2VP2;%_+lWps
zTAh`3K;j#FPip)Dmp8mKX4o=L-e8>&RL1Ia>|Ddin}P<cw@V9jinn&mSnPTxM^`8<
zbD~jjcaLP$6QApg>Jw%Oo$Xzj8zr%A)r={(gR+^9ZLmtvS<R&O=n+e9k{*lCp`Q(&
zmf{AI>C9@+eGZ7snWxa4d~?xav5k@4Gkl{|a;_+)Hj7EV-g+W(x$D6w)mgIxmWQy<
zt`1NS^*N=xqM0$-Lcn0!Uq<1L`Uy$%*nJLdZt$#>GLZCVQhQ!_K&0O0(!q^$E+{?P
zAqL*Fcv<P`3NcCdEhjA3xE@qgoh9uDT8S56sJVUiI`*E2Q3oQ{vH2XC+R(XE#(;A=
zlUgduVGzf$F4*(c<;v5zcqb-mO>5%BF3+7?O^g$GjG2xZ*(NB}FJtvNbEUy^hLC~g
zWM;MG`~y$kaL#DDYh^L5HOfWjf>PQ(G0x9hJZ7$TJtm`Dl$IT6;NAJm?UJ4@k9DZe
zsoW+1=db(yYO2cVOJ90+=@vAIUuF?K@qfayZ}-ZqdlfBT9OG%sY?}Tx+{ry{#hD9H
z*=x66i^`UrDLHZB)@w1(Vz1U8`o6uvE#79my#M`YY0pldGhBb;<&-8_Kh2x(rgY`{
zt-SeaN}H}9XHJ<{%H&`n>A9CS1^&Ie;P>N;j(e|a@x2Jx9N*qnYsK>ImB7u#&Pm((
zba$uyd-?v~A9)>-`k%k+|FrM_v;3Vt+wHKg(Fd=E*8iP!WdE$W@<lolJIxMH611!j
z`!gl^Y`>)$&v`=wo#Q7i$Ot<Z<w)+?RzFoWdK2qZdjpN%FE=G5^r<ozt@*J}?eMI8
zOS77@h96XqpLi}KJijRC=Z<CdQ$=TQ4h^&ap!oacst2`ws_%=|RLo93J6lFN?zu$8
z)Z{0reVyW#+icE<MQ+x--7RgFmS*gjX2zS6R$uIpX2$p>^859v>9y;Z{@#7+@;>pq
zzq?QE*|&G;_w7@5T24E2a${0ipXuhJoEbBd6WsbHzO+<Z_Vk9)*7q-7{?po|Q@ude
zHn>P<#+>AnOJuawo<$fd9&h_-$#(3igyihxN7H4Z>&}H`hQGhb{Pu<9<m7`dWW3#;
zMid&|wtw@&vVLOn;g2%R$BTMo&Kee~9G`ahrd;%9mFUXDkIpc48+GfP(b=f5dD_qa
zyEOm(>@L6CetuznZEOAErsnK_zhV#7H`{M;&Nx`MQ1y08SdM7aX4j0vX$u>Dos^|p
z)yz0@Bj@Yyc;fIcz<Sy>PTdIYEh)VLyBP$t!sVUbUUFU$Th39xwVQ8Y*-^zSdgh;4
z!{3~j&uYJFyRb}F^U6BK)>~f}2kb5r$-3U_#Js)LEsxXpj>xSd<@kcL`(&iQJ(IXG
zIXR`guQS$i+nYD_zOLmnV#T8ha?i&9XOI22_TPcXqpay7)8~Z66nYh&-B8*j-KNFI
z6DT9O#=_8}@brPwpSQf%)puP_KYoYdqfFU_+Ia09Pi8;rTHlquPb2Tg8jBB}g-;eg
zI>LTb_x<%}+3R;GUCV2~Z~alG?9blNm``qnN3)Nbt`{-i6CzWw(Be}};iH+4rY%o;
zYdZbt#0v$U84ng+IKjHG^RrX*xzt-{d;B+rU-Rwl-<<m8*|C>5<!;WFN!QSuS-)~e
z(zHjjZgxpO)8IQ3Dl>Drg<*W*>83XsZ_-Y>C%r8*(GxkJ=>FK{sH(6?cu#nYv0vfg
zh@*}1BJOozb0ik;NZk@qebjklnYEOA=kpDV3zsDAeiW8-{Z7~0RnZ$`%eDDr0`(1w
zA_^Z(cr>j!Y5w|3g)NDbZum8xbW#p(QCn8el{<4<X8G#Fjc-g#zFWRIKkee~lZmq*
zHLdOP-lnllyXa^c=jt2ll0<K}u1VTGEi`BP=B~G0VQcoL%&*uW+nX&Sz9uYYlULzU
zucM~gBIY{bGCS5=d}@Dm#P+Ce`O#b2-@4duU;MHAQPX{q>3>%3c+~f3*6l9oJWakk
zAu>A`S`^mD7M?cU_-&T0lXMw3?;9bho0=vYoik2<^VuEkzm7|H$0Xgw<(E%p9%WrF
zGJQ@+OrcZZ*}|i|$3@utwEBEvbS(@W3s3*J`tz2zUib2Ciwoye@L5Y8*V6mxT6pw)
zSN1WDJc+dy2400JOCBBJII3&kxivgSD0}<jkM193%1rpe>tl?33XcXHHFXv-=LwUM
zSYlxmP?*&4XxjVLpSE6)5pj1q%(}4gvy<}k7PWI+xt5bN%e60Te>1i8J7aC^)%`v9
zd>yhkU;X-yA!_lCl&z1tLc6lVwDKYrT5O0ZOqu!UNYGJT?@hLwcAtIT9kE-sxBF=E
zjf*=x3Qsy673CJWekQ#Bj)DJ1-<Ms=#Ukoy+Icfouf=_gTywYY*vv<kZ!+dA+>x^D
zQCDVH_A;%!8H;YXxg4G7*(Dr)r6@|TyLR8R$rsxCotWiY+{`+1XGQ35NsV20cWvbK
zP0!!HJH2a$?&9*`Yn(?}kBd&1363cYDLgB9l($`!olmn*CS;Dqf*q;*BkHS9vVKgk
zmin%#cVqRAl>SFuce}F7H1lq(wAkQWn6mrPk?Nzm?~mNlKGzM3$gskL(nnR-i-zwB
ziuoK=c$oWW<MS@%^CIf=wDKyIuEiDmWgL!N*l6seyu4M-k2BYDW@h>7JIM=K`!}V2
z$(!^$wD6$pQPu6D;dg>!ih~Oe^VT12eBGsdT||AIR^Ct5-+RN#rSCX{98`a<?ykhH
z#f3eOCSB~(cGFxZxpGHh%cBGSUCQ=aemvoKjC|JYebfjFRA*42TDTOROgJjqEOMPE
z<c@(u;e+aLiW0jPf1Lg3Ncd6R_)X`RzDqmy`;kY+59f>r^Ddl-UD$cQb=#l%X_@7(
zA3X;}sBYb<X%}~A7M5=7tSZ-87ZkH8sPHJ)QPb0+<~qSL5vwdVxfCXCd^FAVrrgcn
z<~m1j?Y_UV3zX#=A5Hq%rTt8E-OSZH68jz<$T>POvP;-lbo&{B?DansuI0HuE&-)B
zzu*|7(88l4M@=V-n)?LF%vfPzRPR`rwCB;Z!lbvR?~hKr@WC(R!P*Naq!)HxcZ!Zn
zz4d$k(sOZ<<?=6gzKMN#*QF|?@SxyP)ppTvnV^{BfWpI!M;rfkDgPEx|E869Q+b=m
z$w*Mxm22zWSh^!+`J*n`uIz2vc{i3>YzQq(>3(!1?Wpc-P-J$n-@dpbu<)RF{ZUnY
zk#L*vn9n|ihl7tcs&^^(i>k|M=2dE3iz|-GIIOv_ak7)LdW%{gcdq5M%<}B_%nMn+
zZ%+M^_iFFb9S@d0nk3z&y-s`G&Sg6uu6lGp^ytLXUBc5vw#SHM>;H7NxzTrwyNmmr
z_O`A1Vljomg=ck-@@^Mpf2Yy+XO+dr*^la{-4}nJy?%#!ShD-$SWqb43(k=aC_Gww
z)RbSu+$Kb(V#$p@x1*ivUD5NpwqCy@TJ3!Jy%Y0(&aLGR8ISrdOuN1Mcix4UcMWz~
z9Bz7kbM;%kqx??Xc3iqYRkkE8zc4EnQm_b0-PAVO=$~==U(LZXv-9#<$L}*PWMyxy
zpC%&|RTz<RR&XJ&dn;QXXRnO-EDOagPgC|i=$ii1`RxL0)h!RUT$p6+q<xNSt)=>w
zhkGs@U|l$|+v%-}_Liqb`yR{+w=~;x&hUfU@e{XYgx?qCRNntT>(slx?b=S<>%Ps`
zvHII+>CGa3CN###zwl_yQB!UabDa>Gh$R-AA`0u1Iv-72>iuc!^cdmn?a6sFEBLHm
zOw-njT(~1?>7!YpUD9FNd}{(_B3D~%^ea4FwXtlr`;l93KipQBcernVZ<n{3=DHhe
zcBFMbYCHdGD$72NzM9ZEKbGxyy8FiS>}8$0mkeSv651}D@LAXy=@gxoeyjEcuRxT6
z!EKkl{d)zwxa-}t^dy(=NZRsfmSLB4U6{-d*TR%FkB(Rz)qQ;QmN#1``|XPsj)ezZ
zj;c-;3HJ$$F?KCH9CFmGQBNr6bAQro2hrOsyENjfk0yMKf1?)gQRXhosvBwnN6i|w
zwsD4R^j*-E%M_X;yaJ+9>sp+#%Nt%^=T^2h&R!nzSwHFnGfw-@EGyq*dpa(%{P^w9
zIg)#=@7ZZ=(+t_zyRb{!PJ7+YMLQm@essY6s9Cqxw#1N)zKfIIn)P+Dmw#T7B<&%3
z+hx@ax1ghDleM-j4B6<rv@2I9G^coFlC;m!Te(J}{O{a?kDATa+V(JHqwn&rT$|9G
z&nuIp14M7Pth(VAQh(HJao5({6SS@@3t4EkjBBf9=nCFdPPsv6x9ooWwku$E$mY~9
z?<!_buKo1=UB&FxH`GFpnyu8@<{7%tcU4zzP-xENRY|i$L~k#d<*Rd7HvjsOTf03&
zZQRYVs#yim-nI4i60K|Zd{;fPtlx!f(xbMuUCg&d+_!1_y$Q&fyz0jD>^zR#9hxQu
z-WgA}1<VdPI&GV$>E(BQ+ZjPloOW^d<J=aA^-!ZRTz2$UZjdPdJ1owAbim~3#QK+A
z!p0)o&xmAi_Y4K+R&Q4En2?wv&%&drM@_>;%ws}jb}X|fiY<K9_GsE{@6RB&Z|m>{
zg^_61<W&K)Lt1YwnUz_7{Px;6Q%lSD+&u?!*4xHMP3>LYa+>QZ*6euJ`KWDq7xQ@$
z_j%fWdjjr!3R<)GNgt^Fu)bd8_VebX-!%a_^ZC2pes<hgYp1d8Jp0jaKNsAvlNZ_k
zMkIUv53s{xySU4=^=>ZSk+lBNtlBQ=Z`yn^0Wy{=EDAjePbY5tHtT+i*&jWVg7Azd
z^DZ2bcZz<Oe(UbXGM9{l7r|-joTi?|`W-2Ej1G!E7QOBhaK|99Fkw>tqZ27dJ2kta
zm+76p9#`bE=2+jcOmNk^ZbwSnqpq1<*=d@2GuB!dxEH3ZdvwI+sP5;Zx3v4Zx8A<E
z!@;IqwwGIUx=v8c#)!hRLPvSMMcJom_UVMriCDNJb!kNPS<XTglQ|+%7OEyiQ5lbV
zE==1DO6+S-ffM_y9Lai6YER7%d*8SHe3$n=jded(?RYl(QQP}2X67s0Jv<?EB$n(*
z-4IcIQVLYEw`=H0tlE(><5AbcuI##yJ0B)LI>2#s;>0fD#Uk5##In~{=x*C4+nao(
z_{PN_n;%Vz7YXkP&6%9_E&I+r+5B+P+e;AX#PoM<T6z8P+tP(KCVNDsD%4Fr#brEd
zzA&vH<ixuWC%y%Toty@i1dlK&bj@A0(2o!Df<j|HL=~QtJ}R0odVNnYJZnHzf|I@6
z9h7`ikL)67o)QJ6#TD36nBYRQm1(D6-2GVQ2g-ildK&9?tl05v(xbN1UAaML{T}VL
zzNe-QaqG>WD|bJBJL89b+2mCfeR)VZ73v&tR;dTO25jS+y|Y7(7MH;CGqNhMB2ZS3
z*zLROk;ewlP!#7)|8jT1<-a=%uU_KG^*bAvo9}aW-d6LaTw4`GSDanpl<OjzCA=y?
zI^d}G=AR)edY3!pTAdZoIh^_LLSDU@Xx8GD0keHtZzX75c^0(LY#P_rl_4v5mpbLD
ziDoHh?t9R+^{4ah#*h_f7dz$3h-O`0abZ@Wlk_oeULOIenHnY^Q|i{~`ae(SUKcq%
zzqReFC7aok4C9QtH#azDE%sgT_jm5qyC1);`9C%6u5AAK=ildoDkT0cZaXc#pG$Vs
zKid3gmVTG?J}thQV40umEDRGGA53fH{<L-a9)a_T$L}bBx<<TVF-9)nX7XVXbDnUS
zAAyBW)Q@%wc18Pjec$_NX+-t+@Y<uS%SETp35+QWD?Dp?l=rzPyPig0Ovs!aOLjcn
z6j6Ovdm-=oR<?T_y?aDwRj6-yTD$D7Y<_)si&)HAaEW1lly$$h-p<uK9?g3+%U;C%
zPPk0P0*g-pg^wmansz+tt?Kn7&Aa!%O0O<`-?#l~mv@-Px`>rK(xyIYyV}K^E#iJn
z%WqBaolSPu!lmVVV#TxH|68R$Z}IQd`yNg5?b0^WUUzfRjdiV}x3_Lc+AS8AbNx`)
z+o*{8HG7}6-H?{b<Kn#|B(+o5q|iO%^!k})$}iTvnObW6;$4p9Ud1mRkD4ZSdAn(@
zlUTbWt>;nOd{OqgKpDx^7KVO>rz<von`Haw;NAGIqT)UwF-D$+M^lcP28)>cgv!iV
zW?>XtnAG-Y+RUW4qSZ&6-)78Nx+7uRqe+%s+RwDs&8%O(BXQrO158IJwsr}3i)_~s
z%U*v|eM{p03kMh%PHc7(Zg1Hpb8gG-$GM#snwH))sw&@8J3}2(jerv{JZVCzfmw4u
zRhRGKJ#)_gu57;k5m0i*o%nrMb=60^fwLv3k*Wb|e)WaL7`YW5wfuU=^tq^cOn}Ue
z6&6LFg^xBpnx_5v6R7aNq87T)Y$exLSSA41l&dZ@WrJP!cioN$>mE(2?$Z7j5K|mq
zc$oQUV|$l!zlge=Hn@vW6bq_mmUnT_)6}b6SHB}Eblc)@?<%6#?nvx?bRg>J#L_O|
z*P`2VPV^mn*SB5zQ7WjV3My!4i%ic6i`nQ}csA@P?`;wGZQ6Zr0_NOUxg&LYMD<zU
zjpinIgrs)pniRQbJPKc^yFc~T-H+R>t5fgF=2ve5RpQpGc08EzXwu^@Z9k25KO+kd
z>vu8NCyTlt)AZ{JzhmUMW^c-RP^Ba+D(({$V-yK)st1dj`vl9(SZ876T$r@%(X_~<
zx1zs~fZ}oOj)cBPlXgN{{_A%nHa<GwbabL?mvFb}b{)a&^*8mmJZ!jdz;WS3XD8wI
z)@?E;LD9JKLQ^U@plo9_c08C^|7g<jF70_5>nd06csTRXf#RbR#k+*{MYr#Xnz4Rw
z%1%)KW<98X^TVz1Wb{$d_afK#gx~q#SomP^qZ8^!JI{AT@9WqazD5Alr(F%|{O;G(
z`?+pMlEeS+c2?^oSL{ffkYvqsrTBK?f*X7+N6S<?wq9QY>ej}7ym7#GVf{q=7PWWW
zxs?+@HF)5{Z<*6|7ndKuU3rvMTx5DoNKBz;;n~!qyx}73>$Lh}g6Hg5x8rGB#O~T9
zp#ES*aN$8+NPjLc=JV=DZTCgk>%wJbPJg?9ul2oW+If)|{;!?4YWL%}EfrbTQsUt5
z(!@uTj&^BJ(^wa|YDZ%I%tr@`j!qQq64n;ozD6i}y`|>2wzgm==H;z!=Qw=lh~6sF
z1GRUq-qe2mt|EFe*l}S;S#OI>&k2v&=v;W#?<ntX5%x0ezBhq$B-ZRm?TDy883nE@
zwe%#G?MT`2s7qe-`ky7>-lNCSiGf|hi$%Bh2xhO3P?yW8cYl1oi@Po`#we`tsKrs!
z$D-yw0pOmQXJOK&N7FQu-kQE{HOmo@x}jmR$ulEq^Mz@;p!(5QG|E6X+U<Sc_V%0W
zqpHjI*ao53cZmFXwr>8NyC2PBF{_w*%;sc7^--RU-|~V)&tHUP?yPS+Z|{Dby8x8O
z+1a%Fcmn50tlE(p@ondoyC2PhWjJ{^x3Hyg_nr})HADM~+EvzC^A{!c4=iRaKD+X!
z^;PNM)>~7wuC#?LG+WHIRWNjg?+T|}2hpt0D+B7K{abI9%+kNncKOf3yE$4{+=3UH
z&E(p8Fl5EqrB1mzqFKQ!!JXx5r|5qt#B+o*{{>jTc*ni9(&Tn|_2U%*v)x*68E9Qe
z3tVW{#kJKlWCiacr(C|+8M-%)U8vE%Au?+*??TI4JhK+}F0j1Ca%(^D>vw(Iul`-X
z<=wlA=*#u>n_gVO*<Dy=u`#^xwB5$C*$zjW-_E$be#e6bNJj!|A0uM7?}A4M@8au#
zfb@ZkYrVyybww>;p;>(+*H+Gu6}}4~ahfHZv90HxZ2o6aah-seO#y{Rzdmis&eO=-
zvC^WzyYR`jM@M9j>h9M&e?3olMa9iBlYeUS4)-0qFFO5BXiTAh;aT}E>37<EHUTn~
zD=a>G6rN57*>?2S+l(3|kiz9%-t#oqRjk|bto2db^e*Q0^&;+Z+J1Wi?|cedb5|{(
zP~`^HVPJP<oZjQJ+xp(U)b(q2KYm*acG_<d@i*ZyMGl2W9gmu}i<rxV$yhA1C<-Zj
zG~>~<$4PHh<w2#B&B7fImOPpi+@<ZOz0Pv+j)!X=9k4t)@pG53IJj@V{)dKKj{9TP
z`lGDVMW@FE#uSDYo;5wn8ywET=MyY5bDf2ubK&VTqCanCmv!h~+7O(PF#W=byoH^%
zPSM}eZp8|m1GNubEv%#6-cKs%eAKkG%X^yUx`=f<(pn$2P3>Y{E#e-g?YAcI&L+@c
zA-J*sT10$Jc+4ip!lQ0SO=pXk=Y+}psGst7|K9E+=evaCMYh+7Ry!Y!`53=t@yFTV
zqD)&)a>2YMNedp$a_o|3(*}>;uCg%nDLh^9ao_bb!nX|KGZGpvoN!v$>FN|M25Rts
zTYRBuKDfA-UcMt?&!b74UD|3|>t-(5k+|v60g<C-E5H3;Z(IV&tH1x(Usrzhu5Y{G
zqm{&T+roQ9vfrzPew4Y3)Q*O>T0zZ2Q2qpU?c$8RWH@;jx3V4M=>4N(^0E8Ew2hzy
zwinXL{S9tZf79IN8Cnl&$0Bzlk(!<GRyD-2D2;a{OTlf@gBUK7UZ>4>CqQQBN{d48
zjlQdH#$34j@tbGP#J2NJ%<(O5`ydr~{VI^V&VnNI`0Y12Y%lMA+~$JEO&fhzCA~FU
z*~MNy8QSkfa&Tcn_oEYGM>})7qPKN}nhK!C{>`|%yC1*Zoisb->f{?3!B!7$mxH|E
zlpBQTPikFJy9!GAbs|}lv%tyzu?Uu)La5BlRThT6g{Mn4mdy?Ux2_iMc<{&TpsKh?
zcuYu4v1j4o)T52sUCQC2>gzP~cJ4EZ-|f39X=0hlJps_Lx^Mka)$JnTcfw+d-3t$|
z?_%Ds+4pCiMPYd1X<M+7_Yz=TTrEhuNALY_<&^T9KaQW`;eMCCew(#OJcn+D<`p}s
zmRsu00lyOjvgUI;y-jvpQLDwVm7jItx0w_4cRX?Y7hwIbj6?T^=9ZM{7rO2`Wq;$y
zy`gPVzrjBvW&edEj0<(yKeLA0oRQCBzpJ>AwY_zkj9^q@NXA*ig}ldG+59+q=ZMU*
zP~GyhWZ#2X?v`dY=L|on96xbcM)-VDPUXG+yH6j?l;J-2ZU3)(M;}c2SI@bpT-PEr
z<D|(#(aWvZ&IsHx2+l~DcHu<M!cI%4=x6IriNzG@#c!yWP5t~yeZ%6MeHSLNI%$h>
zt&LRKlDP500ilHxmpTcbZrP@DUOdLotbT=Ts&<Rm8j+|?UKvNT7MiaAbT-@lX7<8H
zb0_8eR<(Paxs~(ZUow6CY3&6AyW<C1WR!)AeSA)BOf9l6n_emZD?X@v>i3QRuYTA2
z#P&7+;62lO2@6%7Tk6AjM5By-G7c9kY?N<xs}q_fp|~YAWuK^*-Ba#ui*rK$v6M~e
z6^k-*%Q$-8DZ5TED>>!A{?V%ICE+c%1T?Rtc`P(@T6aP$=A&hNfw{vo{|jgPopNhL
zvMw(Ukd|w`^+w~0oBKjDORlX416Q2w{n?bg@5%4V!`Ee)?-%vdoHwjDRJcDiitSr+
z`Ok0e|Gi(WyZ7!pC<t$JFElgc(ydh8@@VUYS>{gC_qeup2Cne!amq~+$r4W9moQu6
zb9<Ta;(*x_t+$S7Tv2laX+Ty3lKRYg`^XIYEx6UxL-fzkzoB+aCO7HKMP%yD@ps)G
z=|x*QLE*Nq&1C8SKi7YYT?)Zw6T}h6{%sEW{&aEi&!*j-hV@N(Ah&|t0g**@;u-On
zLc99-0&~M>{uf{#TYO=bsgv|IF5Wf5Qjz*s)Z8|5&6fBayX%=#AFp^ZPt2){YR77n
zZylMj*th5JjoO1Roc|Y>-Piwh<NvGbczvlW`!C(w-p$619u5fmpG6;3J63b>?vWYx
zj^>73^$3->48k%J=3F>Yv9OcVDf*h;X|WtoI9s2(_VxeU72j<aeRc9S<5+t`V@ulP
z3vFkea-#&ZE_VgY4r{r!WfCa-YV5an8oJMxDBc35KF6L^J2ticSNy*}^3^~3|G)kJ
zOTYgA^#9-f|GQlOe&4kXrF(f;>u+EC|FZt?=lf3|vVXH)`k*1$i_dE5qY1%TeAY`J
zb_6rI&lR$KHswg+@-1^NNH0&>{ZG)^%HL|~gDJsYe%4DL^#o^~vs(IaPB7E+xk5W1
zOgZAZe9M^&(#w<D{|Q=8O1D~?FfG_C&3b84UvSnltEGwaf|)+g6^eW?<%rYrEjpK^
z>zAi3=i}X7!tv&s;wJa@BXP1(-&S6aE?M4q)K4>F(?9?Jx99(N53EaAJDuxV<;s-3
z)3wYhR;DeUE@f7!x#6bwMBO+qnW*PWrX7C$rA#aGmCgLQ!hII!)74uS$7xwUTiW$F
zZk6T3rEQbrxaNEcda`A@Q2L%LMb~cniJqSGHstStddufO-~1`K=dCKfC#3MAx9Rjf
zR|;-=H)_Xq#e5BVG;4a)I_ujj({>iSn5`-BN?01Kw0h2@h__RwnQkh%zi*dfeCc=I
zHM5IW?#)kJ`z7e0mj2W=--3=x>0e#*HR!OFKI^q=%}ocrJA31@Za&FbJ}LSf|IM38
zo2PSat5}(`db-xO`pT7QyQfQ)RcLOw>^(6y&g;#$pwn{c)}eRG>Q0;!tKJ*3;k>u%
z@4X>~2fR($_g?vM*SnGNQ^@t-&#pV@tFl$DOx;lIa_vsxwuHm${W$+Tn{vWvxym%_
zrKzntcefvII}v`j);VWIOxFA8q~tvzA2v_-s{0spG(!Js&*z}S75c38Y89GB7ri?@
z<FaPH$yq)r5$wRO>0HaIR;J9FuC=Us<+JjgtH19{ExYdBSRL2(=i$;Lwfb9a3chXW
zdU!5-`{tw0&wswj`FO%xwSRBO$0OdR{(G-{IOE;;Jg#fchoDDGr$@zE-d_34J>5V1
z$4SjbLBGzP60f8w!BK9fFV$Apzuj7M^{)AidncBD+jsQaRd3e&dqRrxn<s1i`w(=t
zR^Qa-L(qeT)0O)7OsROYbeg*Kmolx&*K2N^6Z4<@eDmg`#`;rjz6TxU*1x*vOVD9$
zeb%^Y%}>X?JGaMWRX)#I-c^3CY}4kW)nF$c^j4MM8}jj_x9R-7S3Vr|Zd@PNRbTTt
z=+W-!QEWL^Zm)c{x7fw3roijL;$Wrub0+<GGi93orjqTRd{fr%+8zE06pU>ri{m!E
z_R+stx@`IztIOVHb6=|NKKEr(Y<ktnb;f&BuFnKXSBjKukB}<{#i03~l<Spoo4lp<
zZ<@SUl}^~3BD`jLPRrMzC*}I8@2cuorf%D8A1YH0Qd?|hwK8GdbgyTXE0gw3&l0Ox
znYeH|Q}-T`$Tv%m2<dOtDcu%)mNVTy+v4Sv1DeZS{H#?Ak7??rr@qYl9-|gtT7Ld{
z(atOPdgn{;3E6PbTXpuHkc~IJO{4c**>KgnF*mL&=R4G)bKiz+I`mV~I=Y_c<D}T<
zl_&Lb_oQ6jGd-u_>m=U|ahn9Md7B-sI@uPXe^caj&hoCQ;AGJ={mrRs-esw;Rd;Xt
zIw|&M)yZ`^dsD)<#ck4#*1tJ*ElB#NpXus3mp0teY&7-jTvO_mG&eZv+UZMow^<$4
zEKAj$ertE**R^|C-rha>>zudh`}(~h9}jw)%J04M;iPxt`M9o{k3o-CPmkJnGJEH{
zY1cEs(N_+NK0}APOW)o#$i7(G<rue0^3BpV#W*gWuR$p@rVAb3d*##OKQXV~O_}>H
zN8LL5&l8*Zb9LP+R;DbOuH{y_GHuIrsbdwI1t%-j-{utF^zPJ-%i8(sjlZdJ{T$^@
ziOYhSHqQ~NeEO$cX#LzvpWLRs`*u6qPjiRf^jo_HzptCl^{#T|6Mp^BJKuxOGV7b#
zd<l9mak^6f-YFH2mQGuKu1ss^!#@+|>dvcN`C#XCuegepkCsl)3Of<Iw><g1mp*G)
zrRJtv-kqj#S&`c3#qP@PH=lbwFTK0;+~?Bo_1={$Q<|r1nN_V!n>=00tXgx!QSXUs
z<GgY{2c0fUx4wF(=-ZaI+kTvP3ca3$FITB6_B#E3Q^|J0_Y>Cd+C9Buc}&*(wnxr!
zS{84XPEw9r_2Z_u>Hdn9iEE}aJ>DZC`F`mU7X7V0CEJ2e>OF6NlVf<&n|1Qu5Tm2s
zqQQGZjL&-4^IqO7GUMUW6F&N?X_cVFI9IF8+WyMldxyMPi}!{Yo%a^~yf<X?0dHRJ
zy&@4$mYzt`SDjX|GIizVxmn*VmL{$bX8Jux=;r$=M;MoHk(sh|w`|qSV6JJWmwwCZ
znYZhxCu{JYkWFX3MWgqIY(DD!E%L$A18VxNW>uOS4|<pBZm)OEUp{FkICagP&ULM7
zWlHaKt+48odgXglHn&b^(%vHy`EKbEBmJ9!4|0}wS%Ov0o6hyDdSy!EbS<%}m1z^F
zONmu$ZaCsSQ8><P&X=Imn(5Y8&y;x`)>+PyW~FL$ShMq`U*^nhu6Lf?ygR2fs=dkh
z)6QMNM?;s3#1z+ioh)4*a;L!StnYG@oN}*(_FyIJxsz@@oigoi)#E91uG%L(Z@2Z+
zyzzR<q*}j~H{MT~#q5`9@nXsW#pN!1)~ba^G<Ds-9$RU#y#9zE*YP<)1$Q)6%gtX0
z6`t2Lt+!bEa9=PJ{~V#p7gLTXFW+KwPsiNvY~|joQhF~0cC2uIGO3=g^R(5Ln84_r
zZ{EGATND;lR$gL%SI4g+_Gcq^oYsyPODB29t=jQs=`8KItR1hG9x&B+U01DHc-ULl
zeD2$jPiKBATC3mr6m&3Gf9jo&K}Xlet@?S^n|FV;rh!lGs!~m(JKml0d#`+&ym@)3
zO?h1FTsb$3^XbpqC&p<>K3&>%u|95<<m07n^Y@DUIO;udW1Lsd=b+OS>DE{G6nxv#
zHr<bNPm$M?t-(V1b1oIdP0P!ep%7ncZt63|`)x1p{HN0QXG`y^Sovi2bgg}rE1&J2
zF2z={-oW63_e94yFP?8frv=ijr}`9u$~Nb{AsY%;PxdmaT$!|a`Wvq^-n`L!MQ+qT
zTY92QU$v}aW$N>=y>qqHtfdd16WcupRJP~oPkr+-=xCh&)i<Am4%g|k@>OUSUGVO7
zj?0RCkh6T&_H!F=eK@Dt_}#Cwroijb;@~K|lb7yZ+#9g()w{lTu0<>NTJH+4UYXE4
z-RoM_%A~o|v#wRIOl+RcbbYVL&WB5n`08)HQ(v?#_+%0&YZTu0W-Z?n@@e&SExD?d
z&l;!atos)9fM4JBU8QE>b#LAM*0)zCb$)g(+xFwEH*5RekfI~rqV9V`iqClS9^Wfs
z@o?!0PkmLt%9T&IY@VAn&1z}l%wVR|bA=)wPC4SWe9M|iOLs5+-4o1p?$pw6?_TVC
zwzTQ_C*dXa<?i3P^+Wf32|BB-Z@TAO(1YITO8I-IR6Jig?R(L-7w=x!J<s8>j;<+~
zcmAB1{N9jHC%r}I?+y8U)SEYcugH&QOHY*RtFl#iZ`-_T^IWZS*7hms-M7!xpE@U2
zz9%I;R6q33v!%1{@4ZrhR()>^KFj*NBd31Hizx?Gm%Hd$s}>&B)D7R>6`xVE_^9*O
z*?oDNDpbNR&(%^p`QNL2*^D<+CTaSulz2U5R{Wf#>(l)>dkVc$wgd|;o^#14D1Ymu
z<5iDu{7h`AGqSe*^JK~-`ME)ccQj28&$(o9MYB=Sual?DD``S-6x*xb;Eyi(GS-@B
zuKTAwuWzaJ)13KwN|&YI%9(Gcv_<-Ho+<H4X$uy*Jol23Z~mNF%YRj5S1fZ*m{Ygv
zM1`!7a7o6@6;9?xK35ke+)>+j=-vT?yPB-VbApU6Yl?23lk|RRu+%dPRfFrA6D$2Z
z&y;t)eKGsVF-^(!^+(PzHO~n$xS^@)JSWKTie{Nuf!E>sg5@lAC0<X|m#es09QB)6
z^*F@mf_+kYW1yd=#M>#84E<LAxT*Q==ff#&8~r$QO1wa;FlW!bw8=Gp&a6%=6Q4_p
z29fP2L}Z16OE(lx=DIt5_qJC78+_Mp_<nWWUq4NY2U8|B`>nKiGG!L4UuMLMDF>96
zyNFq<Zaku?>;3hZX8p}|Acviv6SU!urmFUwppBO_u~eY1dxJMR|L>T~YG$$Y!IE9g
zJHCsq3-()S@pj5AW4}y`*HaEyE_XR+ty*|cQ&-&lRB!O+;QXy^u~sZ)ufgj=*YoNA
z4tcR}OR4AHJMXNcr(fl&j=y>9>6E7WyMCHCA5ZDp>$mde^C@kA{WxU`yq+uw7HXe!
zsVE|U&Lr($?r(Goj%c#F&kZU%qbYiPZcy<dP2Tf!g(@CSIpMurCC+l`)2;U`M8m)K
z--vwB8_adjYUz`?!CLpMmp*F_ma?-_{cuuq;(0&MnnJJB;d=|S*1fz?5Ziu$S60cq
z)T92*g@vihx$aKixbJ1ahuF0nzK5=<_S5`vSX1@;+@OyKG)?*EUixrCv+=lJr%j>P
zqm{u?^IrA_8#&aj_`0v&)cbGY^6y_)-}KX*`FKj#PQR5ipHFG~>Bp&4;FYp4Sg3o>
zrA-lccU-Q0{c761d1Yb|i{_s>$K*XXXww-@(bIE-HXo|j<kg-l6!CP*iLB)+Zq`dv
zd+u47ZvU!xwZOgoz<Mi}y32|mck}6%hrZaC@^y37&b#Gn>H@Xj)E>=R70k8Fa%sx0
zV68NZrD@B8rM_9IZaA+w@von!Oo7*F$GwGK$6m>A+<ZWBxrj`e*Ga+UAu^?2XAPH|
z$dr3MXbDzQpF7FoY5kOGm#ZF!^jrp|Ib%Ofi`P>o75lA>csym+R=>=M=Ti<;Eq5`q
zP~CV@Q+M{4V=E=sJ0E6|WfCs!@VTUD7}-8eQ>!F?-mNvx2gSA?x);8D-3tCg_so~C
zvs(IOR<PDO>!r`yf~E4TR0~dPPQ2~sd8g3p^!is;t9~E461KPSjn0Sq*>y(N+<)Fp
znY7z)<&Ni5X4%iVRB&Ijk=?J;ro`*fhTy2a7rnt7ee-3kxn-{Ur=>S0`)OLfo6;rh
zx6<<El(t|$&N*dXPkMrd(&t_(^2?ty>+P?K>>CT66PEK$jI~lJdwpS(d+R&zz~@&_
zzuhNX>bQ2p_o*uwU&X$wRnA%v>{ZWZxio1*a8{ee(!>?POo!(PNxqwMq~9;|r)U1w
zN!?YCOX@YRfUKS9rz!b#N|*b&`sL3y220JeQ2lU4b7H!mr%k!nY3{wxLt-w0f^fQ@
zX2sJfldk)%tav<S)^@+lisw@fR4;e2vrzqbQB!yRmt!k;u5mt`F3S{O(ou6&vHqiP
z`?UA7|NZKZngU-hE&orbF5&N69_80XK5Nbzh^;xfF{QL`VyL9rZkN*=Q(fC~PkxeN
zK3(La^Y-u~{e_91eT_#g-Nc^Gh?tRlx{2p8|C#d}6XN<*i;LIHn4Em}jf`~KQ;8Wf
zlT+OKI$v6Ddvn_0?}2|Z+<Z?Wis}uHcR5>LlR3BXp+{fiVoSHar!y?(C7<r(xh-!o
zGx^|38E?Pm5rt~UXKl7Ln{(Q5!}o9V|Ghi^e_{Lo<tzWrkNuSMdVAOB+y8#~KbTVg
z*F2-@`0IJMcZ$6ci`wL!anx_2sdbB4j=0nfHIq%D8A;t2rk&k+YvX3mjDv0qRi#_P
zYU=k)%|6S%koSEn+dWS3%(U{Br={!4MD_|reF(}p$-PkYeCstE;aeX<G9GkZIFY=t
z)7>dr?pfCH%ghT!zqj7{+I``G?ZSz>orL$_|Mhym(|_}~|8BDFW(bb?u=>#?>n`m)
z?R7hs-q3RwWzW;-iwU2zL+wUitKfFl+Y&2pq<PdI6<sWPy(jR_hs}=;=yxe6i>e>f
z%#+l=(bvV<#jU2LH*?93q)m@zX?963(*ljm|Mc7#Yod`SsduBVKT%TruAFs9;X#3;
zs?DO|JV7zW0fmPdj!t}k)9%LXs(qZ>Vn45b)TH0#ZKu8N$C4ds2W1cPa*MKyY4+)a
z&52mFBXwnc!fn&(ntBoIcBHgE>YCb>9j2KVvDRXPTVcxDM@OuV>b^GC+g)4G{HW<?
zm-jQxbu(7)Nb7slcC$-)Gt2FBX2FFgd5(%+7QOBhoU@$iXrpGA@?=qUHO;)48au2X
zYU)|6*zsh-qprhU*?k&$7ON}@d<viJcyxsGsII#4x?Ou;O{ss>bh*p>oW{1!s10ug
zo{LPM6CP9OSa{a;C@;GR`#0^rH^Fmmtlp8@pKx2T4Ck`OXFadq&Aq>5N6O+yv%+s4
z54^i~y1r=mo`9IoF@=YXk2WsvQuc>TK&#xice=fcTTVl7=lUIw_>b0`eit#n6Dso~
zw(yDh(aw0|yj|Zv^(9o7=V`Cov2@3?WslmVyO^(wy06ppiwV6`<ho(6^>k73n4p-V
zz>RbLMXt{Yzhhu=`|a#^cO@5D7=;ujMI2jLUEapMZTmy_qo($nc@hgP41x+%raU^5
zaa1>%<@W6ln;$jBi%jncjWP5qtUp_El($)golUEcCt%Kxd3%p;ynXM!$n~1gJ0DyN
zA8ZCs^7eN{+i`4r`!}xep!HGJe9`bdfia(>3J))v-NY;{>Tagtx8}S>>Fw&dk7jM{
zlD?+Fw<b&`a;e3}pu*E!2e<8=uB{ibY)8t<M_sC2*<#vx5lbvK1Qez;K05NJr~Y={
z;d8-v48jW&`W~IgIocW76`jVljn~?_@MOtR(aR#&eM0XTxE3aCdUPW3Xs5FI@4DEl
zyHD9`ZFw-`!lcJe+I}2sEj711oO0nn=E8}_PQvM}+vc3Un)dOt6Sp46R{oHMqSITh
z#faT1@W^<u;KB*Ug`Iz$qQ5=O+I>52A!~I@{j@h?Q5(H8&iXCnwQgbC#@(AEJnM$m
zmQ?R`Z~1e?qCS3eTW6Zz!WPHfyGLkNMdjl61uy??pPm(`kyo+K;)74&qm>EO&hs?D
z%giP|I&iqBdiO)-qn*E-b{EDKK8QQEH(u?I=$+ore{XO7zI*ol7O_8CTb}e?=(_Ec
zoyVEGqh8abz&Yc|t_w#@7wU!=Z#6zGx{!5x>$Di5D8n`N^6&quW$d`nCf~|dCnP1Q
zXkr+Yae76}+cQQ{83!d6s@ij}{o$H%Hew;~;}*7K+`T>mvu0>)Nex<8mL{pSC8^`W
ztb<O<%`Iwd-?HC-_hD`kt9gH4zG{E!FSRX?R$rK<?<8Gs$IV+KB=u9n<fCK8>EAJL
zIV#k*BzXUyf23;vpIbUxk|tl6)$1f}#=*NrTq;uCWMf#y=~*#vIU;noBuu?9X{wWU
z7{}U3y)B6|FB}M3I8oI}xVv?m&Z(<?4XsYvVjOE{>YEt(XC&qQm-l(K>-YJyfpcce
zExc@7HSJN;%r5CPjru;H@HsQ46~6d4IapL&CiG5$PvL_dk4|tN?VK!m{N2<!K`{kU
zg=Zy?@}`TjpVRD{6Fz6hh5vlt>&mMFGY+aQRGr=$79$u{9GY=haAD(NC*}4QH9oFf
z%L%LJp8dwHdqaIo%K8gkwoch)+_^VYO*RB)q_kf+Qnpa{cG1?&H^Uazv%ddrKf7xG
zms<5JYWWMzD!FqjbWJ{ZWjtAW;RyFa-Sfp;lb_AJ(6!ttdmcxxjo7S;E9>{(x3Cw9
z`rwdpvUZ_a9{1Xv>RTSJ3z)sN)vZo=){oGP({p3qa_rFE@?hG9Nwb}_^ElS-)Z6lK
z)`bJ13nywj3EO{re|7Kuwg0EAmSt3~ZxQPei8AuaIGVB0G`Yp>kB-TQ?h7X(7Iqdo
zMIU>f<$i!=p{Q`{H6J0VnK~whOXL6l-2TgnyNy#<QtL`u?n1L{?pz5K6N7+^l!gmO
zzW=LLFL6JxJ!bcBw-&J)!KhD>8AsI@n##AD)d)!4xNRT${ojU-{uxR81Af<>zS`H&
zT<^rq#-%H%vL$K5g;|PD(rsM4Ji=0vdRO}L7M7KO?C+@Sy*tk;DC3~ULe<5sVLgIT
zpEqA<i*I2&#?{*+JWE1<ORCelZOi>cqCR}9TBn-d61GPy>a$nIVef^F;!euvTh-=q
z=2p&H{dT2)i`X9Fs84YjN7)yaiE!oqP%-&XADQu_`@)g%g=K9guYSAo{_m`+{i-kO
z&4afu*AtK0<dkvLYoY1t7PB>CQW44~o5C`ZV%Kf+Und^5!7<~c+d|RVE!T3yZf$VQ
zNLYR0gxNwfNABF46IZ{TY~3pMMks1i^om$nj;-gD7xGSSWn0G4>m!;qeV0@8vgcX9
zpY+t_?yjvbT6Uo+(#boGd+iM6Eotj6v{gDWe{OXX<M7ovbM@OvS0`>UZrw<wElDdc
z%u02V=HuL|9ky_yvXiiT>o%FwSHGQn?8NQIp=+tR<<XQ2vo1SHpX1=2BPwO7Zc-SR
zak@1ocmAG=$LsIMX#YPOex$1WV*HI|-UnTmPl+k=I-0utN_|YB*Wu9REbA;)i|%T6
zO8aH*T-p8h>h?K71-D@xC2%M1j%K6$T%n&QH9H0UG9_1bzx}&-PLRPZP1WQ%K_52<
zXVn#Y9gbMea?DcI=$>XLr(fpG72UF`ljj5(+|*PJo)ctvRnzqHoJ$6GH5(=UI?t4N
zJ-T@R-}Jrp|8q5~*zYFmv(Br~{B*^0+jaZTL1&BgP4|2Vda!i5()vA9D&8)gX70CX
z?*FyZbNs$d;yoR=sq?0{nOXJ8v~>NO3~yCuFWQ^3c+2#hd1XQ7A=N9B4w$K5<@pkn
zG{I-@?Qk~eh??J~zXh|WXKkxqnb<y^$$GEI&8JI`<muOMeNz}z&fPVg>s#f@l>O7S
z_$pRDTQEK6-KR;s@o}3t&v=`)Rh~>URKHnQamU+Kea{pN&EWU<T7%=d=9Eo(8<@T)
zr1+XQ@8&%sGu|#eA)~MQtZHTIyvaHJv)(UlVvW;^e6h64HEvbpo26~4aa=lIgHmQr
z7dpN7%BDk}+uYZFnN%NpyION&)Utbf8M61JO#kKgDlhYE(Ag?|)0_`M35%yI&E9im
z(@oE9w{32`Thi1Xr&al6Y1i?%Rh5sHwk?nAviThJXy^2(IE$0tdZ!zG{pa@Ul=sBz
zew)gF_D;{bSEc#soVV`#xhe0jv+Il2d<i<Ku5ViNZPHokxJ{LZz0LA1R@TopPkHs1
zHRk}I`c|HjNx8kj=Y(%vvv|AogrvUexvG^<=S<FteZIZ>;GXjC53I{?xNZ-Av*@;F
z*~PaayI+)b#g<qf)!Q{UN&K$g#_l|jwBB8)64mlKK_6EKXVn#Z9e(e}d8gFt$-H2p
zdW)q`_vvk0eD20V?}I+er_`S*@;aKc{K}a^ufs9RS)N&{8r|3IWcAC81SOyDIYAq4
zXsUY83EFr?)AaP5OB?QJHj4Unt|{?KnrfwA`hUV3{x#o%4oc}yUGsGkuTb13N2g`?
zsy80={KjQowK8GCbT78*l}R1bv)ZaO4bFH^d}#EQZNL27l-Ivbdb29;2{Ed_<Sn{*
zPl)j???%J8u0J}#`58ZtdTv{{F-}Y3`O--r<5tahuyj^uT-J;yOAn;zyDnRiyrO*Z
z?~vspKE+-qOP1ddSa@##Ug`VnR!b8n1T!_y6_R{3<w(HtEj*Wx+R3+DFMZ&?e9E6E
zQ@RBGR!Y8{(iZ5)*;D3~(i1FXZ+-Mzer)}l&xLD(bKaMAy}jeNvG%s+wruO8-*T4U
z=zA-2d;Q!=GhR)ZW`9M$uzLBr-+r1E52j3N_gh)<WXi1Lewh`IrW^=fe&gxP;5V;)
zrrtaISAR~>hfA8O>*oZ0yrpTHKj+eiYnqMaew};Dy&g3ON7=nfa{sHoT%@MV>!kSd
zkeX7jv*z{7O=`-$5}I#SSMN``@nXsmwdGrKt{v_BrR%48<JFW&xqd5eyqhvh)-UtM
z%P9x+mb;W$t8P55sasvTadF-JV6Jc0OP@3ZYw=kveKsLjiqBfL;E3kL#eSYL!Ar}9
z{}e74nN#3((sOx;PqEk8lI1363cM1Q1uJcyGik=#Dbp->-KY<=zplypc}|egeN9pB
zIYFB*X!3T?5sG*-<%H03m1$N>Q)lXxUC}T1I>@zr%9;|dqgu<atSR$4EVZ2Fnw9FN
zbDEuB{W53n4HmP0_1t1<!n$CuXO>Ho_628&Su9Ol7|hf?M=0{mlp{i+Roj2)mwKHv
zS{|ZP?sb-HxyhOmuY{?=^-8PfPKtOuW!lzVH#Y7)r^)(yZqTNKnxfKkgEpVk<efcN
z=*FWdCjyqMv{^1q-Jw^O^Z&(^rulP%j1FmvD$flvKBdXKcy7}5Cw?2fi<Ya@6?&bH
zh<$TI?)j9akA9jnA57`$^jkUe$&|K}ew;pqUMZ`Bg)WD@tgTGk73`H}u{3E}eQ;Kq
z<<f_Hf|<nU2<?0^<%sI?Eiu=Y{=T#;m<v}Y-lo*+v~cX38+C7{G`ah2d>y<z#HPUO
zZ0&NBKM$uIkX`OlSL*eseEF6;myh<n-R-CO<E*A?^xUA0XEjZ4&%Lzaux8_2zs@&B
zUP;@v_SWlXzbW)O9Jie1o2BZe`<k81ewmglx@CLY=L8kp&{TDw6I6Ib)Aab9O9gi{
z8-@Kk=ahIoni3r4_d3b_vE*`*Ii+4FEtiMP3EUt2w)W@aHNjHnEL98cX-?$!^NcC+
zI;|S}CS%W=DNWjbnmb=j>B{z7x%1tWHfcZ3J0)IErUeVtZx4N0`}Ij*Fw=f3)laj7
z-<)|qW!mmtHw??qYqHi`EPb*s_|2R5Q)adMW!`u)<v`kUmu(iR8?S2W-Y(ggoVPoe
ztIT3)%JN{XGRviD+k>UPS*UKft~s&R&+|>W*J;MsH#4kXOlflV)3kgurAygwrRA$B
zZOML|GUZ-RT7retLtoa{ZhbZ-m}&W3p~^QRvsagFRDQiOm}{Qp(nmXkv*Ik4K3p2i
zw0@3I<=ZJoq?d2mbM<K7{Bl3diuY3{@%yd(@nXs>f4|HhZ>Ag&U+%KcO7-JeP2KyY
z8y}}P{Nl;mot(HJIE&44Y2t=pCFePlDz-fK|Nndbe|7Dv@&8`h|Nmb9tNvg8NBRH%
z<o_Rh#b5tZ|Nqq4<^R6a|M^)TcJZJ5^mi}hb$7|{eflTk;_r*6&-G8c+kdaDZp)j$
zFQ&e6|NpxF-~Ts^d&O(ie_z~x^qu>9EBjm32lrdq|2nV#W7g-zd&O%G|GZdVckufA
zKQHb7{jA^c>->MS3;gvz8+kYXT9NQ~&HjI<9qRu(*>BL<+_ZG&RGpJ+k_yvIyN$9V
zW<(}9rcL}gQ}tQuHKXnInX0dUtxU?bzq;n-^0upgMIx^HPn`O9O2kEf-P8YVMZf9E
zykFjw{J+lC^}F7ivuhIk(^PjGZ}$IsCaWwh?Rw!%)@|uxH>b@!t2c9N&dd0ylNakY
zoxfeT%JPmsZ+-hO&4LZ{mDqosWb3NSy7^uI%k}zyuh_-bzFypP^l!@U3j0u<*zecZ
z+x&a_|J}U*@Bbg<J1T!;qt5lFxlZ0?oNI6FeUpFt^ZfmuH)S`>{+}xS|16i;*<Y{i
ze|=s5jk&o<M`A|u$p#tiedo_?coyBq8(+Mof31x2{9>P)QyZT?K2!fMbXw1eu(*%G
zX{PpR*9>enAJ}k4$=TSO=j58yg8ORPyS6`bzVFrbZf~Xi=lu6?_CMnHnL9Q6pvXei
z$*o~NLQ%$n8HZUGHhy$ce%zvVj4Su2>U}QN_n+1V7^>H&U=02EygvSJ&hO$r*5qH;
zZ(6@!H=}-f_Cc?Os;gVV)`(@vn;@2+m~3>+IDM@0W|Ozu^XP?1A$_LG#W@jk?9&rG
z`z9{6RGaoRV}AeNh+Dh2Y94Po`}eiZ?(*#Z3r%~SyuWd-y`jJ5S;K|4`7La29KAgv
zvm{ivq?YV^FiF=kEa&XTwCFzG+r>O@P8e=7u0MWcolJDu_vF;~*Jj9Y*S(8Rzq&U%
zxJArIG|I>~<7ml3Q{xu1Ga^zmR85ScGm`oOW}ALyo&IN1^3fYI*~gyVKws>1?OWd2
z(;E}&{#(6%ch^d7%Yz*kCJ8%f`*E$cRNeA$%Y_4y3ny-N5?=o7$*rO)zissoCb9ku
zTM;p1aqONaHwp}nA6O`(++OS>b8_R;412S0d2?UZf9%~Go&Nj!&64-mOc%0VZ=H5W
zAgVAp<E-vNUh7u2GS1#NVzX{2Z%NJH_h3@7W!Rka8{w;U42vv}ADJo>z3yA`hVtzA
zKGxsAuS@PKf8BDSX|j`d9LL)F3e7Fgre0{f?!=tm;&zYAcaQL`Pj>MICmUt7`JP1-
zYaDMoY{}O5M8a}b@}r18-Q&Lv%Y<wCSml3Tm)u?cy6-|$eCxD7YFi$aFElN0HM=7$
z^}{*i$-WCmm>25ue`cLtb86$G;y%;(qAjlv!q!|FCgip0ZvQPm^Ud9=`YFi=AO9;|
z_U^8f@)b3qh2J*nUa{NWdW(H~!0#twS@L(C-foUwQCq>i)&G6XK~?{vH5Idx&u*5H
zp7%WCadw~C-e(zyv-`|;e@o7Oe{G6P?zz|T>9_YrGq;HGh(sBAWE@RcXzJWz#v>{v
zp=M$flkv!Xp|1T??rnl*$GiGB8?UMVIVJh<a~bCNqMn+wh97m0PkX=l|KIoKySg8X
z7XLcCuKag=)%UR6Qy15rF4~ekUFPjARrlRxCoisx1#^`z7yFz!u`xAmo7e8T^JmuG
zGv1v2{mh$Fw#K{j&YxL#%XoA4w=-|L_P%$Wem8$++S3Sw-TC})KCn;Y(2daAk}~r`
zeb-Z`Y`wE*w?5o-;ehDEiL0H2ueWTAdH>r%WA}ZdvnRJZ9laxy{p{%t1N-9#nq-u@
zi+yxXZA>k+FJr&d`%nD7tf%?!>o={Z{1b>O2*^08xKOmc^_q;}t%8t@2Qx05C|uYn
z>=f<yj9YK#)a0XYWwPHrx$z;pukn6S&!2h8kMjEJb-(}G_*?h#N*Qjy@9Q^zk6z~Q
z#BIl|`%?)#LXqzzy^ouh=f&=4Z%vE>GLj}-n0Bx>d`9Z`=w-$~!&X?#PCmO+M*7@y
zi5(M?pCt8l@>_1Jd2{^Tb|2?o@ipJ0mo5DJ`pw^IFFpT%d;NFX%as=<r8{ZQ<6c{-
zxaHx}3kS3pPOQJ~B%I%}ZO_^5PA50iXov8e-}vmfjP$$b=Ors9BtJ>)>vXr=X7dhY
z<ohC>iu?P|-OHc3j8k`p-j<ZM3tcmvveP(oXXu(3xM!rSyKuy2p)T`h*6BT`Hzw8e
znF<%>%$Sj!5Y#vEq@~)jCpV0?%J05!#CvLELcxEl>iwxws$1$4wp^HG=%igI7WKLN
zLfb|sX6Y6;GcMm8p<A0Q;|oqskkM{?7GbP&yzQYSTiqGMPr-eif|lEQz@CgQ(z$U9
z?4oa+x;ON<JZZSl_0uU^j3YNf!(@X;M#{zuM}!vYdVgk}o^yI*QgxrHby3cZnaK&^
zeG~6ms(pKMV^jThkdt&zZ%n8JJ871Y>Gh_QPTp#qYiDR&@zVou^y(4*_5XTd+}Wz=
z>ymuwM#;}_oU=Kn;-_}9U%{$irBkKFG|$LIZ$I9Ui+if{{U_rqq3WqOtW^VrT)p1x
zE$<3_^L5VQd)4_j4}9+w*m$pY=e*}KIcv6>H}tZ8N~!M=FiGFBfc5aPnJvy~x3yeq
zk`vF!a0@?+n(=9EtK|L28OG-iHU0FBD4W@4=D+Wa5pU+R$kLhj#IM{nW#z35ztB<6
z(pwoF@O_)+3hl-PlEtiNXDVkLG&g%V;h>ls&nAPk1+ARSIwj>!+{SjB44yl4bK7Mc
z+H~6Fwo`eo>E|`|yL>LQ^3G6OQGHj$B&lmb-gL!`Ln@BJYFx7n9WTuJ*tBc;cHbL&
z&qPanT-s{5S;yzcA*b@o+b-;tjh<nA`B0PO<G45ZQ`SePA2*9UFmq#Xyx#IxGg=wl
zb$Kk45|1QuC-X(lD7bKF3bWX*a&hkBZ;=rnnok-%*^(%@oTvMx*_ryiywcMyWkwwH
zJY3`_5mvCL@KWH-)LYq!2j*?mUw1(0+mprxjNe&#cdBO`iFHhV$1$rQ`ofgxrnEaE
zCePazuwKtS^v?Ijp^jLwV>X)&9<FTF^w&94k&}2%nVVbAEb(UQuC&AhSJUQ&PJfyB
zSTE>O1A9~39dVOK^A;GEv-<8(uFp7?>v(teh6R@9thzfc?l|g_I{jtWTBjqa+{t>8
zGYT#qn!+uXHfOuR^Es`o{j;7&-ut#LTB2a>%=FU7*4is}yK}vIr`fb?ch`cv|K|6C
zw*MEt^7cPV>2G_-yZ`(Hw*QyT7vkHQwOXL?=%E>>#nfUp89ZIoDk-jW#xg(g;7+wQ
z_5XB(KR-FGcX<NXjkQp1a8rcmnWk@hWn?RA^0;$>Wk0L#jjYuVzSVEz%KM+b@b>@6
z7vJi|cKw~-ir|8h$~F^FQpwE&C6zEvsSQCFCWtoeD))9wp2j(AL&$|Gs!eG+VkYSm
z7u2(I=N?j=t<!TOBk>FyxA3*-8Jo@>>e(u`%RG^rJIp-sW@=PI;(<S4*R5E<=+44x
zsgrR;(J`5iYgU27g(-iV(%uN0JZE?0{&uUy`B|jI#oR=n>8+e|#g@(4V(_@7)$+Km
zj>WZ>)ajZ1t&F>8SBAwdyPK@TdqXwjgrB2weHn+;=e-L!Z?pQ|RLeLP=2*OqBWgos
zkEcb-^tDb${J4|LB4&Kp+p2k6_sos7#B*`gUaZx#HoP&)-DHrEY<zyz=`Btd8lE<_
z#R!=^TC~7WoW<8dKjW08qwzVeS;cM_nl76?oWLvQwr0CQ+T2#oY+awo<iulH+{Iy$
z5gRsfp03xstjW#13+$}JO>8nkCQlYD5M*cRy{VsZ$j&jiP5^#pZjvzH%S{H0E+3jP
zSxjxt27{+NS|yL`oUzPGJUCHJXSdH}U7i_N&TM{V#4BWyuwj9sGfS_87UU#y?pa1o
z7kVZ(J?jzLlCZ_sB4tslps-GlWPW1(Aq(!{V^K2<j~$xhud5?r@$Be7x1Ejuk8Eg2
zJqyZA*4)hdwi=|YYZWZk>G^r=P|HoRWi`2p$HK*))nq0f)R~-mBIvNvGzqcIH#W4m
zwk_2$(MfJhwEWDgJ>$B?%N=iwY7-L=Jnt2aa5A^-&2#g-`-bDyGWP&;`=(u-LRZe#
zPgs!G#Zp?VvSPN1<6RxoSuBM&Q-7TaQ%qXcYG|zEGb3-|Y%S{vv$eVJrbNC-Tg`b|
z@3OequA5n)q@~6wwITSz1lcCH8vTqjWsbtzIA?7Nz0jlE^ejhgOTuK|8)v7r=55m{
z*_^s?wk~(@vd9^RR}an66ch8wJGANamWziP>Uq!Ftk%0+<apOMD4^V5@XFm#meTK{
zj(6v}UYPQo<!nXxg*oLcx)rz8WH#M9)KMySY|U1K#NJlT)w*XQQWMX4adU^6B>tRG
zb!|__>E%~0KbyOu%IB`2$%AbR6t}bV?$FFQ!|N!#j(b*-?}eV#P0wNkw>;S7Yw@JB
zRj^;Tr!u8J@sKljuw2B9!uyBjREvq-$psaT8!cN?r)N49hla&2o7lwGBVdxUV}amd
zmR?D%j6(vB!ED^K3_UK)X>1bX*}&P}v)v$RPOD+Eu8%}=;;H3gX*EfS=Q6mt-Aog2
zrvAEdsG)xrDAk`7)-AQJcD(y7JYf5O(JQ$Ptfkfo^^SMNA_BIvi(ScWV%7bTvdGio
ziF0&o2ft2F<^4k~lHX=%Ue+ziZxq`#-GTeAb%OA;*ku>R*m}U>AI2fIA?Ct_)Fw9_
zQIoXJ1)SZizL6>!$DACC#W<o2?yJcdT{_euD0YlzyFucNR!wK!GZIOO=MuQN*+5R4
zm7aK@z8oC>I*!cy1WcY3J1W}=o1`sUz-g`)F{>!{LQi(nvl!7W4^FX6E;zA$8<$|Q
zZqLl*#6#v{%g$^wc%02$yl>Nn2d6vr_cc%LUwc}3md=A9<M~CacIooG*krKe@}Z8&
zV#nreFnGA5Rr9#c8H=pMbAsI5Y_rZd>&-f&tS2E>Uwm&vOL5!MIi@;~dlD^|^J-gM
zO?axD<?wjUY4t0|do$O{1)P_X3_rhox!A6~Q(E)%Qy1R$j(qVg2#u@uc|yO>GeLPN
zvCoZ(obRQd-Bac%&KGRkS<Z3zj<iej)c(cRy~TR--L^}g4CP<EUAq4JvMMp#`s_1_
zUp1<-5|0RTC(A|8_;BLTly<Q+n+*of_qDQq_j&g2?!lCI_hfjwe{T4=eb%Yx=h@qq
z?#YihR^9e=Pj<vXYu+%ss&{7RcGi5llc^GJTcKv2wyf%%&!!8xFLg?+t+?;Dopahg
zfAfXh=Q<_3ExGTeopahg-FRmE9kZG4cZ_uEpDjy#w=-i#wU*Sgd7W)L)fXk^@n5&t
z|0(%c#^F1B>n6s0)l6Q{%E+w4^Yg}`j((k<o7W?lu7>x>>-gNvPCO>ZU0fC&v0-&!
z?<eMC9+P+8-YWUbEVpf^?d-(7(`hqqZ<KsymeaQLZ&dSCdA%~Tb36a!ZCpGt=IhO*
z=2phnx;*uf>4`_8xRb9%%-C@2(3DWIv^84{o_DpfPWPRrcwbs<<J}E2a@$mMOm$Mb
z6D4Q!p1zq9aqw8D?Z3T`udA;9&ysbMZ@+=Z$A-_%Re#)%@0YkYd2$rXoC``%c8Ccc
z-_l{Z%Jq<-ZV+Gg#EZ5OU32twxvbb%ceTu!JhjV0yYh_W$$DWw?|DUDMbjIf=rNwW
z`?EWU<!Q)#5y|QGA^pP5x9jD%D^_i~pUm{F(&q%fobbl$$w}WTd=BOHd)|C%C~0l0
zmfn6`q-K5h<FNH&d0(?0A}GoBEhj8jxE^FwJ*BV7zm=n6K~_+0;Ldl3jMr_{o~%0V
z67zA!jMMdUlM7BJ2kBM$9AoW2Y5Cre^?6C5)4OcJLjUd=yQ5U@TvU3xO-yq8mJ>Ty
zx*p_JUG@Ll0@nkQp_5;6pOxw7{BbPVDXrS)$aOj4&r^@9)Vws*+-#%vn7jYv&pXLn
zc9)NGTCR0HqN$rCmNC&FzI#e#R7%Zq*K^yWM9zdR`g6%RxW2nVa+TUu@%g%P!W(WT
zJDFAb9C7RKy!pV8^R=y7>fGZgYrf8yV=5QCAxd05WtW)X<t-gESGgV%(G60|p13i#
zdroeYNKWXYoP%pGDJ3jj<$1N|cis*&#`{%1Ct~_LXFN7EykFsSD5u}^=dEO;eV=B`
z(YIZeaIGM6&IHk2S%RnQw{}D>b3GKK8#FCr;>L*XIj&J6Iw6a4KG!Z3(y6YUzj{^(
z?|x4?VS|gwPHGiCN5uL&Z@w|)oNc3)y7+iX&W9OuzRCq}@Do>mVyvr_mL+KH+}-jr
zYKhNfrQ}s&mcd&zW~_0!=@qlu^?+39<W(zo+(>2;tMobH)ZZELz|iosZM|CJyyHvu
zJU5hlU*&VoS}yp*Ha))+S-MJLnSz^xx?4n}mgrnpN}efZ>Ah7WVv)<ubv0%_T@A|*
zyZEj;_Eff?bH`gl#qBm~kCq)ja_7U0me+EVi_Rt+t*i1mC)%$mv3|AKCzo*DM;pZq
z`?q*htZ+TWtZVcxdt$MFchhdKDY_Ty3$+ez(zgnW6$~vdnQ@$j=j)6KigLn+H<FXs
zDt!()^m|G^FqD+9_BrP+7yMzNp5F-xT_wA#O3#FKh1{|y8hLg1OpJQcb74`!4x!ZB
zUzv58?uDDKl-eNQbK%(EzT+)>o*N3juktx#EjRhYw&P1|KF=vV7TkZb@{u8He`(>J
zq<T5U*67nwJC?3#jXoK*qjgPd^zo=2scTxJk1FTzZ^-#(BekyLfb-OH%df##Ii8;J
zzo?Y5Nlb9^mX4V#To1A61|7?uXz15HXJeE|&%~)*l?vLTh4G(eR8LZ`QR|;?6#S`2
za?*33>3b4pdFbfu-Zei<@I&X0X<ip63qRVW;d`L4zMs?bnW3P&t=hAW<0>-WW=wIG
zn_PGyxrndA=V0B&dxtlzwvGzdO`0NRsJzufVxjA)0$roF%!$S!-A$9V`ae0{U+#K<
zf0f$RrTfk%Gi|H#IT736x#O{+;dWcKhtrNPx$|X4kF?z6;=9RQ>s~%;LA@{5PuHlv
zEMwy5y<)7lr)uop6~2{Y$D*vD(o+9wp97lxoG-u4=+Nu$eEDTY%Wvnco;#l#N|xKI
zJ?}d%az{?9Tev7PT=&r=F+=gK9y=Deo~qO}I+r=IIIO$L*lSAc-Ds_Yn^so`UtRL*
zRosUe4WH$Ni_Rr0ovZRWBiZk1@zzjtxsBT5+x5vsZJpb9UlQRF&38?_d3Eo?)nbLQ
z-7~VIRAR0wJ?#>coWAwM&Lyq~k1i~;4~YBa+@>jK5b-qD?{9S!%bwM)C#<7f_N;Y1
zV?5Q>^0og~kIF@^$C7o6<T3+41cYg&Ojr|g#NlKzo5#El8=q*(d(Qi~@QJ0oN8E>f
z51yv}b9fS3ANTaOi{h&8nzdq#*;_aw*Sa3j(oG7>nz+HKd&<+O6rIaT=_|!py;EGB
zwt2~Ibp15r>?HM^Nj9mApGZopoR8G6JZN?BG{0%LDu09uS77Zj%T=xi1a+PGvIPr0
zx>4_b|LNG>w0Dz|BDZRPghHjy^e3EiC)F>XGsWg{%M;7vs`?gc6+Z*h`kyfFc2!*E
zZ&ZCmcl$4;&C%TxVxwH%Tv1AE7vsF2J#kZPcTaBAlboxI61sQ%o+_VIcG7F7j!L<w
z$Gf5lca|#bj9&ez=1$PmJ8MG@p5`~0t;&A`<gmJ(Yg`Yg>N@FV2^Knb&-fgrGUu|=
z(>3*ClIdGcSgv(Fs2MqNLhmH^oC!8*d!KN=R`HM2t~@4nvN+5;ZiCl{Ps!ffpD@NQ
z61cj2nsXG3%oU|44Pt`7w|4xD>25i{#bf45*JC`oMa!}S4Sd5?mIQz5m^|tDoC!7$
zcRbNNu5#W&tMZ)SNp3#wxVng$T9pSx0y|!9KD9iGrM_m7>xm3qCAUmL<Dl*q!KfuX
z*Oii&idkxJ(TLE~7BY(b)ZsblxX%=u#HJ^jk5$i0s8^ngILUpCBd*RRQmyhpP+-R^
z;ZxhASk7EkO6e05yq_Ux9Npcreyhh%ukIfGttVzKbv-D)NlDRLr9VQa@{H0+VKJ|H
zn;bs%{G9as%;fq#4|XR$wZB)tx%~e0-~adJ&%gKg*S?$k`IlSq)-=C6C-?v6$Mt;Q
z`hI<{+j{-A{@<nP|L?8e`|DSH)u+Pk?yNi?R!(SiW3`tnnBc!tylOs|<fXfh&1I*o
z`oDVpmv{Hq*YA(Z|F=*5x-~1`j*B-R@3;E5wzYcyw<y=&-``zcU%%e2{?3~>A6NIy
z4_#O8pZ8aVYe%g7y7C{l!{h$P{;#XO|KjA_b8;mmTRxnwuUV^}U%sD#>E27d>lcb2
zxYfR1Iz9gV)ycc(2C>|!j{Ed{YxUQqb^1GZCPz&V-0OXPH-Gf5Q)(BwSA||XEBAO?
zyxik$if0>}o<BFZyh{FjqVwm9^UKTj)*okC^LfUEt+8*vzVO*v6Du2WIdt6%@rA!L
z!^*FIzWl5<zItY;*!SX!)Xx|6Y{N|WWw-F{-Ba1K!0L-p_i1PSx350F?u*~@A$src
z9lIY+V&jbXqr_RgX@w(`SlQPf)BEDLb*|oRePNASQU5u%qIRjfE{uy`Y5tB~AD927
zYV+Pl^>_FFt=oGeE`*W)759RC|8sv;xGbXoN8LXrB^$~AZSkwyaq^#E@2p!F^*VXp
zah96rhKjLg8(*1PomnM+e&OcIJw;}#i!ELnGOF9CJ^A6!mbG5LJilV=_xW*;Blg<W
zg*@H#bIa;K=ill-vNt&PuVCklV`X1|yzjejvT^s!{huzquD5w(;{NJ8`!Un=8WnYJ
zn`bv~5&P8gOp^7fWNl{s4x7a<&l;~P_}wFCdR{~0d`8yZZNImz>v+e;Y4KI5@br(8
zW|lcC#mnx06E$4g$)sjJ!TQP)HLe*E>EDm7{(AMpj(w-ETRb@F|3Uus{JK>I2R8rm
zdih+ABewL(JhoWdx|<(2*Ke<C)&Kr$?QY}$Un?Kv9V>nCZhxu3#$B>sUVYB;_xtm<
z@_|<nyW;ZK3Xcl3JysW<+rk&X_U_W!<_X@V0);zeLmsdB{rj^*LauDfnYGOwmZ2Z2
z0(y&=S~-aBP*0O>nX{_7<6T)mukqsAhO9X&O9hOU@+ql>ADq3`xjdA81=II>xls0Z
zPhYP8f9IqB+6Dik*G1%p%l?`CO>tFo|2pRFC;wQ>?$2QT`+LgXOU_ekSDShtU)>zy
zcwnOyhh-GIp!+SysaIF7`V%T}A(s8!x=?mO<<*U=7u3)HbolqlYX{b5THmos%D%;S
z)$znXtBxDj`BpWCZ|4u--Ls0%Y2T{m4%4;uji(owe&{{*Z|BsHjjuXFAKW|qTH#5Q
ztV>KT`_%*TTloWc|19y^#O1_y)$vF})&s2!-kJqptJn7EZ|l2p>W7nT%bfMi9mQ`K
zNL9rBX1IFb^J|3^M_HFQIlM9HH6P#afA!<%{J6@c|L0flzuEowspr3)d;7|#9M5NE
zw0^CS627y3UsPkX=9|3TuUwW*J-oH4;bp0S(K<e*ZBx`QasB$PX}?{5O-^-Yebij{
z>dgL?%^e%-wcG3VZ8^R**7VDoZ;GoHx?goXalxuXB98ZN)bsq%{{@RSy^WNQlig_h
zTKSbiN{Z}`^7mx{yni<E-Dz9M=k)Hn<B?@q59Xiwwe8x0Let<6-&S>n3T#-*=d|rw
zWAtW_NAf`)>3*${5-97UlfxUswk|{O@!$IYmB;P(eck{6yZxWT|Cs~4nOQ^_Kwv>m
zUZifIj(WW&0|N+)PFB<qneMlmiL?IgJJWQHt@?i#eB)<inCs1Ws!cICSRf<xs1-xJ
z%>i-#4@a46J~G75jf;868h$kP_O@IJ_YJ;EPHy_wdoC)sltc=rgnoGDQg1rthH95!
z4$BArO-a)}mPWd`?C49l5f&hJP$BhttDuk!>m3$8*0uaP$In0i*1qJDk^1Iew$HTd
z1Agjnz4xzR=Jk0`|GH&AwcGyY{JLAG_N?CaeCxl0dciNN?#GATduVX_`SkT`f4?gK
z@potK%-c~{W1mm!y=YUire>?lb&c$a{#F+?^!EI8FTEd{U;A|3>EE~aoynF}dwRht
zYR&sq>(BiDtyDd8@0pw5zv;Z!e!oHH|D8$tA4?yo|E#~DJy|?&ch=;@x!eCLM84fK
z^=5c&c<%4hH^ZK{UY~zI?5+Nue|N53Q2%<tb%R3btR+ucpV}GC-}&eB?sY%(U*G;U
zZRYy5{Oa=4u7CTg6CZ!IUDTe%{zG)gZ|2yb-^}~3mql4>v>m^`aoRi<m(xz#++RK|
zxvsM?z{~y6%YYNkFIUxn@Q8W#Z`-On&&B2Lhh8t^TQyftMbfr~^Siostk?5}9e!~c
z;&I%<VyY@iT9Z`mJ!(p{);$qAevRkM)V?)gQYlA-xRjo>*1TBt!NabEZSus4FAKvZ
zC1?7legC8I@!-lGlh)L9rbRuL3f{CO^Qf0m>A?gIUa`DZ?}vNz7!~!e)UVvpuf4HC
zT>Gv}&@Ri0`=JjfUYT)7`=#uX4_;NOT<e#VHXPE<l=81owOw3%$oZnttPfsMt(@zZ
zxV!I})VHxE{F3Hep*YXO9*0gZ44m-6B`W2}>4pA%A6$O93hK9CbFrCNCivv(1-S|8
zmvrlFCYpWx=rT*Y@c!C@#j}@}3aq(VziR5adw$N6rTMO#XV^~Eo7i4qXyW;%vm}G1
z+A=PGiS=ytOWL<{uI_M~Jn{9?7a={S(NlY>Y((a5+qU@d&8f?zCUQA=FcdK~2tPTN
z)^;k%!EeUTqDrk>E=(&nJag|(YYUz9*y~Fmi=b`W14ZG*UJLz}3EYuC)4hCA<b(ww
zxf1oOkA8B~o7a`b*f=?5YEP7n#4J|PryiTU+?*;5%3f$Z<zQkLP?;wEznR~x>cPTe
zeA-qQW;|T^LTTBCU<2Lg$1i(RDzs)DXN)l{IU*RVdh?R<)Igs6ws(mQ*MC&K4D2#@
z{x7lcitVW`Ud?3*FN@~txf$3<&eATeZ+g^e68Y+0y>O+H?KwXik-bXs6&g`8_x-db
zGx=SoYl_Epr7g74cRfERszP(tv6M$kUxb}F<bBcpfRMiH^&BC6*WF%stF@i>$xPd=
zws^wyMgFRb&pBUQ7W7?J+iuOQraPs{Qv{!{eKA#}gn7rKF70ok^F>2y!ro}F_^~D;
z@_}>u2C3^el9trlN>qQBo>O+<%Uv$3=Cu)~>n=)X>boxcz3US1vX@_WtmeI2*<jqn
zeQ55Ih+`=cQ%~P<pDRCiZkqS9(*-<7>UW>`u)XxY$He@(MmBt}T;;A+-Y%K?{44v<
zyI%dfC-vC8Z}s_JxHOhkpst`m=J-Q~R)$0Uym?n1uT$Bz*lDud0q6QPuE)*=wB^P>
zs|}0SGf0n?o^Hv}F?)g7q;Jztf66`Exz%Iy9LvTD56!+#O;(6}W@Tj_RW&)=wl~r8
zpWS|49v|(?&n4oX&##<t=Jqn3`T6~iyE>QloQahDr+awY|4&SxKPl~>IF+?3F;#Tg
zQtQ7#N^kvdJzkf2=g^xkcQez^9jf18GGp$!nyL98cs_rcD}Jjja}_u9=3557r3X8V
z)nBLoWa~F5er~g&=Fpd!-(`2O9nLM_UDm-<9zA#KiNg_T+Pbm2VOGad<gcX49WI(v
zW>+0{Ax><U=p+##(+XXWJpnIRMOQR()!fuq`@p<c)?oFoEoN#uzki4PcvyG&-`@Ky
z^>qupc>5kloq1q!&ZR{v+{DPyCwziR6n9{s%4&9bhh2eZOebaRb#~Wu7qDnpA(;P#
zYbD#Uq=RQ}@T4{_eEM4DY!KVwW4&`99X@S${q>a272ZoaKOW{^7WwN#?>#rmV+m_6
ze*a*V?KUHOjbZdU$!jZ^`Q$?KuB?!Bm592;kknrPsdS&;rY)IMlO<<Q+?y7$_*Ac`
zAivd#Q{g@G88yZ&Z{`Sz21c&g(>T}g<`1j%h{rsWdeqMfnMOZ-U2-sK1*deNgpS!^
zsmz`OQr9K4Q;q$Z7dAN`^YC*^(`Ms-ZIig-O^O>AH#ggv3FaLhw;JopV)rfEa&2$m
zx(TUgIf`fY)CWZNxEy}qrpT~hNlAS8E1$Ry>DCy(C#@x(>PwEt*1t27Sw8pulLIf7
zJ=mozGg0qZmJILn=EsNfmNs83m46Xp`_3z<S-SIl;UbAUCtS`KaIY;Y-gVSUZ+?B=
z|J=tBvGH-g8-MrvE18~Fd1Y8L{dd9l<Q@Cp7E7J}eLB~yf^}!T-|P4%(lTG}T-*2R
zm$}6A_-EfdwSDuZo!+zmPkLQ(sC-@W{PTO-{+&K^XziKD?;{h-|JHTizc$&$w$5PF
zr>jnZAHBo7k2cx9Y*X9Y;Xf_S+vW6)>8ssSH(x(~swn5;nJq~c>Nz!$-E5_ql@IUT
ziuzuD@7vU!{ChrcuD<<nlfa$&r3e2u-x2?}uSo7z?!RfW|F_lbYrM5&aeCg<=Xy5h
z@086>|C*ZD{JTc_zbkupMAYqjr<6}`XW4mYdFyqB>!JBMuP<+M%9t**O?+DP{OS97
zif8`*VCk{%Bg?~8`ZlL+{!NPtxBOjDANKU!=6Aet`&V!6OTHJFS@gRoTHwvGIddE9
zXH?#f-X0q|cY5f%b=#+>?tB})_S*T)ulnvA-L9D5>|ylh4SVUj#pjG<j&Hx&`g+T|
z^Q+Dzi^-PWtBl^cm-peP)6Z|8-q6=)b-y4w^tt8Ro72B<e;N~3|L%2f>(d`6r~i0#
zqiF8(A9{s33)kNGp(6W*&;G}UL%Fa1ytO&}adOrRx%!+dzg{0W|7qvf#H;m|%WYR*
zOPTR`=IIUR*ms(IZB5_WF28fzy=T`m|39_+Q_4~r**kyg?Wp>o75+AN5@s$d`)*eJ
z^XmMo=|BINR>)k5-TpT*vEq63vwN=&6#dc73cq<>(>9aC_Xyv1#hSW@S3_gB##Y+c
zb1n_l&j0PW{#Ll&_WGP|KI8RY*MI%?JbuSp?fQpvx6h4^{5x&ku9&(Yuj3ac<j2<D
z-2V1r<NWt4%%;{#{;O<@jd)(l^7UG7W|p-x`#%NAXIuX{uGczz|Iqd6@3*|;tFM2a
zdvo^geQziGNU1Jfdb*%q^54EyM(h7hnYY_yYw7&UG2v^^S{<0g^?TL%#Z&4Jp1bkp
z&x{wddUZ|I=Jh9c$v1C|Q`7d{a@6VUr_ggV)=Het>GhfMQ`aKn^wp@&6`GfNHh%i5
zbK~#@b?+@-vv$h0&g?%IW|($H%(A#Q?R9iPl0ivZ*NQjE8}b!TPkUXnb)T!6c5?cJ
zPthrAYg)H?2%Al+cp;~`X;bU0BptPTC+Z8rQr9d|^!*r}vLah;)4iuc^VxFm+IYJ0
zGd7zXO`o_mPHj`o%c#zE(=wFnJiFHGCqFtJlcXKC=+SHMNYCbdeX&(D<*&Lv)bovv
zHt)O2zsUA<b$+f<|Ni}Zm_Kg6o1+`R{Zq(w%l?Co{NK}m=FW@WRvJ1#{D1EJr#bab
zr9KMvvt~UE+kNWX%Tudfu3F^vqL1_3p~r`HtA*#gI9=3y<Hfvv<+1cXl7jO;9`DFJ
zb8nFbgXjfc-^MDb&GQ8$?d%yW83J0h1>LV6oGP(o`7g1fv*+E?zNlvRc=pZA#|zdN
zrA@BO$XfJ7WJSA~=ZiefnLU#ZNA|qUiSKlHWbAhSUo~63ak+WtqL*_I=!f%iG;NlD
zkbSx9{ExP@{R-!NYK~c~`OfRQ@rlD<9?Jm!<Rz!hEjx8?b>ZC9>fNt<np@sYiC9^v
zdnYT#y756=XHv~EGdrKm{@;l+PMr|{wQTmgj(2l3l>V5-2P;_S=H|Rr>2ln~A$Y%P
zqKR$WgNJiBn64|VnOeV+$K%8%J2STuAvGhdv&&bT%;&dBv{h-Fd)RlGkwV&;+nbEf
z2}*HJEq}DG_>B89Y2Wi9{kzYZJIwO%va3z`wQyzTtetxf&g^G%npyTM*XU`~%_&dy
zWZL+f%wvDgn9~$uJ}>&y$xE!ptqHHDROO0rbQ#`m<tq1G^Ynd*(_49~`dw_vr+&`#
zUv_2L%ZuBlU*K^RzreEVz|3iLKO4_Ku-EZgUtTMNqhkEI^E^!nFJE0xVPC&?@85~G
zonM@~OQsafIaDH(yuM`dO3ruNKhHe<Qa8>&f0p8+egn>=W3x6EXfGDlSA8-s+A>${
z<clNK8=3o_hwYehIc?$#ag&G#6YNcF>jO7sPIlNc_uArZr{uO?7VtgKU$S(A*vm=|
z!%y#SXFmAHesJSeTQ!wQDv`&wUk>tlz;^KJk20w)xkXRd?)=XEsxs-C`u}GBl?9KN
z<SfVwu$`0VXEP(m$MjI-4(1M~@Nb!~6`V_%%)OG9obdRqvCwhaI`xTB8REt%E8fVJ
zzqQ_0ANu*?$*#t8doF!E`peAw@{&3C+4r?96Tfo(@w&qMo?E#dr!1^cck21md9G%n
z`_%ug`_}8eb`tuk@yYMjkL(!b)jbQ1*N11XzO|d@{f3_^LMwdL?{Nywx<3Da_?1ld
zo%au&diCp1Zv0dZ!GFILr0(qh{L}L7@0#kVb<=kGpT7Pxv_AFAaq-ulOJ+T*T-ZD3
zpY|s+$!iboUiF`@zkhoB{ipZM<~65HPmjF%v@(3>o=HhkrGjk>dA>>I#@yoz*4fhB
z)44=*kx_*A<{4{^b<>Z`3bcveFkwx>V!86#iPJPcraYePVNlW|rlymY7kt0`YWecH
zsTHwTuYWXpZ}n>Xm9VM*>u*oXd38E9^1IOcC%KQ)e{&x<o}OCqcjo@Jzwg~Ux+GBL
zo@cxzzs~Xd(KFa{m7mQ0)6L)i_y6uyXX5vLesx|~bBoiYOO@(I_6&vAyY4?cyvjOj
z^_o+A*6zHq;$W{yj|yAzRI^9D-w(5LRxj09?W(Mus&tmKx%ISQSK!iT*BfK&>h((3
z1$=MRTgoobAiy&5nVZr3y-z*;O}N)GcYg|aQgNdFT!Ky4lqoyf%V%s8xomwz@Eu<)
zOUr=+XY!>_m#Dj67EEL~UKkVJ@Xmw%NTE#m>#Vtgu@l}svFLk!aq15HL%l&Fxr=uC
z)_Ru+=<*gl-nQHPHg~S|MVpoa+3xq98`J8wCH$jr3%;z0S-s)@uFT)9JfbE_KE6yA
zoQ|vtQx`Ki@bGy}c<;9QM$P8lcj87V2|6rER{jQ4W>&3?F*IEv@boyhq;3dX^-dQl
znJ+a{jrFeggeoOXeX>KSR>aYzU$J6>p`_6<Ce>%HJXh-!l|`F_<_4*SR51Bk|8bb{
zw`o?r@ePUH7q#^Kk0qtPX=C*|9eM2BU6G(K3_;B@E{sQo{g%v_mGQ8N%}3^=he@~W
zvzH#cyHv|&cvYy(I?A`1y<a%eBdNW!MNlcgtFORCa2oTG29FDTlRIQ=Qy5;fzupzZ
zujKxq$-iqQ`|UPynY*WW@<cX$T*x{vLnhZN#cZ`?{k4psX4ZKxR8?jQ28y3io13}O
z=SIh&Lu(5(=awsrL^ZzNc1HisH;HNa)@%7*E(ul?Z3${<DrjFL++v+FQ^D@>WzhtV
zHp}%Ht~JwCZ!FB5uF`SdLgt|OqJ0L>MICF7Ez?R0Fnl1<^rOdJU`2?Q!E;`Ff%P^M
zoR%KpJCc6p5kozfj=8{mLvLnv0oG@GGG{ja=<$~@n0eqT>m%d1NClpQbHdj+)ErY~
zbr4Wd7nonD$v9=_!8y}=9BPi~aMV0t-y|n6f8!}eL+j_F(hQS$0`@vwm55@<5)EQ_
z<@;7t^rPf*Cr*dA!dd4P6x!x))Glebtd}2Q{d}#VL(RO8{Y*3J9fEvjW^f41FZ9h|
zu)bsYzF+f^@ieA8mg}{<EJ}~96A+2MWBt6;R&aj$9%sKd6GZmU{d~<taDKXvaFWuq
z;G;E`@6SxEJ+@pm#qjF%&x@SAj>uOWTW`5!-yHL_<uOGLa|6<30#;vX6A6qz$8EK6
zx5fj3`RRYE4rk^E{VBS4Wov!QyIBRznt9EdAv5E0I09E*h;e>(x-vMJcjK#`_)L$+
z_;a&&T@aZ6vAnH(|L=CmN5)}OA8uK8fB7!cl#cTerfubm@7Z=K+C7#}v{<`9H#XPG
zB%xyCz6Y&(Et>qRf6dsjA^ee8MZ{J0w>4s}x?g@CJKS}?{K~sSW|0RDiP{Ke)!&Xd
zC_FbWM`|6@jVhs&F4ZA3nnZj8IUJ4dM$d6%45?N5)za@WcSCU5w#-A@)@oWCGpby^
zqP|Ykda<{`T21Tw8jVv_89CiKoQ-=V8ClLN<!p9ccKeQ@(w3AB;lFC1$Q_#JJfU}u
zY3}1n4lNc1M}|ZEHi2B{KB`^*AndDI;$F`n-;z>wYthUm<NYW9o}YQz)cSw^f(v&=
zY!#wSY;H92J()j6^Z${e2c2a+d==8~g*}!T&cAW2_zj!F%tdw=_x#=R`DDwxc^eof
z>`C3sw&u^oHGBM}DwKApN+-^itXSl`?1B0+AIS=(2|l6oPaI1s@X9s1`}}3gLAA^8
zg_HF^xYQf_tYA7RawloVEo1RBYkApNPJa2)`CujMyo|P718LStFSq-PoiUi78RjA#
z*|_p}>}A=AzQT|Poqm#>m!14Sc`gy|ox~(E=fF4N<?B}&8#k&~-JSD))f(+J8jc#b
z75O+12;Wh@p<i&nV?lYr<B*Hz^Msq~UM<t@Qs4-F_>Uo@zDb)u=dxYyM&@}PtdR<p
zM;ZOE+}+1?^8UV?4eC*iPYPHk<(d>QrB_aCoP0Zpr()5!mgsA3lS=nDsBgNd<KX@K
z_Oh+#3bh_|{?Ps$rLSqg$oWQOMgDA#BY7uo@cK1A*>d`oZd!Me@UCVirg<E_otxP$
z7BH^Q+-JgLaCFWgdG30SP=$^lhZRf*CAALpi`<{d(jc#yC&jX7+0RQd5AH0PajId$
zLavls_B;<dGwM{1DzGXXVDO3OGHq3NS{k-UMBSvzAhpYpu_<9il<mV#hpEErcLpxH
z(V`wz8B*<P_^|VsvUi1&s?EjhWkH@t(mR3_Kh7)YX<0U7wsM9|S_Dh|l8-f~+D>HW
zg$nelyId}AHkl_eJMw-*n7!ThzpW`*R>6yIB!BDtl_t95<YbLgTK$~q9jBNlW$ri{
zvf`HcU*Y!EB5KmXC2ZD>@;jOwFYo-m`cqEO)5y+k^7_SLPq(Hg-u|f)#IyF%w+}b`
zrtq4&oL{TCd-JWzpqnM3f#%i<v+Cz9^Ysol?RvR_<>lR{#+EAuoYT@$(#$v*nk5(*
zn2TcOv1fVbi!?Clm-P7fB!u`B)Obj=Iy)M9NU*gqCMZY<a5OVDUDEMkd1xbbsByPr
z-FyEVit4RXPD~M*<eXL5v)upG)tNum%wre1Zx=0P%)drH`42~XKd;gEBW86E-q!!`
zUwVm`GupB;Rej@8gKuTMQ@za&zW0+A<LU`pFj*`2)#2quu^eBPN?ZS2thf1+fkIL9
zWMyW}J`b~oRK0^gHoQ_lT3f`NyF_#zk6)U>UfsK0(Qze(y;Jp${Ohw_z?|{#t*K{3
zZ1D8<FZK*;_g&mk`v07z`{InP*RPd-^c4SAzoYE`{vU7BR04O`@0%-lAzA4sS6J+m
zx7`w+A&=%R=$iWOXXUBI%WOnneChg9ZYL|+vANtnK2oc7hd5iuye0Q?oc$Nxy;r>M
zP@sCGjzS5?_BEzG_Gg;wc*1?&>wffc*?3?bqhY%*W7NvsENP;#T+vp0&VAp!wn^;L
zBo4);3)j?pE@7xX;8tq;r^A&av+U`C@P^4RPFb=Yk}J-CSHk1+Qr{%-(c-srKI{lQ
zSGWEV?@rDIC38Gjvk$QCv-+v^mFEseMF87Tho@hy!?*)4J+9Vq7EQV>;USrtnNt>Q
zbni6ly{|LoD2m^YztFk*Nl#lU+fL)s%0kW*!4v92aus2#>iJEW9$Ja9D6jt3EX!Cd
z@yJ$gecDR7IGG77>POqujE_0Gyp*+&jf;`ku%lq^>8f*oco>ZzSPMLT8*^T}uzHU4
zghT%Ey8GodeC;ywk1K9_eD>0!AT>o(aj`a)jZd;m1(*BG<>5QdeDv`z`||AhtAwn*
zeJ_|y?XWA0l%C1>{AvBKnC@&}+rtlk7HBWn8=3IVsFZ2qk(TAD8+r}0F5KXn&AZ%j
znLg*@K=V!OmkIQkf0+5#N`7Wy(A$fzCqHQ8ef?dzGuHXELd2}n%P)9@GnFfkce9_j
za@}Cl62kJDukXpt(nHJoJ}CZjZxE|tox`?}(KR?kFY=c~%*<&i7arLA)mJgi(s=7+
z@mbP>xwLb8JOf(<`$Hv5`<JXL;q0{=x4%iB5z@8g$4Yj`S?ewwzi={d_Jdb(vmG<<
zTNg^I%x^62TBs$u<*r%|OX~hP#hYX7Z(m`U#8viT<IZ0iwhJ|lUe)uf=Z4g6GjV-(
zlZi`wTcrZ0OIk!6=Q1PX!_D${toGFVBy8Ehb!gX@o8~uFIv?EI-nc2^QOm}j6RDqS
z8qz9?WF5R*-%l%FxbH<clbp)5?%lKZ-Zc-I9^TB{{rJE;hfLEK5zF$tc&fwFAM7}P
zE{<!$qTeT{OnR)JA#+Nwfa%&9b$KiQJ7)O@L*-+(KYw+?aBh3k7xg18H!7F?`OKT}
za6)~3+mvQEE}5b^!MDBE#Qe;z7CX3DB1cqdx`XYPyZ;Lm&AcBz<I~&8k;?z!$JO;-
zHcYH06Yo4cY5iQv)aAs-<G<rCb!$j}aXTyIr}8rM`ZlYCJxbeImMmO&f3@4ajrW=&
z8C@Toe3G@D<I6Q6!{?5RKYX2|$rATOW9Ma~1JBQ=@2L;(`o<Hjb3t|8H1o@cHHx;^
z3QNWo6~$jzGO>86+Uhli{mmL1%jI~LWlud5Q*hfOb&!3IYhe%H?QWqZu5Rz2uYL2z
zV)CkWlSCEl1s0dwv3KLj%i(kS^EP}}--f;{>COX&3bxFlXHHz?nUTk`nw_Kfa!lF5
zP`4+`_gt42Z0?&-pSRG~CH8lnqvJEF+y8V6N>V?jve@)3=`?u4u=CG8RTha?@tdAj
zzBIbpbdE<fcXvtKf>S=GJenu=op_bU^YFduHv=}24Hsvrv90XQG)n6I=XRnj?&yY2
ziD17gb6<rhwEdS@n^th>IQx^wLVT(&R-B%h=3?`D&rT4qzQgBlQeV&AYVDsmRdwy$
zYqwcu$4ew$&r{|s4N_Kg-a6sK<WpNbIGxTMIIgx&{Iw{z*vDygQ&;eW8#py~zYdM-
z{H%75)k3U!%aJvS0n1J#@x0^XdADS(Vv^7vhNBe>duJYFIzLl=pYyR_x#2y3G~3K1
zvds2y9EozRS5*F$G;xz+RpG}2^(D`?U6l~BR48A+BCN1slkeAnlFY6{akG7eC!KOz
zZlJrabmfHin-y|qZ<$-T)uHS|(*FMkFT{vM^+de8u;C=9$DFf@Inkc)bM9Vq@-ivd
z?7!Pl@_6au2@5|gTp^oq?zna6L$9BPy0(o|Y)=^UJU^{)b5D6*R>^U@$4qB_Gwu3Z
z-@9~A&JqQiSD(^(c9woEnddEWm21Z|Prt^E8Or-OjEd!7lwLgQAu)0JNzS4xqI;HZ
zujoFOWKr?(PyO7v|5DdXTKAx3zgNAH#z~R;Co&Ilt#Xb0CMfkqn$tAZ=jq}9r?kQ+
zOa8Q3`hn??j@qjOikDASr52rfUy$tK%{uL1$bZ-Rg&&GF_MX0Vsz~~pRHp0H{z+~t
z80V`jkUY<jzNG1<Bj>fxtL&>4J_StK=67?&&D<hw#pxpKkAit-ok$SxWMMiuOHN8~
z@%yqC4&I3m{e6B_JS&}@e1UuSzVj!SxBjlWQYcX3U&x~O)$84(<~xrs@R}8iHGRxx
zvYg?RQWJgl$<q4C7cxrP_O|Wgo^3PtC6BhzzF)#?t)oo4&RhSxs(#F=@N+hw$D{r$
zSr2Akx-pUWgT_~bE!XqkeKcKGA{MoAj(XRt&MPIn4gc;PyLnAQaI4v!q#uXZ&E2rP
z)NuPOMaFf@O_qyjrQJ_?IIqB?WLJO8M;URRl@nE-Eq3K(ad9os4ykWBd6;L_6KU(v
zlOl1Si<u@0hPsu=eN7YQy{dcLmFJW&^U{T18-FoqFKd1+y^wQBt&XWn!(3mk#;QN7
z=EU$<%lqZdWmB2>AXuZBLsMX>NaZt!P=^~kuX#@7zQF%sinT|H@)7n~8xCqPPIu!!
zoxYAgc}9xzBvt8uv2tfT666BwSp<Bw7K+PXh^Vfquz8{y)zbMxL{*HfaNag0NAY)G
z8e{m62B&w<dm*6V`|5M`ozI-NmN5owX*AP4<ek%-b2h{C<(o^slI*KDpHGaLW-EWf
zYk{xbGHK-v=9MlJ=koqxooxE0v|~2Qq+>R!XXh#eUgj5P&~uw2X1m=&?x0!A_4;jj
zHMtS<?w()fR};#_Ag<;k@n&+#4z0qQ8NZ|s9qRC$BRy@MQi++(`a_%xUhM7{v-oyf
z#OA(;m}pde?3BYyRS$MsHL^$Po1Ob#YP)~hhow87med@vVDUBJpSm_6M&9vJ?G)Y3
zk=~8#f4A@Ph~HxNhm%?Q!K9Y+_G)c0j-`9*Tl5u;e$JQ|nLf4eQR@x)8OiQX>^IK&
zAarfv`m0Q>m44Tx-`_9UdiCp^{|hBlyr$j}61I>Mkdjfo8KagFxYtHCP<-KmoBG|E
zT5Yv;@6R!vxNYF$_UM~JzCycTrBIETHrLM^SCpzs&pU4NnJ&Vz`b1jFmGBhTxl#A6
z?rdKYsa3BgSiazROw)~rN(%!|D=1f|&Yd53=6L1h7q%<k`P<)S`P<UV)wg2Pc@deo
zEl2KkN`GWIuV2W}^J(3oKaPJ_vN28aZLDnOaMPZ_X;j5)v8G#VN@|~Jvf;T^b55V1
zRurc2KTu<%jaN&Cg--l}H}m!_Fq>Kv9FS08C*hW9Ahv2ky`r*&m43eU$=*W?K8bOe
z|Elg{I{Q<n?Px~&dE)||H|I|u627i(Y`N7-d$+sshAO)Y`-M&wpSF=<jCg&p=gzPB
zT2q#pFS6TS|M|G_^p4$OS<BC!^>sFO*K|)<kkrcEYQJm?TXVK@m|T<jjMz^`Vm|7v
zQfV6|9deX+>1^ISHMjoQ!%roWQZ<Zc8cx1B;w8B3PH4*3nT!6sTPJY%%HG4vnB0Wp
zzo@VsoZ{B^JJpL%QZz;=&bhGp&s+Nqiw~)#a(;h!<lg3~Vw3jh?+$-??ul@0f3JdE
z_^FmlZZBWoQCfO@h2F%SVxHF0&)B1KxT5d=NVwj>|5kRH-0`(HHQf19pVV9AUHsB3
zkmInV<7m^$iX>ajlR|4g_v&Z%t(wUq7`XU@ON^X<#E~S$(wpfk#XW7GyLUfe<eSaA
z@ibQ`v)7C!FNvgs-OcH1Z~j<UWcxfTWpmI%@yS<v6e>@<9L?BqXWK#zdzJ~8OlSUW
z;P%+`IZ#}E!;karY)Q>eIu~T8%`s}LU;mJUljR`Wk=_G0cQx{{o)h}{`0Rnq#J4PK
zDj&+Q%-{<-^{C*<zeSv(Mhy{$3Z`kF1huqu95dAz+t%gm{?s2B$CWGR^I+aoH^~*5
zER$wgCM$nh*gGrbn$iuPCP$es#+NTl`}EcAV>-j9+Q6cQhYpYH@6R@lVa;f;niIf3
zskr{25!bC1(y6|$Lu*#atqrT!W?poFS>g1Dk{WG(p&OSQud;tjQCZ#0|K#Svqs;YI
zTQ)I-erK3D$Ft*5tn;I_d3z=>B=2%fYgckKoEPZ+I`lz=jt+B3ue{C0tov_ry@Mtk
zoflam|KEDU6N$2!(cW_fHKk8{_V?D#`qlq7#pI26eMIJj{r7%^NZ<54FCkbb+1veG
zOLTkQ<i^iM+ILSdiAlM4D!P2g32A%A&@eM~^1*jU_H^rS^m@^h-R}5#;<OK4z7aMe
z41IFi9RdFpAKhN~H^kxcYeoaly|evu81g?nSdj3Co99Kg*6*JVxdQnnUn7OS2PFJB
ze(aQd`Hrd297O9UZCzXKRre<~w5xzob+fQb;@_g2>s~4;H$6VZzME*AWbDj2`Jz`u
zUgDeMpB%$4J_zwx_)O{Eg$&!dnUN+7c(yK`oApEIpLs~`r@ompj_%oUz%rJHoh`q?
zDNbkEQ_<EV%`IKeS&lt+y|Zqg+>E7q)za)A7W?mfU7XVO$5$=Exjwa_o{43f(vOnq
zU!uc4w{D!xBb@kW>f|E|jj`VuW|>6A8M2D)K2oO;<z3z$Tw?Y*WyY)Tn>cls?9Sl)
zkekbJbgIX8y<^{=1kH22xQVs6s98e#_%RKIozFkKOBTHFs_M?}P>t2sUpS`pPb!*Y
zd`--H`}axK-Y5E>wK0@D7wCRp&-p5EJ6F-{Ww(Nu&ZZ{W8D8G2r<BtDWzVHZ(Utor
zzsz6JZ?|!E!Re(9EPi)gk54g=X|Xt``}hyL{RwgBubcADta{QNXUxW88oy-1{@rt~
zP2XMox$#cyTRjJzJ>rLhpL`ZC5WVI4LE`6w#y_oMN(GDT>?bTXxqfGFMq%gv2iFeQ
zpV{?S^~u)Sy;|=}EvH|3>Lh5k>J>-rj+IjBUvmOk4a0Nmw|gGGlecGI8}o?`V#{aV
zm)JVx|Jy@C8$C~jc&c6~Nx7}6o^2=|CmIuZb?4mmTK8{u8EN|)?l%ri4_o)@&Z(8B
za+W>1Q<oPU>c`(VQT_2e$4gnSy??EkHErM1dF`v~b6<xfc1P(3PT76y(>|@*i>p<+
za@QT&CF#87x9c0e_xC1daYgM}*0S)~t)CYS-$pJ<-DzY|b0u)4c)pg<ckX%XjLhY1
zZNAjpYglsrf-lGK*xqSNr&nHB>aZ`|yI%W|o~bR%dLhOtt8|-1mzI1enXPf@tc$na
z8I!!re@}k8)x}hQc}3<y=Nam19*4VAwjQrL^f+ae>E)YyOFBjV%wEnrg?rvLCa=^R
zAu>C?&KyWP+8EJuLFCf0oxgsy=?Dd%n%bFLv+zO67ykvmZWdCCTH1XdPP9A_zi=@{
zB}wao{enPdmXr=#eVa8E&i~&m@}E0vzRFXtOWjM8?pgbN@e=uHyP$r_j5qJJilnv)
zreD7C{m(kTsm~5BP?yW~-0!~ez@$WZhp_E|e}8yvdG56`=WpL)(`%a*1;44?yBRj^
z?1broX%%xl$_)7>^3_c;>UG2oww0&0Xts81vAX=9FyUTiIPa|e8A;PtW)##;;l9^%
zYyH%lJWLaEFG|;6ILhw$^T>M9CH4FF-&lM}^o+`-NX<vWZ`t02D5raFnelhivOGPD
zy&D-B3xh7Z-+1*vceZo%wOyGjgs1dg=e+K-bK9bft%3X>|DN!2_~ZG7WyOOh7x`|T
zOMljx${6We^J>ATBV6he6SU6C88S6;FWdQ2f<f}f$te3ZK9LK{kIenbbavLBjT<IE
zy<4wgyYBFT8{%*NZf7#y@_7Q2(h3)rMf24b&Cb0bv2(KhnmC)yQwt2&ZrmX5%Ar%a
zireX;v+09JZyS7DE6hYCRxz8Ncg;L5=^-pQk8e$u)A{bSa~`}56{mlE_Dy6{eCS-X
zN@)K|v&+V=OrfPIrHN_RKLwqO^J>%d-EhR%NqF(r`omQTrzQIuSMV<JYn@Txdf-*u
zm0#a|&MDYEo^toCg%#s_0o$FIm&_Ar_;TbA;|K0Tog1C^n}we^s+O_edZ)u_2OIGX
zXWE#r%31yXec;{ln{i%u?OMD!ZcIG$ptpVBvQu0xr61q2R$Fu%G2||JAnPjnYVi!^
zDJsP!=Pqepad{$EznF*JdWOu-L{|SLoWX1>jycbhvC`h{+I>cDV<ykFpRoeBpEgM_
z9CvrSFiqxCue7c#S9r(uv;Bs)55#L{I!@h{<Mu9zzu{tm-ScZ^NiBXEmG4T<HL{%%
zxpL`fVo$Mc*|kfe>JL99HXix&dFQm$$@=e&^H!<eeB!;kvtZJK5as$g&&xl)`t?Wb
zMVkt{@vnf&O-)vO)oUN_m)k04BOj(yv;6*zA4N~4n%5<Z7ys3F_AJ?&sI2IzSEO6K
zmh+)e;;lJX4Lz=$EAi^@y)bLvO+kaHIYDOC2Ocp;{4rOy`K&bW?9a{j9A^I6c<%qj
zu>H-YkGEPcxxjhPURtALr#0*S@_M)9q8$CN*tmD)m&7-GoRPOBXt!ItwnVp>>J5FF
zbuVHkzh-h;{OjPPdxksLC77&|)Yr5<d!oawr=c=$RYeE?jq<bSxH-CCu!U9Kf3u5u
zV#hIKog>#6dF5Yy75W~y`s<e^t2UjqnYI0U@mIySceO4Am%kNx8u!lYlCDqB+^VHl
z>Zh<SS@QRm^ydRrj%M1w!VUl4)K7G)T6N{cTISamw(k<%vg6>d7q2~!<y?)AdsXh+
zu=Bj1#}uo_tvyncZG)SX4>YGs%Xz<Xow?WNRY9NlpQ8l|-P_yx^$+ftH|cie;-(XO
zS6#?;Z1z6(-O96WVSe8%>6anbK4i%Y_f-3-?kr5sJYUbi<NQB7!Gra!#kMJbjt5$o
zX2yJ<@mDi`_ldv#Q88iDzMj7uBlf)0%IUth^sT~TPeH?fPB}sc%KG0-eH~>`!EHMC
z?1qVI0-rVC{`)`u{p(qkC$Id2E&b$R04@FeHqTMx`}F$jOse&u<(|0{9Q}_d2(-Tc
z*R^xDP}`+VcUPAt>z4&zFLvIQqZ(wO6WhB#?$)xrO;#*?pZ7hqwRqupd!KIVgtzB@
zZre0Bym^!3tht5r#h*^(IQC%8o@s9Nrx(n<o454+y)UkeTni=~=gqYFw{C0qe%Wb<
z_pLmAz1=y~o>?g6fQA@nQoT^_BiH|Z%LA(KW^14Ao_N=~U{c`0%ObApgt~(JL@SD(
z?Qrlgn{(`t&fJAO=N!Kon#|l)ys~=Njs&);S06rjbXb1B-4a{Bn-xA`B2D^o9Oj!e
zB)YBUrn)T*QMa6RVTYl{{T{Oyj*NQ?qxU~P^*;HQ_I|~mJj(Gw?*HDg2Y54!A}xru
z7Km8K#K*wE#5Y;NRJ^_@B{4LFm4O))LZAh)39^<)^BJPL17rd&6s)-Au(w8oXVD9R
zFFfiQ4;|MX<IP)eRK4V5*c{fJ>@Dw>=$eQvI_j%*{#Wl*?P<Bzk=DyfSIqjgZ~sJx
zr~@yz$E-Q!nzpf5H&MN9^6?29HZrbYT+X=rlFeg<h-a#fGN;=&EvZlDbieX+X~@J~
zzN@DsEo%6Z;Xi5q*^`{1T(`Ejm4D3ZpFKhPt^X(HaHXzAF5YEUr;QinPru3jWLa{h
z{~@DU=a;_J*nUsW^m@u~uBYwEmGhLW`<_ZCv|5QST$k!)nYpX>?X9=hK71(R(|Igq
zy<AHAUf=4wDhlV=U7FT+^|Up9sV~r3U#eg)u$7@()qd_%@d>Iv>KY<qww+;WnorV_
zE7_m0FH%{txyHNhL1*A86Qj-`50g+Q&6N}8o%<xvG39X84%hxyQ>2zI_`z&{Yqdv&
z+E%GfzY-InxgIJL-SXGBnfL4WzTalx`}XVQl9}h)ZWpX6y?)};(eR^Q(@Lg=E85o!
zOe?$?zVG2%sr+Kz<x7@aE(tmOd55vynv3zRcSWQo`|V!kscaQERqg&_xy*{~f-lxy
zD#`op-7F=Y72uq@Jh@VPC$ILYN6}2x^FH>dC$z0yJZZ7;9f{aR+p}LQSXA6q-QTW$
z^y+5+zBkumCjR%xOTD%A(CQm4Ta@C3pK0INCR%@VSMrv{KTCK1HJf*OLn8O)imqMt
z6?%_viius`p`x_*#WmHaYE@Q=@CnT|9QU?|)t22p_x|*|IYNCJ{o)_rdC%VUw5-*9
zy1dgRjh^E{*ELSBE*DxGcm3%@!=R;?w~2rGFx~D|jQ9MhcZF}P=Xx*p^yBO|9y*hn
z|L)d`{=RGNjH3G9HSdLM#lBSW{^WJF;XJu__io;m$D?g-Sm+&&yixm6wrG}>(D}5_
zhweSK%43?KK6~?ftx0D(?I%n+!){j{zAoL>Z}&M~l?e{VlVdmD-|}<ajeeKk4xg^C
z6I<Uo;drR~<oQn@KZ)NeofA9HId6hk+3xi3f9-7AVopwP{<1B!^g;ct&Ae~F8FB5G
z&{ti4GEk=SNB5HH+Y21mr-;lnD~#QjuOqU0t@r%5rP<*}RSozzeT=hy{eRQcoCL|f
zjbAm^Ro<SLudZs@_cZtkdzr%9;1d_vrU^Q~ovPygTUh9r@xAABC&=_Y4cua}&j0yM
z9R)|})0gwF>t8=-x$d{s@_Tp9Wa>A2m&u-Pp8nkM%A*pySoi(sye+0}*VuGf&Q)ls
zPf6&aRxi)qkd*Y~pX_=Q=JG@>n-ZXL%H)yhM8&?Rz6BvhOKQ73xwu=Om`w|xD8FK_
z*M7#I$DV0t=YL!4DE9Wws*VaKmAgN<#mx*&fAc!q&YGJo+8({JY0b%5-%@>x&rP^q
zA9cgw&f2?^%<dk`*34NoneWO=2ieowD~fv8?0n8r+1u(H`YNV7xb;xbSxe(M>%`k;
z&%2JUHPt(7X&UFuc)iA3eErM~6QhD#AH{1YzV^_)60(rtfvM28&eh(Jg?UdF&h&qN
zn6uPjZeFvZrNrY8a?56Zy<2f+h5Nl7*5@^(`zO|`&f`fhocOi&=G8wr&%aImn$f1r
z^*OpLYsyDiq2oIL<FD!VtoFLL{W_=Ky6yLSjJ9)qp2c-reab_N3+mT0C*|J`JS2GZ
zP{mEhPj@W;9IX=Vp6<@jwzkRVuh~<V_pe(vNW^iaU9(}Dv?seK>iwZNIoAzjEbm4!
zP1^bO-n3&TaSQ9kc5CgIKY1l?f?52Z)_LvMlP3K6B)M+qrM0fR?e8TWyym($d6jtZ
z?PFVJd{n5CJE^s8_03Ba{4YONZ~DQqZDah*zt<-y_C2;ZueO=fq3HZ3Jvo;=js@Mn
z;`1vu8?00O|3PX~Raa(BrDjyM(@~|^d56DUEG>V(XmW<=WyU>^C*H0XO<fofYjf;a
zq3xB%>DS$Eq$wLuVE_10S=m@HZ}M5IIF|A~94C(VpV%gPwD6s#`95Zj*~<@CdhM7I
zdvI3#y5g{ns=a%*9b)@b;IuHuDSN8X!B-z{v8k_^a`KTWuTEXw1hX}jX|}d@E@c&r
zW|L2-q*^gPFy%8_cZA`5V_WZ~3HA5Q{MwX1RyfS(U$Mlw%1%-5@Wd1Bk%>EEBR2+z
z`76pRE&uTQp|MKG?Scsj^{L%&_ie22D>x&0pRs5*&vizYS8ICo#Oo?;Wc`;%`+a;T
zH}%0Y!N;z<B>GhHzTa4_JJV0P|K;L))1R+Mysh9H@H<oFug>$b?uBxVe@-xLUTbY$
zpCEkuz(d;rJ7(A3>7}#xWj_!3qqi%3pN#&apDnw(j~M<+^*;LkaQ7aK<(s7P?(Y44
zQ}>dn;gJg6mbZ`H&E%AY7oK$~_{08;^+!TY`|<O=#~ZfH<d`V0D9^IwtkVgu2@?f=
z3QFDTVR^Q_=lh8Y{{Hz2{7Fyv5A0!>G`V#T$4`ZNp%A7^R(39CkGMI03MlY9HM!Yz
zGCY}gTe{(+?BcZAKOJk@_Dp;5gP-^Js@sROZftjJt?9_metY(2&rAKL&nuK#x};L4
zNJ<HEDtk`iW8xHOQHtF0YUNXAp@xSR0*4JIG#R)VCW#cC>NwHCqNMEEHmPJvFjGc|
z&PL|LKc>8VSATm$iba~k>jfvRcWsbdH-Gin#{OA5Vz1=3aA`6X?fY&bH{ZSCXU|6F
z&kvH1Td6PL{C@9&k9T6m0b5mGtC=^hGW<47Nne<wHJ$79g>^fX)oM<AzYAiqTA>*+
zXUXdiANl3n_b;(<Q&A9<vfiEIxM}T!g>0(H#u;nsV!rQFNQ-f<Z*_Z|A#qk_&&{c=
zKJ9@InC=&Z+_yZbaJHO>QL;?R;MOVLT?@ClSA7+Jd}?~vyk-@rOX_!58E!cdCNh`d
zdTE#(%Qnu!g{}%Z8MgB`)J(bBm$L89j6EkSR8KNXPOo<V;<>Y8(ai5le)ux6wg_km
zc4RBJ`=m{-G7sLuEtsQkSyTUO;!0)JRSRcNld-(|ocqC-O&Po1v|n0!%EwE(jETcO
zLO)dYf%l{(4Z;^rTBa|~dHgYHach?LwlEIs$j&JTxy+fJdLj#TFU*{0aJI~hbM<A;
z{9Q*~-WpryB%d;~c<K1n#l2voV};xvz6mNnnsge~m*g{ZMztK#oiO9yMgE$S`YRVt
zp7bj?=V12huJw$EO2J}3-%1?Z-Lh*&a1pn60AED#@ke#5uAUT{@8;LVAEwc4-Si`J
z+S@5wyB%)vbey$VemtyHNqXs*7KsCn?0lA|*`+FXyzJ_}6Km8K!clg@^wjQM&kt;A
zZJ4s}$;Fr{PXeUG<WwgI)@mES)>BoToZel3Wuf)roQUS)q()&qudq22JU2D%S$K85
z@rSRoY|lSnku9C6;+ms&c$R<rnaO2+4>q-z**@VtWcdAS<FENoKfnIB^}m%XTZHmA
ziE~Lw(r%p(52RPKI&HdXvhAyrvAqII)6u=mZ`NtPuu!P6NDbWcK-II)?JcYB3f@Bs
zA`PLLZS|+}ucyrTdhtey+qw2WX5X4`FWT-J^JJNDTJfaR-~5<8^FmzbK{2+B6IR@(
zj=YoTnw}`o)tR6q>A>++K+FFbzss_|+GmlSSAQ}Z1fTrHlao_u!ERN)((h%uyi(JF
zkV)?ul7wFt6;4@G$uy~U&;AFQYr2YAoYlVwbME@Auf$bfWPc-8q9*v`n$A><S1I~p
zGiJYka@qb~*M=vR`YPJ*|92#Pt8el++ce4G$)(%;)7QM{xESPF^Q1OO!7nL*>;B=t
zPcvs(Jlwx=<t-ML`6lWI+AiqVGnH_yDOK1yo#j?`kI(jR=bfC|&Iu-8DwyXLF0{yD
ze(R|kZ-qZR^MvLm3DgJgz7}F{_=`d0&+`n{Dz!BoM|oDQKhnF5oyC&vlIC&QTem~L
z2QT`j7qNHkba5%`B+L7YuB~om>&dy?#ZttoqWB|&G2xSP^ET$L=xtvjbB?}X6I1e7
z?xy+C?ZR}om0X%aY{gXvXYDvW#dAUZ_6ILsdCBf7mF2FFGT?da=sQ=p-bF@hLrKE}
zAJa*)ERBz<%lw}ym_OuHoc7pPxO3mGiSGLQrv3BWSHQeMsYYz#qMpNIlSF3Bx|SVr
z>ED^@6$&YV;>uDLb(0V6Fv$>OIOl$M!M=F!)~(^JxmI=F4R)~?vOh08dML#11k)p-
z>D>pM{_p$ceb#-8qeM~{+Zq0_f1&lxx4f>-&?>q=!}m?|0=}p<5rsV+@q2f2{x&HR
z{dUi3iAaNlpv3WjWB=1r9Y2aLVRDMG2t55<_53fN857j|g?9VeZZnR5exvA*Lecg0
zM=zVoy|g)bxI!U2JCc8=_lc+8Df7?zy*lvi@Y@}KB2{^&_vHD#sgySVU^;WkWuu^X
z7wTC)g>TsPuIlY+2WC-5J{I@WEPotZ)*t)!_0%MJw)7oYs*Rf`{Aa3q@Q7=H)+&<=
zdIG;XZiMtP?f7|SRn`XPiP6bMcjV68IevIa$lKO42Nh1Ntz&y==o37r#C-m&TP_?E
zvxIdvcq%N*dChQVp2?Gy3^jk(`M-K6a8{Q${jinEw)(F+OBr=7_T4)EbZS*-CZnl^
zPEDt>S>*jsF+v7&&V;_+vPpeT=O>L?*RJriuhl163>NlmTmQ+ZK_gCq%X5$U`S&N+
za&J&5as1!+^=j6I8*Ba?`SD`MGMD|QeUu)pYH6<Qw94dVto$_JAjo4XzkZ@`@Rw7P
z{n>9Lw6b~UFY9!uU-`w#<864K;f+b{4YxPU*?Q0Kj-dITiV_XaV^805`9*p8swXYK
z^ww^=^CN3BEzO_<rcOH-Su(B+P2k?7^o8SrL;b^yw8i=FUr)JZa>uaAMt#bw^DPGr
zzA8*{NN>Kl)51$>%O071nWC~rVdWVCo-)4_Jze-_hkD&;dB0*JZ?<EgN}4kBNzuc~
z7rd6=;M=;;+@N!Tr|*(ala?E`^RgWDTxa{4xAoDVyr5gCooo5q*Sb$LF)+-ZF7O=M
z!G1eE-v73N$kF>hMZ%}5HM;zpdZDaVyQJCkf@AbSl@=xQvd)7Wjc<Ft4A}qs(Uuj8
zthe^|WM;)Jdh+Mp$KKjUTl}pj$ZxgtY`OBGx4*S#;<Bdepu1IC>vSfqTv9&qT)w{k
zf!}wsB1*T%waZTl{I_Gib&FYvMDspIkuUX~N=C{z+ES-#8id-F6tq=%7|gjG!1ZEM
zPn*l$$3eH_znO?M9Tc6IbuagAR~iTRiJauz>N44~&-0}I$;m98l4$Wos%~{5$6<|D
zMo$L5gP(7+_m=HteSUXg=4t)k<rCG8UH@P^@u@)t``hNU+h4<O1TXAb*?DBHnpd-r
z<?=s#^-}vx7fA*EJ8-mRx^|zdjB=mvL>)Ez11=Y><rMmEgaopRE#vBy;54|Daps7M
zLJ$|{HGf6ssn4DkMKyKp<W}<#V~+mrRZvl+Bq*GIacZ&RQQM2BEX7uaeE9lk$>TW-
zCw}Og^Yf17nV4QNb?bVLrxz>|8=v3!$)vBb`sSzE*EZLy^G#+>zqm`>N5xA>iMexP
zuGNgaGcGh4`Nuqs4|?Xv^HsvEe&;;zaNC3h;@y|USE}s@W7ur@{Y*@k9nZ7NQzo0A
zHW9xdu#)}7!W{*H!Rr<uWt!rqec*j(rR<c0IzGpy2%qrRNZoaJ-$N&MEk#Ay;HLb8
zr<Be#nTC`$=uK6*Qg5PsR3dci{374vP13>ZzVSQ=>wJALp?qQJ(ucP%eSJCm(udrY
z^*1Zue&ZBYKJqEt#{Zt=Nsi`uUyn*fTz~yQG4;vi>JKv)nthI4lpV`H@#b5z&AJ=2
zYpXMDl!T5*l&EkXI@<N?bIGjaZSl84y1T>;MNWR!3ozAd-Jq5G_%q+1T=^r*w-(k2
zwK;uO{IP>!XW8PNi>3!XxwUThqWr~M7QVgT6J!#4&^_P%wn^xPt?T}0%v$nu;<tl=
zpJtz3^^ZNU=(D|OT&Vujmsfw~uDJI#R(jR#xi|As6FghrlB_GD3=9uy7$+;5iq)5=
zq`?PH-p0P)w0Ixy-+RkBCEiTfzH@fV%(~3<TQlwIOH(-h`!IFpcD{LAR$r|z@!G>`
zQ)=?;|9Ag?zF+_Ecl`86^4E6Vy7$sQcfI((jfKa|zJ+aHSF}|3&D^@}+m_DH-&P=c
zH~a10eY=EXSFXJBEM0Hgl0zyVl1`njf9dl7y!PFwJL~k98ML<4xVx_IUa68|e(#&p
zrS<E|L?vU7y^GtmQ$k(+F4xO@-|FnSJ<8Rqm)dXDd_9dT)b9k>xv1om|DP4#EIGR)
zYx<WJYwuZqdc3Xry+X(JPkWVZ({6w7`!i$h{okJ+Z>fKOx?lMB`xE^)+4d>g2WtP|
zn-pnX?=f|btgY9MsI0J+M$tECtzOiz^c0)og@p^2XRp}&d*Lsy|GAu}d2i^<+ZpuJ
z`c`3lxBH7TOZNyCh-4j{_3s<2Q9<*Ty+SkV&iMar=XUH<^xVqI<XxY=>i@E6XXn1m
zACne73fD?H!0_+o`U}&wvI4%EXIb009o4khKlSL7%eO4+KWP_rufN1&zGBy{sWFyO
z>Ca~eW;uRjSm_Y{LHKXK+)u5yH`lYta;`iq`co->g{t12eSLe=yKif_G~E4pdW-f7
zrR#-PY?MCjF5OpYniH%Xyd&z*cmMbgr%To{>~wq86}H_mHKTKX$n8m&OI6<0x9*#A
z`G4#$sjQhCI^iF~Gji%De!lmj=%v*9;`z#T%lBRRKZo<x-`!g_#os%vkgec1ZN;<K
zpX^s0nrt8QbE=kl?%S`Yx2&mI-6Ys`p6UKI{#=m<71h28MiZJ=Wf%0ayw}|0Ezho(
z<l-bM7cDGOl&<$l^K+Sl&no}JQ){~I*sLxbc7HDE&eK&W=<ZtZl!uZ1dOgpEb?*5W
ze_ZrW3om2vUg7E}&M=WnE?Riqjz@ww=01EBD(HT0<JV8FvX?q$E!wZRcUE(D%l4*!
zxdNLCr0j2=te%{l?apAxSGHoq{=$M@u8>m>hTmLgJ1)NO{ny>LXw{25dySr@+<DZM
zFWzHap?~VFS%1>bTWvSnj@wCoe9JJq-p^RC>i;T^L;7>l<@cFqWp-TX`}#0UI-mc%
zd%wo%wXJ`fVmMk2-2RH4zo2Zl-C8!f|DWx>gIg<7a%0xaHcb%Zjml~NxAn=*dHNZ<
z<1>HT2Bz(Nnp_(t^5~Vk@zeI}dM}PI&VDNQdDR=s*9&6rKJ|UU`s3WjQkDx+*$P=&
zJz4dqlV2Ye<u?|+Khyi+UW5I-%lH4&E!;0A;uP@XZ>Wy7!}7})7|lv-DoR|d!gnyo
zImI5>axHP)oK-Kv<SzSKOL|XIdcnTJXbP{JRNdnFi2}aAewHQqu3i|<osnXc@u)zY
z`$ksPg^#xqUWY5p3a)H2t-PhG%$Kjl|8$d4|JNJl^~T~&)3OyM#lQdLnd5T7<;;Rd
z3d!=ZiXQ5AW!Xo}btVS%n$26`9Cl&-p3_SAR%r8?ty6e;d!~iOLG$*h+wat@=;k=T
zx8rY#Ow5(}LZ5fMzbGbbQzr2t(Dhc$tpfX#6BBFOdiMR5daoNVHRsd?)rx*ucF8o|
zN>}^-*p&^1zi!pr>|ezBbMs*yCWa4O_uSu2Vl<3*&2syy?D77EYEJ0AW7XZx{dud;
zS2pBs`BitK=h%+zuWvtIcwgp|Z_PLJ_B-8g%-dt)?z9Tm6z{iu;uo{u@(DXf`cBEN
z8~0_3^mpBt`IOtD@nyF2yLI~Md_Qk@sUBL%6T8pj$<--)mMz`XaHW3Ip0st28!p)O
zKI*S)S|Ft0E}HsXiD~urjeVIROM14>)8sI1v@3KEc68tjI4+;d>Zs}{S8pQLA}Vhk
zKBH)hSc^nF|2n0A8G0N#jK6Ly-m9?W#}45hw&n$ol(&C$7jz8xIMI5|*TZ3{Z@P|0
zF>RP<%)V|%$5p0}{vAt;mK`prPnLhjq8*Wxyyb4y3Wi-1SIqHvvu|po_G*<yi+6w4
zU~PY}h-=Hv#f$aCSC~|Mt1Nq%aAou96x$VjbGKT5OFMeZfq(v;NTJ5wSxb+(xu;mH
zJlf_Z^epG%N2eH#S0V|UYsB=#mK3^9d}i=yammEZQ(tf>pIx7G$TaF;25()V$Fd3a
zQB$YyT6OAGbJ-uyyIb$42P!=7(mEcrR`=TBO(yqv8`R~a*^)dRtG#XcH(io<pI7p5
z=b5{v%^WR1a(WBj9iQWqxWQHCSkba2_NL4HR%eT3^8I8>-OsPi8}#VP`;}g4+xvI^
z@%H(1cg;HfdE5`}F5X|YXLi%Sx(Ts<xh(U-ww<o8(z7e-{i|XxbDclxUV+o26K;3R
z7DT_YR`J>8Ht|^HvZEU86WzC(pZlymi>0FZ{C1g7H)i>Nd}6b9*W;$%!}=jEM(bV_
z2b}pIx45@4$G$si;u$T!l1r)j={2*Yq`p-@*Ekb<H*%R}W8*WQo+tK&PZuAwT<H1u
zuK&Kx6&`(Jd+UD)ibwx`d?7w5ZEv#n5{pY0rY-*zGjmg?(#1ugtao<@Z##Y|Yf@R4
zR_+q{H|;aU??pF+wWdCPqBzIkL-NOUUAL!HS!CC{9x=O_z$N;oO8eUS%kQFYz7q3v
zepb&o&A|GfLc$~0`w9CGhV6K{^ZxHuONAzGaXj5>Xw&t>c)eABVg2j=BTRSI1HZD}
zd|`R|Qt5xS0|#_v&g%qtXKk3cFLj#3x1BD2*QdSM|I*7;ON!I;#IGY)Hl=6D&;0nt
zzH9nM^-0Gv?tkL3^?W4u;^L-FDz7HL<o~6+DeL6N`&*w+j4C<ZnHko3W#6>BncXv0
z{7PJI`<b8eQ<4ylmaCfJxBtzi<@KK?sEWLpY-yBq+G+aKqs{BAA6I7Ce~I|G^;N26
z%Hbc!Jd8ZIS<k$a*6*0-zIxNsLw}6BthTJWAos`Bue<pCr3|}IkBd+8{_WJP%(*M|
z>$hN4E92K+?=IgBn(*G@nqc}G)yYkE)2r3uiXW|&i1q4}S$De9VBdy~ste+E|IV7+
zR`35xe%^aGL)D`PXD(Xs|K5$Lt<q0JXBcd(V>?<Q*}C$={K-8YR;lM-wBIV!4CS-A
zsF=7e{inCZ`3S+izEbmdf11E>?_qFxpvnjBM~%gDwT`+mOKvs>t~S2*Fr{bX#*_rJ
zSGSJyJlid`DL`eux$4(e+q@e`16<rb>22L_u{t%Q-muz|?_2vGjUt(P!T!w^BAcFk
z)OP32E3>_|*xX-n;xF@Q$JGr33~Tt0<R7cQarIzwf4=xS&Pw-RTT)})1A=`_H$Kx}
z{>%T@kqtHT7BBtpHZ}f&>YV>(pDm(}AD4-V>HjViUnR42cE;KpA-~M}qx+r}FWFbR
z?7v!nbAD;ex$X5=Y!u(ms=PD7W%9Addyh<)^Vh7MeLPs{#<PzqpS+uG@}7NEQQorB
zMYDf%Nnn5V-={WyoDVKN=8XOt_sF}y_*~Kl$tOQ9ZLgA<87#$e+lEhCWkbEfvA-U=
zGmon#&sm!PwZe+!w#__`eUYDcdQM);Z}fD}xlgTs-{mU2tlZPg@uOZ=`F4xY(>;CV
zLYwTqKR<Y~Vvqb*lReKYAAEP&`zvH!&v$hR7E6Pzts=q-NB2KJY3^=(;?5C<Ct;aS
zxU1?n9CSN>d^1z#>j*XF$SS*&zi;e3<2%n^J^s+=VxDN*`Am(gcSIccys`REtB>LN
z>YtnDz0Xm2@-y?i@Ta;rb@m$JE%l6><HCDbjiXc2o2I}2QJo&6bD-&oQM}OtgBhCJ
z1D}Vm{S>-4Kl#R^pDtl1B>r>H)VJ-wxn<J7W08*yrt81@8EZKI2v?0!ZP@<mPU$^;
zq3W4Sx_{kyz5nFnkGc=scP#mT>W$y3nRg~$;hpE-?Qrh5uysz7gA&h$J*E-XA`cv9
z)t{Jua%%EH=4Jzaw&)Ya3k8yxo_HBA3^>Gc!>Nn&#_fzvMGL0?xb!<BeTLVr1^27}
znpfDYV?AJbX{Nt+gUm14{M`k|`0CfQhi%ljS9|GM<A!}977LEvw8(BXdm!=t>67(p
zpQ{r0v)P%8{m)BiOxm(pSALT9o`-FdHN{sL-EIr7pU`x$hW-9iSI;$D&i1nCO6UZC
zh`MwA-odKe{p%ks?{<0<ekW+fF|GPH=I<T9aL-si{iDJBYEIJ%{tu^4*lMV-3f(yW
z^X~O*U9SKiE}gsQcqS~l9k_&X>d_jT`K1}{vY8h3IeU5ex_legu5W2(@nK(mL9WDh
z+k3;XYn#~^Yuf5BDJRc9Sky0V)D!QneE3(X&80K(?#r70zW(v6Yl<7gt~t`-S9_8j
z&J+pTXe~;}Qj9G$*czrFWWhD%!Ryska-2Um^yx2Fig|XCIdS$ghoiUVEn0A3%Zikw
zN1|FUcT}|Mi%3TZp2}vuvfz%G;?G}QmdD&<9&eGnclxCL);+6()#{_l6hyk_$Z{NA
zoWUNZD!7&TW8$LI^UKq8?rq^pNr^7d>|VO@=$2y_ZdC0`PCsS8?o;KNCx>$kpWb;C
z;;<oq)>6+!6FS>f`7*!h3%2g4`<Bna68KeA<B{nt*2=i^0UaN!@^~xb&g%+4C_TDd
z&|}dn?SMnCrPhb-XfCu6SoLOJ{j)50{vGVsH>bu+Pwf0quWa^k#*L03$2mDJx59tf
zl!aY0W@B9Hem3Ltcahl}U-ru1+qq=R-G_5yPw9OB!t0)XG$;Suy+f4>-K%nMUN^k$
zU_Jk@?Vaco)q)##$L4+y-6GIovWDSs#<Hie|6_hWo)V$uQgv$2$?m3Vk;Oc}ElxDo
z8&(_0G8wK3WZu1war%|Zi}R1Io%7v)f_&R|{}Kz1_a7tvUsS)={ysbPH`CgpKK&1J
zZ8y1}ME(4eRO)d&^{vO$er1!j;Z?$=4f}V78}04fBhGaC_SKCoPP)^MX4z@(vw!Sb
zT%y<QyCJ(feB0AyH8In#Zhvg&;J?4g=0=>H4}1OY99z?@4=VD{Cq-5MXW3qK&)%_4
z;-3A*hYEX+Cu=X>p}ZjU+(*`XhYhM5W3=Zlzd8N!`&|*Il{^&WjweqGpR%zpYsX&p
zpZV7$G^U7rXN;OA`lLN8IjrQuty^bIDlYvm_FTKCzA4)B{d@&`p7--#6bRhY-^9Fc
z{nWSITTWT-J5XOOk)}Uk_0!KU7&|1=qwk)&+IL>^dw*?1cx>Gdru+#P+a2T*O8(t7
zl7H}?^&tC>wn?YUnY8XbICo*O2AAcW@M8AoGoAnVralw6@nrv!y0o{)r&S#Jd@9q*
z@q+sWpV;_qk>;nJWPkN{ZRN@^|M51W=gy+pX6J36DbL8Bt5?47Qhix`%6BWBwHJO&
zYxOGsCspm|{9iJByZ-l>75V?1Y~OBD|1YFF-7unVYTSMC`g-R#nf=yV_)1nUsQ<_@
zfBK1|QRk+uRQmFwS<PxumUdRI;bzft1ErFlEoNB)4|XrJZI|Bqg7uJcM|Ow9$(K6{
zpL*YM+#qc#rJuQb!{1%OxpzO*Up2OA+xA>=Ca2DBX7@)oXUKYNay`%Iv#?^rp&8pY
zTARA{pPqJXM&7hp{~l$x-K^N#rl7v~y1|KpO5R?c?&}vc9<Tp@t*q|auk}6N1y{3m
zP6w>7ZJ5rQwtVxV36GUkwcnL|{O_~*tIVaK;(R@Q`Nz*DIonvLPt-ZScG>Tq#`=Tx
zFL&tJd4)#%d|6~@cEUJHq(MEaXIiv%WM$YA3DdfUoHI9AjJL`woId{cyJ6d+1!i{z
zqB)O+e+-(n=}39j(Tbb5Ke_eWrS+}|Xq@r<)b1Oe1&iE8S{6$rHAoq<E%wyC<q*@E
z{B))0gGYs1?aJCdW<E-jSm>A5wsF;?r)#$I7uE;KZ!6rlkUw@pH&-EBg~s*z^^4xD
zZjH@V_<Uj7O8*Jk-3tt@dJRoB&U>XXE5b;?z12`_<EuWkUtiB?-*}!s;fQi3@60xh
zsKd*&o2~`4EplHa9(8zyebx&_=9H4zTF<tMXeFg}dV3$ynfS7Mx~g05#Z4|NtiyEd
z-$iB^?-8%9=bzjr5xMA+sJwU0!W$kNg8uuK)Rt~od}zmuuqVA2yxfoSOzfI5Bib|E
z<&5q!O}S^&F3a(LP<{4Js^;eGRbhr)oR5QqBpFj)JyLEk6j2S543${;D?(E?wJz(~
z9<_=oM~>Zax|Niav(`a}V_)Yy<L=c>tY2LlXZN(~gdg(=UstbYe^*&Di&t=KpFvIO
z*OY$e%mn6(np4lORpyO<`{Nwb&#rGA->R2}uUpx3Z+eHQ+dJ<ws}_G#ty*oK6rXfm
zc5T@l&FjY&&yRP!Xf1I$K7HY#K<NWJ_Xs(xQ><e4m>$k_qtvyK;qxai2X5((XOCtW
z9&manK6Rq)t)dl5amS+->PuZ7f?SxLv)18AN1yugGmlm!IJO2F+^krwz_m=e(bVPO
z6{lT`60&q-0}ax}!dbbW^)l)7K3%&-Om@odl44sull$|M?7nUNb491VS3!Ptd@|?8
z8yuC-M6-3@yLuM(9pEzK+tavS()NjST-(aH?99F@Zk8J}la~DDIjkL4pO)jD|K)f>
zwr)S;hHu6{yEf!XY%(_OaGJ2$(MIuGT4rQ(#+MH><aQpCN)%guBXbw4V;*0-!3jf)
z7PqebiaP6WM5jN=zixfUrpvHgb;<K*Z)5h!q(Ap?s_`|Ht8RTP8ot^1tImnbe%l1R
ztIsaAycMl@<iZ@uw+C42e7K!I&a3xon)|2Dr)B1vjRxA0zZsN2f86-l+J4g9?$4Gc
z%ba?J_zbcVOiHAM^XGJ{q`t^A>8#u>?QdrG-c9ULMbn-H^L6`_TF<CYd2;?;xab>x
z1)=^11~u0}0f%(vi4zj`{1w}_&#3icK;RLvp6nG~Mq*+WN0bvAJpyHPHbm+MZg8l-
z7Jl@4$x(()rfIg}Nt4pw<SPpGH#4ZYP84uht|rEG<JYMK=hTZ0`7#fDf_q*DURZHr
zVpu?@`%x}E*NGdO0(?u>Jb0}gBB59^vCej7M>nU~$BY?KZvH$zYef?3n#%311HWE<
z@p$)P&#A0hg)96RUpsd!*(rZ$i8_<hiyQ^MdX=gM0k9hj)ObYmEN)6vJP=6#mmp|c
zBfn;G>(k_W1)@K9@_sj&l6BDZ&riQ}jsKe>7F$%d&wBRy{Q0HHJt^-a3}4DCWKU?_
z(azE@6MjEN%iDDF!&AYTr-MtM-ae*Qv0nJt?O)rile3>r-+ML8_v*7l$L^mro*Z^D
zb^6|`^?KKLtqb0x%DE_eTF*)S>eZ=6M#uJVEl=LP<iw%*r|)G=KW=@`ha<LPZp3{%
z?nM`}Hm(yD*mzl?dUffhZ4Pp>X^)rc1b0NY{oDJyk!^XDullrk3K|t`{t3I=4({f@
zu~O0J`>xd8Qyn567f<r|$g%VM)fewh{`bwg*}oy0MQPu^`u6pg|CMwE7c>69cH`vH
z8M^%MJwyVZJUM^t;aNS+qC27|#aJgye0*D4*G>J4#-?*zKhwih4t;v*_^93R#Ppt%
znw1|I?;bj<EpOE~(LIcN%|n6X3l0nYm=%{idyU1pI4hOKrHd3p{a#*4y|~^&|KY1$
zn|E*8?!Uo-m;1x=OGaMP>bn_#eZ3ZWJ0-94LHZk|MbY=}N&a>a{b<)`u`}Yl_RYlJ
zMLs3|Kc|I$n~`t%)G6J|)3YdS<<bOcyKhDB#CTbmChA_8{c3}Kw(g}>-cS6K&lX3^
zva&t%d~T5Qcg`mEzwR2JsvN8y$%{=m``G>4bcxWulJi>T8MTru%KT>@efX#R{##Ip
zYw(;`o2>rJqmC4(?a>N6AjQBCIeq_sCMEFN!_t|o0Wvn*zl+~}^e*bo-PqHQx_9fR
zUVIeR72WMzs2_Ak_SB=OJ9kSPnOwPb<M02jD}T20{hir9MUzfi?my`>>ExN8&7l(~
za56F+&~|8?+<o!;y_6#wEDQ?#f(#D7qZir<+}<9u@0?%NE)9kypSc~a_1_l0wUcw&
zT*zF1g4J}3k%)4M-pqhCu5)kRJA2_;_(B^V)wCS`b#j06BHqtEKIO^9f4_Xs)-wI}
zJ-V{En%7@ieZw=&b20m;rTv?!<2OsmV&}n%&MnFkDgi#`JU?txj^rMnm}b1p!r=7X
z(kI@BJU4aCI-<N>^_%1LEe9hCHe9&B=g`i*H+#hWL;luxGqfBH>ay&<5tjAx*~$0+
zcKAA9NqYQu+1nVIL&*&O^H;a5Q(NV5J5(g`#?Hl^>Z;dxb;Kt&`nwh!p4aZZpx|u`
z%kGBs1EwETCg)olY}wE;w~zm_!auR1&8}aSB04tQl6mXbk#^s=YFo~cvz_)@$Ft6w
zzP<f${n0CK37gr@ZK_whQdIcxtMw*}v>gfdlE#zo6kIC3w=wH_+Qc%U4PR2ixk3z8
z-mdnID#>@vTV+@Dyte2y!*7Gchlww3rrlq?`i9_xw5(5og5qLPJX@0cZlqg_+xDM6
zW#fI`jPG8=oC_W<A}z1KEb<opa#iG(L{9ky8#Z2++Dk#3mTqh~`$MU*{=b~GI>Xoh
z^0${%&zoZ{$Y3yyks*PJgHa%wzuH=yJ5Fx}zX)Rk_mA_Ja?IZCQ@^xfW6A;N7jEzU
zbFvrhEXm%XF#A*GqPT;+Ca;S6qi!sCy=cdzKWe;nuW!s)c$2YM^@bZ0&m;DB{-Zlm
zrY}ipQdrpV`q1>{zZKRkm~MYPoiVN6PwZuZ?Twz66^lL29GX|ZedQC~qjz3>d%xnu
zeA$~<+k4%&^BKQiCAP8eop4=&;$Dtg2E*@t3vBI6FKK<>tr-*Q{%Nu1$*!H6I}cXK
zKNOZ1*Dbow*L~<L>opIvOV5tT>^-4=wx+-C?Zf7bPb?Z4+xAsH={de@QWEdc^xs_n
z<!03{TFE1{WJ=!QA7L9*KRw#6k*GAq*y(Ea$6|rH-1ReBkG}bP_Q||CQ9)k2ZdNE8
zrFxXzZraI~o)v7Nm8WO+plAl8%X8;h6Bhm9?iUIC8vZ<PiV;u0Ma-|5`BlF{bL|sv
zm*@YpUaHvGo+qr{>}LJv)-}IoyOlb+E{}aD`ggn+sK4lb`sc>^r#bJ5JaJ9FUH0qJ
zUzt1BpLb_3(*2NL&HP%HbEPuNy^IdChxh9F_UKr=duKOIYx0(CxqltkCf7^VE>x~8
zVg9$Ma`g=V+=AeFRdWnd6%YI{oqsrc!OozDCF(ElR{Y!bt?-89QTILHU$2|Ew_(+1
zy?O1653ZAKn;WgeS)Vd_)wKrE>@AL`%}X@b%=me$_)q2I;`ttj*v@tc|B4G_%lUme
zZEt<syiB)~?>yE-9O2GxQCeQhXTc%5<@v%x&*~>C3H`V*|DIQ_+ugc;zvg}BTK%2A
ztRZT5o&IUa^#z|2*c<mVN~wr(?b-M^i$#$SC4-ZcBBorPV3Ku=r|fI}!;PoEG;f)&
zd1i|9j3<G&Wd1B)^0a)ffoNA)t;Kq^^m#8j^RJnlN_^Ya-9G#O`p;X#n0jB&*8Y9m
zzW7~SlGvrYl`)5=Z_u5keo-XiLgj_ZAmyA_n!mFb|J=L8MWJtkzjK<v$H14{-o2Xn
zRx(&N_r}8=la@=We@#r?eCZdbOYZdgMkA%CleB-VT0H;jREeGPd)az^JlXhLW1&Vx
z)dsQ1tWO#T4~Ji{vq-i6pZT-qdehq<mu`m|_&<)AqxqN5_(JgOPrVIJLi}^5J$fTp
zzOTe1)k|wzXIIZYvu{GLg8sjlUBbZrHB}`{@Xp^!UuTMMei>B0Qu3rgnVy5nVjF(e
zJx%qi9_?#koBrs0U)K@kgOA)F=-m%n6nb{w*2jBZ>j(d9UVibj=#<IRo=%y5Tbpg2
zXXC<caZ!Hxsn_Zs`|IRC_itG}Jx(R*+ll_@O8L)sf|49rE7DspJPDh5D)`bBd*8a3
zjdz43>}-$BTFpG&@1mN}bGM1>R;b2!uj%!ZF`D>9_*%XFFNM7)-)#KxNN|QaXR`5(
zdk=M-DpsD?tCk4L-(Tn@#_~w!lbguGgl|`*TJ9?DUUlHa<JXS-VXE`m)?L|RJa3*0
z4?FV<e_63bix#Z4JM6$S+uiT@$MT9$c8|?`$!g5A%he`mI&b}&chqWG<gU`<=dE&K
z%5OZH?B;wt`g;90hWZC>Uw=<(W_y0)oZ7wan_}-v7KR!({AIjuSv&jHttB_Lt{k72
zcF4!k^?wuJ{`JnL)jMNNXP50&Iio9EeZnW_V^Y{Q;pS~sdyg>ReE#IU)Q36R+gI4K
znOLPQQ%HYNoOEL$*PX{k-EY7A@y`F|_xzW6?PLAg%u8#SUllw3<^OeQO?@Q$%JZF9
zt30PfSH%@SKl_DMspIbRmQ~l!-2a^vwlDZA`<Eua-3RBYaF$;@WB)d3+y2~`5>d&6
z_sqU{bS}T{JjcOFv-)?T8*dEHM&6~>v8~h6CvDZWYS}ZL*JVm7KhG!2u4s!QMSqso
zw>h`EjyUe#@rfm#b$g2a&TYQBTMb3(E156v-1<CTv5s}eoE@%WjvH^SYMfYD7m@3F
zz$0CB%{H%uB}wY9e#rzyJa_sqC81wiwDF(b*Jr6wcQ>3mT%?{XXj8L`=hbzO3w`rG
zKc0St!9(%MijRg1j6zn+I!WlQ3lC_RKlkWbZEY@Pe~#UX>R$Vg@TH6E9AJ+7TJHDw
zLRY<_g3hD*y?w`B)Lt&Jw$nDNzH;cmid!$+_FuZIcK_e)$||MJS8sYNEUTKa;qHpd
zv!`?)op11eqI>44T1NjjwFx<st2F<%{EImLJ3!^aDna4OW!YATgjRoAWzjBrzC(sp
zk!!(q=fJj@%o$pjgG8gBoGRbpyyRT+tfM!a&nXqlme#L$Za7m<-mqWbVQsjAxUKh&
zT^^6Lf0SHyt$xb&RPvzyq<0PtZ)Tr-7TEvj^(vc1{~`<QQo3$M^A@v;zEwD7e*fL%
zQ)(6oZJQVPa%^7oV$#Y<=YD8(2cERL`|Mz)&s_7pkKgZRnlE_q$OH$cyhah}^XEl`
zyBF7=%RIL7+sC5`uj>CATnl1;9p^Z6;`X_WGtW78?mlCo<>I(m|I_^0Z&@c~uM$5I
zy@0b%nL#8e)bLgIg@TLe3q5X2Tocl3(%)h(czoNZ`L1VeGL3S1PwY=llvuXG&f{@O
zhSZ8CvqSC&XYkCjZ4f(Fx1y?fZ{L}d;<}|Rhq&e*b|`R66S6Pb=P>tmeUo~9#oQRl
zc@056nzQwrgj>7bezY^=cyh^*W!j{Ti3>HK|BX47m;S_nMQ)<>r0VylgWh<)JD2fb
z(|*yf>HAG=?y+s@|0~4T@ZKQJujt;tCjmu*3nqPL*A$;_zRxe^?1IisQ(t_NS*p8@
zKQ^8z?m<@e9iEfRt~l7srfDoq?bfW{>?#nYczb2Chs93crl=jB9N}WqXLfv0Y$><D
z=bu&5^YUaJ=SlZ;F57TsmAz{niy6~R(;`Bv8NGCiS4p0FH6@#O^{NkT0;hJKUl3@#
z>D=m#ts&E{|2h%k`?1wSxy<MTlj0;h0|VwJ9quPP3JY0+-fo-DEN<ZymtJ5I)l|S=
ze{aKe?vp#GXs&RTZhmRt7IyQ)lS>OjK2Mw!5qD7j=*6QtTU&fqq^Mk2eK?HwGS|k+
zD#?FMHfy^lSl!xvvOsSBnQcOCT`r5ZUhb?7Ix9b;+w0YB#;e8lh4*dF{kZftS!3=m
zX76lCkMEJ&nU}4y{jj=8KHEpbATY*F@rma9*6-f+CwgTs=>`2+Su|JJ=5x1n`5{%G
zj%26sZTGcxUfjHX*(a{$(!TRrevJ$2TeO+?Eb(GF{pjqg4bo5VGL>$-T($1nBlFH>
znPogP{#)ED6?yO{@9qJ~x?NQP)jwHY-&%D~YTre-AHfcbOT`X93t4^1XosMjLfuxe
zf~zUV689~#`0=iO>HqVB3mFBE>Q79at{R@sBGGy~QH4WpyYJl<Jg00<-Zxk?`@_EE
z@bY<FOX?3cJpHM2`GQYY+QpjvKiBz19ghE&zErx_GDj!xe}=@8z-4whOND$7t3`Fl
zc53Io)k|;tX;n~de`kKkyPt_7y4MBmzg#xkWEy2LJ;zh#c3`{D`}+4nniDqGhMDs1
zeATjWV{^Li*;7|v=Vv%D1*-p-pRJLY7q9gvX_4L``;bnbY_YYD%o!1LJMx>XPk(T_
z_H|E|im1f9))I-D9i<ZQW?t=P4{qF8bvIn6P-^=R&(3zQ$5W*K$_Hx(Z2YEH^?F_B
zJ43IvZx6g~J@)(K6{Yn$%rC0zPfU3Bb?^Rqh6!J63<^$L-R67#W-D{sjM$4ZH;(ep
zR}ZLIA{TN%O?%JbXZ8PI3GBUabz{ZFS<XjXcDXi7?b{`P?IrW_mnz}QZya+I+i^08
zYxb*}kIu!r5`Tza?mC>{$$#-w^z_wT_flq^+vsGGdhXrSlpPn?@1OfPVR>hK_O(^*
z^&iSbEj>3H{g@sv^SI)G<%ugF1U^K#I!t*JTD~oh`PjzppDUZDdDhN8YI{z-w))Uh
zN%g2t>}Am%@{u8jex*<1{5Zvbex85+#f3-q-!PWFvQ|B~wd(ZlZh@@bO>b7+s9w*p
zd0k2j^Xtt!_<PR<sj9VF=p;t@{AgY?Nqm9uuN>z3^(J%Ybca2Ds>jaw_=RQrfuGKM
zeWH{q<qwGXg}a{n!Bl&BgV;-L{ltw6@5_G`e6I6U>H9tJuhRR2&c#T#oUUwLt?0PE
zy!2Cbc-Nt+9^QxAduPsg`DlqP)0Y<#jV8sq#k+!b)m^@!k$G9<c$llC@(efWuD@Lm
zm#5D3c>3cl_rZFxsn-`-7x~q^+U7sG@+nV`xXJppa*yvYN1f{3|H|cFPEAOwgAa@E
zAG59eTUCveEk0kkD-pRQ_2g6ek7=IAmkDiNcHW}FyDrr1K+WH1gY2SpMQ5#wI+FDz
z6K5#zl@?W-{>(~Ue68L#nIO4#&$AtDCnw)3{E+|P)9Dh%-B*P!*Gsnx9e%p<hEk;1
z@_<I??Qesco}4(6za{$lhwvHpMcYl+vMgWQ&}?s;d86C+)Gi}`*5wSJPJjCtYtgEI
z;4|OuN34B2K7B|Q*j1!>xLjJsZ9`C+(#}&86yHiUEUJ?c=C0p3tuAzH(E}5+m&Ye%
z9ec7`q`_Qtam<u!n%lho-O!V+Uz|BbbeHD=q0c7Qd?E^t%+K2PY+L#wp&!N)lk-+T
zTG!~wWqWthbJNex60b5R^|%X*70v%=(s($QxqIF=hl+}Iztz<F?sJ-nUfd}7C?+VU
z{8v7kp3(8rk9?IfIq^2!d`a)##8`dXs$XC<Q`qvCyf>>@B(HnQq}1a3GJFyjPS%V2
zJ^fOh)83=%9<rco`9gdC?WNKh0XJQ;;yiLU)c^VORBir>Egu$kF`juZ_r_w;#XRMC
z2dXcAN}RD+`Ci$tC;skh+d?!YF5PVSnQ4EzNA-4fzRwTmtS-gEh3{D2%ay3LJ=ORg
zExlGzc;SJM4U5`O?Dm!Ack5j=t7}7MmR9?=`bd+`mf-fu8ziK?J_(B4oMyh*c)Dn{
z_LjNNZ<a((PPl%irR~kW_m97?2oSDJtzDz_U7+I4?2?Z)R$US{g^P=oeLhN6Z?X|s
zC7b_s-TJSq#FsmAwoLyMtB@t3`AZ_@t8J@ky4T*r@6vlB5;y&7Ub5q2hCkm{bDqRM
zDf9GIm#wVV(Nw(bk}P02alhY$#)Uph&%H=*j}*S$D3+<H_UmSq+$NPXo+q}XY}tKJ
z+%#mD$FwPvW4A8s@{!@P&gyVVd-G}iLdA|I2}9x9qI|V9_P(^<Q_lmV{+r6>pPUou
zd~jLdCR=XP*XlxDB71}n_uSb3`-!!gct-l=BrfZ)H}U80*8k>q4w$b}dp!NF=O6#&
zzW3@aPwtoBw}tb&$(;8IeCki1&3U8p{!a(<WL<9#nW^8q_utd`@n^w{uaXnZ?ws+g
zloI;a+qCwU>xmal<@PThx18E2_t=Ur^!xRsIB~g8pDslNpOxh~K3l@G?vIPf-#TL(
zr9P&4(MPqXRDA5p=~diZ-?hkY`-=PDgipIzXK$Rl>)^xckIUUP^ImE>u6rF7p;#k+
z%Q&Jww)=qd&a<MtrAg~{2!vYIy4?s;`m*k5%P|?NZEF}5PfZgP;d**btKFu4JC|VU
z%B=zMSA|6OyqoU(c~j={!yndoZN94<HucwsMT>4_zfzrF5VLRkTif$m+a&(h`)1a8
ziax!({f=WuV8{l;i3P5gPxz$lUlqF6$m~&hllhKml{E_+<{zzySf|ZD(cM{+H7mbI
zBCYHs|Kaoxn_eq0A8mL1xqh;Iz;=%s*F(KAVtv`C(yb-kZ7#lge)xe^<)J`6>yFd0
zfveIymYlv6^5TZO!sGM@*CsZ4f9AbWb)tS@MdN(Wt(JwIhI;e1pPH1gR$u2x#((4M
z$G=Ai-4OM1j;!pOuxwXV-)*h;rvk1>r7xJ|JI!3N<d%!~?0rvjs;-$775sN8-MjD5
zyEicm?Tp69WGhWP14QIim&KWOnbs^Um()HcUnz1h?#pq-#VY@*cg#0nx2j{S-Dce}
z;qe8ZdY&!SKN#IazaE!*y0$lwi&^dIDfOTF(^`|%TQ*wl411-yWf8ANAlKe&>6=rg
z9J=?nU1Z^J<!+Jxt>SDlw{k4YL=IW*4bt_$tTE@AaoEnl)t8tecb~P7{;4-1C-<cO
z>oXPCmE~?sT;)8sd&4Z*4=XBI=INaJ^K9Pty2<Q{fnk&D&mOFEnjy5R`pvfatd?(s
zwE0EE^`8BDa{k_v$mum48u~BePaT{8rAOjrsqDkY-z3+UA1a)o9^|xTAM<6!H}3vh
z>P{z?#wQ(rA6S~V*($)h>XJ9p4C^o9#y4xDw*PObS?OC?sJm$M`6VX>=InbVWirL{
zdX{^8fQ|j52ZmSP38mJjzF1M1^wQTc<NCIshTD6OOnO%>EwL%YvS|IR(&_-Ijkl-x
zEIYnLuxReR`|~?CM>5G7{QqHKx37JoC1bL8meF*_dglB3IRe)E>#Ug-*Ez{6MBnzF
z`|s0A`;{r`yUv>~J1Dy7X}5jvjmtMp<0mhE|9h_P9(8{8?ggLrBu>eGB3gf<>#Ft<
ze$$<^#h3o}_S-W@@D|IS#_;BC6KWa1e-TsJ9&V`JwJb+_LiSy~X~tKBE#4e_qw+F;
z-RYy6;k6rs84YT6uQhmH-76APYf#&2{p`8>s)TEE*<9uZ$fhotdTmw6+aK8`ngV}S
z4oFD)pEvUJX$@MRKA&fg?sYBI>1|)M>!tSI$!nRh!}#Na-tD(HbiH(4D$gCTBWL}a
zhOWiNJ1Q^U$Z(&xb4&G2i-#T6dw!K!n_iiC`Rv5K&&nzjFN)~Z2Rzj9+*vl^)9%9?
zcYUxr_tLPWuwVD?osV8TfA&@VSbp$OkXT7z#sMQ`7I({?`#P6AnD^excH`Rqm)Ey8
zm^bn-tmoPGcD=Tih3uV@YwW)~iuSe2sJ#1mFv`;UW!<mX{?cRlj9!^r<0BMS-bgTw
zxMW_w`p$`srgFCz99w%#Va>a``CnIU59WFHzAS`yfzz$ME3e;u_%R|XbIF$JThAM^
z<m<h+^NcWBYSHz=@A$L_eT>rk%&Md2#{Tj>6jL;d!(hH!ef!02r`p=wf3iGunA+<7
z{P>hPzk>U%Z=Rgk(6rUDNgyQP@x@ayD|`-X=)by=Xu33Qo~Fe&fz$DCoujgE|5^R_
zo9FwaTkkKws?BH<%*~e3IVUFg&OM8r{q?hFuUf6GDmT1w=FhkPQgqC6^$}r<`@#RO
zPAF2^<UiFWvdz_gW>iT1e&N|}PqV74L%uOS+<Kr)V#c(YA#cr8jbF7XT?stGb=NX|
z@u#mwp0bDTKHE~2|LH+U|GAYP-+Kt;O=o|3O!sKmuPZ+e*)oP){klq#U(GtEc;9d1
zM~NcMzW2G*|DG@UmZRy&l63RK&Ww}H{TtS#P2%jf-n7Rhe9jU5|JQFO$D7w**!}dT
zF2|2=`&e|ZESPWVR<YSPDkZW0L6y%V$^EkKOZqn|?38xudJ%nhw^aN}MoaGC`5S(p
z3@|u&-MrR2@Vn9fA3Pt0B?G?2_x|}&b?e~Gx%SV@cDG!<<$iweA&so7do1hpd_3o$
z&A%aVmHWn*8D`@DXXbx*ID1;=)3tX(=4<OOAG&ZYY|DZK|2b=pJUp~)i&@fij>ON;
zkD4Y%osGP8H|{z2hx%vP#;-rEy)D4VV0-BQiR)3$YyNGodAs0Yn2#WT-UI&b>p>=m
zbveKPQoStrMp^gFfy7-!y|2QGu0Gj#Wzux@ud(f6(Texa-fVy5esArU<J+(KY-O=9
zxulX_sz0ZG|NY#sTc3|4?lqdVe94d6O)NE%`j$=?KiIE+8|KqcJAeC+(yfd1AH2UW
zs&J?BUcTK)v%k8#G=4fyns)Y2=Tm`&E3dgNHxyZ%y@}oJ`Ni1n544{gvMcjc`E&WK
zq)(ytyLEpJzq}}ZcBL#^aoJJP{Vgv=k1Y&Xz<D`aTX~sL<own3Yj18W7yJD_dcO1G
zyI1#Li_2|Y@G@I8_RYx+r{A62q9pyoeS@*!UaeKrS16yUEZObLxtD`q$EZkG{j22O
zvcsWYZD#5`k9TTX)w4mICGc0zvlpH$&L!)VPww?pl9j!BY!a)mYk}KrR>>oi)nBIn
zeZ0`^SHj-SxxDGeZ>l+MUi`j(b>yEpUh$FAul<uRPA{`he^Xs~ajmWRg;3kG0Y-h_
zi@yDfSW<5G>(tv%9gF05TwD15(}~DZ2M*2Of>Ty)zO`I$mqU`l)HpSf#7S2!{jQwH
zcTz{Jo7+}Lu$E6aXyGkIVNs_|8By|4TPBOgTo%oIU_80$Xu@CCn9|AVoGyD-me;2Q
z6nFjT5Z|fHrMa&wu3R|yms^>C`|brtr5&$$N;=Q5k!d;`HBBLLbM%_+lV1eH=symu
ze11@BGPC}o37d^nPifAd`S+gu!A>6;lj^yO)i+`$^gDEYE&gu#V_sC}_4`@v_cRWl
zZrr@@VHj&vf%EdOrV+0e><vBT6;Pg>QaHn~KC||u`@4dvb{p7dUUV%t4?SGs@KS5$
z*9XV0yG8F=E&l9C)ZgDM71Q6<i)$-1djH#evT3p1%ZIOWRu?F}o>3GqYx?_Kw%aaW
z%THR&|2}i;q1l^M`ff?S`mr*%d}sL2A8#iX#*5GXD7g3Q)bmHRq&2nbPe1it^K<8O
z+r<r`f&!cq>;LIja=zaG?%RFM1r|@@7uR?AX8aeM{qO3(*&p|3%l_B<pSI<n>HiSB
zf5xBc_wA~8yEXBT@~8LGEUOnS_?IpEGC%is_SqAR40;Uw^P4+n&u?m+zP5kXw9Oml
zY@6S_Uwi$UiQUsyY~DAgamAZx_C#sH*f`6Mj)wjx|Cs~48JR?wg+X_*K@Q~5J@{u`
z5)%VM+%#!sMHA486CeyxhESFD`QtTlMh1o}j0_Co47>~=z{tSBu%vMU)AT?YX5q;X
zm^h?3AxBnVF<^R^G_y3*Q)ZZ2w&@3?nK^J;=c0Q4!Y&2|h8+wH3{p_*Kvphk3}gix
zF`ZwAna>zx5eTEZR$iq%GlGGEfsc`aK><a(&-4HpW({$WCJ;u`IK5wnS(@=RNR7zk
z0CsLO+#ZSyX8dcfD#pNY;voZr2-FTph<HzvWtPF|HO;3SM;#d%7-E?bUW4dctqS&<
zQ&N6nQHowgN^)oj9{aDIo@TU}hk@a)JOhIm)P6`1>8ej>lw}sSE6zwPNX20W1Nbl&
zfkZ)dab5-n5Qg~yVuq{<*bJM3lq4J`KvK_{E~B?+Wf>Sw$TBbpqqrj95oCghRUQug
z5EmSJy82x$F9QPzqq?9h9;9D*^8a{Ne9>St(d&kT7z2Z~7$O=VUeKHVP!4N6Kx}^H
z{ouPL0|NsHqlVwg=IMs=%(6IBmqh47WkCi827U(gke8p{D9<d1MI*$@w|k4ttcn>K
zKp54txgd=q^+h;C8)Cq{;?`RhEDQ`FjOyk`-x(QFQj0Uw^WqKT%Zm~V3Q~*o^73->
zig5%#B>K7@q`pm7VPa5G;${$I;D_XENbrUKVVwRil2OtEYZ`>OM>kLhagQTP?0;8a
znQpV1NunOBZiwaI<~eG7XJBAh#>l`R3e^qC37foFFnyDmmt2IyGZ5Dx4$(m*g?fl*
zo_b?35PZrG9{0g_Q}Qt|NTRq;DGJlL_@b0Vy{zJV)bw7DR>DLg?N~!AHgsb#4b>|L
zZD_;e#<V@qWew7(Y4L5NO)<zg^dyl{o|2k|7K*HFpg@vfkYJd?&cI;V$HJh;;A&Fg
vm={=XViIB&np&LbT3}S@V(w&7sP7h0ZdREW;+J7&6mF3d9#Y{~>S_xBG$1;C

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.pb
index d1881bb04cf9702e7b3f9302245c0ff3c34c6fc4..d763a1db0b64229fab618413707f3e369e060576 100644
GIT binary patch
delta 29
kcmd1GnqVes%xY^tm6w5mf#HD=!v<loR>^}Yb`!nj0dJlM%>V!Z

delta 29
lcmd1GnqVfnAoGjeR9*%K28IVh3>$>SS|x+``cCwg2LO@W2~Ge2

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt
index 0bb7e7e..c87471c 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:37:00 2024
+| Date         : Thu Nov 28 16:32:36 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation
 | Design       : design_1_wrapper
-| Device       : 7z010i-clg225
-| Speed File   : -1L  PRODUCTION 1.12 2019-11-22
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
 | Design State : Routed
 -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -138,7 +138,7 @@ Table of Contents
 
     WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
     -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
-      0.477        0.000                      0                   22        0.201        0.000                      0                   22        0.345        0.000                       0                    17  
+      0.738        0.000                      0                   22        0.186        0.000                      0                   22        0.345        0.000                       0                    17  
 
 
 All user specified timing constraints are met.
@@ -164,7 +164,7 @@ clk                              {0.000 5.000}        10.000          100.000
 Clock                                WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
 -----                                -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
 clk                                                                                                                                                                                3.000        0.000                       0                     1  
-  clk_out1_design_1_clk_wiz_0_1        0.477        0.000                      0                   22        0.201        0.000                      0                   22        0.345        0.000                       0                    13  
+  clk_out1_design_1_clk_wiz_0_1        0.738        0.000                      0                   22        0.186        0.000                      0                   22        0.345        0.000                       0                    13  
   clkfbout_design_1_clk_wiz_0_1                                                                                                                                                    7.845        0.000                       0                     3  
 
 
@@ -210,12 +210,12 @@ Period(ns):         10.000
 Sources:            { clk }
 
 Check Type        Corner  Lib Pin           Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location        Pin
-Min Period        n/a     PLLE2_ADV/CLKIN1  n/a            1.249         10.000      8.751      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
-Max Period        n/a     PLLE2_ADV/CLKIN1  n/a            52.633        10.000      42.633     PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
-Low Pulse Width   Slow    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
-Low Pulse Width   Fast    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
-High Pulse Width  Slow    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
-High Pulse Width  Fast    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+Min Period        n/a     PLLE2_ADV/CLKIN1  n/a            1.249         10.000      8.751      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+Max Period        n/a     PLLE2_ADV/CLKIN1  n/a            52.633        10.000      42.633     PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+Low Pulse Width   Slow    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+Low Pulse Width   Fast    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+High Pulse Width  Slow    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
+High Pulse Width  Fast    PLLE2_ADV/CLKIN1  n/a            2.000         5.000       3.000      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1
 
 
 
@@ -223,28 +223,28 @@ High Pulse Width  Fast    PLLE2_ADV/CLKIN1  n/a            2.000         5.000
 From Clock:  clk_out1_design_1_clk_wiz_0_1
   To Clock:  clk_out1_design_1_clk_wiz_0_1
 
-Setup :            0  Failing Endpoints,  Worst Slack        0.477ns,  Total Violation        0.000ns
-Hold  :            0  Failing Endpoints,  Worst Slack        0.201ns,  Total Violation        0.000ns
+Setup :            0  Failing Endpoints,  Worst Slack        0.738ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.186ns,  Total Violation        0.000ns
 PW    :            0  Failing Endpoints,  Worst Slack        0.345ns,  Total Violation        0.000ns
 ---------------------------------------------------------------------------------------------------
 
 
 Max Delay Paths
 --------------------------------------------------------------------------------------
-Slack (MET) :             0.477ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        2.044ns  (logic 0.773ns (37.811%)  route 1.271ns (62.189%))
-  Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.000ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.276ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -254,59 +254,57 @@ Slack (MET) :             0.477ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y7          FDRE (Prop_fdre_C_Q)         0.478    -2.169 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/Q
-                         net (fo=3, routed)           1.271    -0.898    design_1_i/reg_decalage_0/inst/led[7]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I2_O)        0.295    -0.603 r  design_1_i/reg_decalage_0/inst/Q[6]_i_1/O
-                         net (fo=1, routed)           0.000    -0.603    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
-                         clock pessimism             -0.276    -0.147    
-                         clock uncertainty           -0.057    -0.204    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_D)        0.079    -0.125    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
   -------------------------------------------------------------------
-                         required time                         -0.125    
-                         arrival time                           0.603    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.477    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -316,59 +314,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -378,59 +374,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -440,59 +434,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -502,59 +494,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -564,59 +554,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.738ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/R
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.253ns  (logic 0.456ns (36.382%)  route 0.797ns (63.618%))
+  Logic Levels:           0  
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.315ns
+    Clock Pessimism Removal (CPR):    -0.413ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -626,59 +614,57 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.011    -2.315    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y71          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/CE
+    SLICE_X1Y71          FDRE (Prop_fdre_C_Q)         0.456    -1.859 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
+                         net (fo=8, routed)           0.797    -1.061    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/R
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
+                         clock pessimism             -0.413     0.163    
+                         clock uncertainty           -0.057     0.106    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_R)       -0.429    -0.323    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                         -0.323    
+                         arrival time                           1.061    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.738    
 
-Slack (MET) :             0.600ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Slack (MET) :             0.882ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/CE
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.649ns  (logic 0.642ns (38.942%)  route 1.007ns (61.058%))
-  Logic Levels:           1  (LUT1=1)
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.592ns  (logic 0.715ns (44.924%)  route 0.877ns (55.076%))
+  Logic Levels:           1  (LUT3=1)
+  Clock Path Skew:        0.000ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.314ns
+    Clock Pessimism Removal (CPR):    -0.390ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -688,59 +674,59 @@ Slack (MET) :             0.600ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.012    -2.314    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.518    -2.129 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
-                         net (fo=2, routed)           0.486    -1.642    design_1_i/reg_decalage_0/inst/btnD_r
-    SLICE_X42Y8          LUT1 (Prop_lut1_I0_O)        0.124    -1.518 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
-                         net (fo=7, routed)           0.520    -0.998    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/CE
+    SLICE_X0Y70          FDRE (Prop_fdre_C_Q)         0.419    -1.895 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/Q
+                         net (fo=3, routed)           0.877    -1.018    design_1_i/reg_decalage_0/inst/led[4]
+    SLICE_X0Y70          LUT3 (Prop_lut3_I2_O)        0.296    -0.722 r  design_1_i/reg_decalage_0/inst/Q[3]_i_1/O
+                         net (fo=1, routed)           0.000    -0.722    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_CE)      -0.169    -0.398    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
+                         clock pessimism             -0.390     0.186    
+                         clock uncertainty           -0.057     0.129    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_D)        0.031     0.160    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
   -------------------------------------------------------------------
-                         required time                         -0.398    
-                         arrival time                           0.998    
+                         required time                          0.160    
+                         arrival time                           0.722    
   -------------------------------------------------------------------
-                         slack                                  0.600    
+                         slack                                  0.882    
 
-Slack (MET) :             0.698ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
+Slack (MET) :             0.896ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        1.863ns  (logic 0.671ns (36.014%)  route 1.192ns (63.986%))
+  Data Path Delay:        1.622ns  (logic 0.745ns (45.943%)  route 0.877ns (54.057%))
   Logic Levels:           1  (LUT3=1)
   Clock Path Skew:        0.000ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.276ns
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.314ns
+    Clock Pessimism Removal (CPR):    -0.390ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -750,59 +736,59 @@ Slack (MET) :             0.698ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.012    -2.314    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y7          FDRE (Prop_fdre_C_Q)         0.518    -2.129 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/Q
-                         net (fo=3, routed)           1.192    -0.937    design_1_i/reg_decalage_0/inst/led[6]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I2_O)        0.153    -0.784 r  design_1_i/reg_decalage_0/inst/Q[5]_i_1/O
-                         net (fo=1, routed)           0.000    -0.784    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D
+    SLICE_X0Y70          FDRE (Prop_fdre_C_Q)         0.419    -1.895 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/Q
+                         net (fo=3, routed)           0.877    -1.018    design_1_i/reg_decalage_0/inst/led[4]
+    SLICE_X0Y70          LUT3 (Prop_lut3_I0_O)        0.326    -0.692 r  design_1_i/reg_decalage_0/inst/Q[5]_i_1/O
+                         net (fo=1, routed)           0.000    -0.692    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
-                         clock pessimism             -0.276    -0.147    
-                         clock uncertainty           -0.057    -0.204    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_D)        0.118    -0.086    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
+                         clock pessimism             -0.390     0.186    
+                         clock uncertainty           -0.057     0.129    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_D)        0.075     0.204    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]
   -------------------------------------------------------------------
-                         required time                         -0.086    
-                         arrival time                           0.784    
+                         required time                          0.204    
+                         arrival time                           0.692    
   -------------------------------------------------------------------
-                         slack                                  0.698    
+                         slack                                  0.896    
 
-Slack (MET) :             0.746ns  (required time - arrival time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.950ns  (required time - arrival time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Setup (Max at Slow Process Corner)
   Requirement:            2.500ns  (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.976ns  (logic 0.478ns (48.955%)  route 0.498ns (51.045%))
-  Logic Levels:           0  
-  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
-    Destination Clock Delay (DCD):    -2.371ns = ( 0.129 - 2.500 ) 
-    Source Clock Delay      (SCD):    -2.647ns
-    Clock Pessimism Removal (CPR):    -0.301ns
+  Data Path Delay:        1.266ns  (logic 0.718ns (56.723%)  route 0.548ns (43.277%))
+  Logic Levels:           1  (LUT1=1)
+  Clock Path Skew:        -0.022ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.924ns = ( 0.576 - 2.500 ) 
+    Source Clock Delay      (SCD):    -2.314ns
+    Clock Pessimism Removal (CPR):    -0.412ns
   Clock Uncertainty:      0.057ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
     Total System Jitter     (TSJ):    0.071ns
     Discrete Jitter          (DJ):    0.090ns
@@ -812,42 +798,44 @@ Slack (MET) :             0.746ns  (required time - arrival time)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.972     0.972 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.306     2.278    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -8.533    -6.255 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.754    -4.501    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.101    -4.400 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.753    -2.647    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.253     2.728    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.953    -6.225 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.802    -4.422    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.096    -4.326 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          2.012    -2.314    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.478    -2.169 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.498    -1.670    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.419    -1.895 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
+                         net (fo=2, routed)           0.169    -1.726    design_1_i/reg_decalage_0/inst/btnD_r
+    SLICE_X1Y70          LUT1 (Prop_lut1_I0_O)        0.299    -1.427 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
+                         net (fo=7, routed)           0.379    -1.048    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       2.500     2.500 r  
-    K11                                               0.000     2.500 r  clk (IN)
+    R4                                                0.000     2.500 r  clk (IN)
                          net (fo=0)                   0.000     2.500    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.838     3.338 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           1.181     4.519    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -7.650    -3.131 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           1.594    -1.537    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.446 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          1.575     0.129    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-                         clock pessimism             -0.301    -0.172    
-                         clock uncertainty           -0.057    -0.229    
-    SLICE_X42Y7          FDRE (Setup_fdre_C_R)       -0.695    -0.924    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
+    R4                   IBUF (Prop_ibuf_I_O)         1.405     3.905 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.181     5.086    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -8.206    -3.120 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.718    -1.402    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.091    -1.311 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          1.887     0.576    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+                         clock pessimism             -0.412     0.164    
+                         clock uncertainty           -0.057     0.107    
+    SLICE_X0Y70          FDRE (Setup_fdre_C_CE)      -0.205    -0.098    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
   -------------------------------------------------------------------
-                         required time                         -0.924    
-                         arrival time                           1.670    
+                         required time                         -0.098    
+                         arrival time                           1.048    
   -------------------------------------------------------------------
-                         slack                                  0.746    
+                         slack                                  0.950    
 
 
 
@@ -855,567 +843,575 @@ Slack (MET) :             0.746ns  (required time - arrival time)
 
 Min Delay Paths
 --------------------------------------------------------------------------------------
-Slack (MET) :             0.201ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.186ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnU_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.321ns  (logic 0.246ns (76.655%)  route 0.075ns (23.345%))
-  Logic Levels:           1  (LUT6=1)
-  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.238ns
+  Data Path Delay:        0.291ns  (logic 0.186ns (63.933%)  route 0.105ns (36.067%))
+  Logic Levels:           1  (LUT3=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnU_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.075    -0.257    design_1_i/reg_decalage_0/inst/btnC_r
-    SLICE_X42Y8          LUT6 (Prop_lut6_I0_O)        0.098    -0.159 r  design_1_i/reg_decalage_0/inst/Q[0]_i_1/O
-                         net (fo=1, routed)           0.000    -0.159    design_1_i/reg_decalage_0/inst/exemple_1/D[0]
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/btnU_r_reg/Q
+                         net (fo=8, routed)           0.105    -0.287    design_1_i/reg_decalage_0/inst/btnU_r
+    SLICE_X0Y70          LUT3 (Prop_lut3_I1_O)        0.045    -0.242 r  design_1_i/reg_decalage_0/inst/Q[6]_i_1/O
+                         net (fo=1, routed)           0.000    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-                         clock pessimism             -0.238    -0.480    
-    SLICE_X42Y8          FDRE (Hold_fdre_C_D)         0.120    -0.360    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.092    -0.428    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]
   -------------------------------------------------------------------
-                         required time                          0.360    
-                         arrival time                          -0.159    
+                         required time                          0.428    
+                         arrival time                          -0.242    
   -------------------------------------------------------------------
-                         slack                                  0.201    
+                         slack                                  0.186    
 
-Slack (MET) :             0.243ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
+Slack (MET) :             0.190ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.374ns  (logic 0.249ns (66.504%)  route 0.125ns (33.496%))
+  Data Path Delay:        0.310ns  (logic 0.189ns (60.928%)  route 0.121ns (39.072%))
   Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.238ns
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y7          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/Q
-                         net (fo=3, routed)           0.125    -0.207    design_1_i/reg_decalage_0/inst/led[5]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I2_O)        0.101    -0.106 r  design_1_i/reg_decalage_0/inst/Q[4]_i_1/O
-                         net (fo=1, routed)           0.000    -0.106    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q
+                         net (fo=4, routed)           0.121    -0.271    design_1_i/reg_decalage_0/inst/led[0]
+    SLICE_X0Y70          LUT3 (Prop_lut3_I2_O)        0.048    -0.223 r  design_1_i/reg_decalage_0/inst/Q[7]_i_2/O
+                         net (fo=1, routed)           0.000    -0.223    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_1
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
-                         clock pessimism             -0.238    -0.480    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_D)         0.131    -0.349    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.107    -0.413    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]
   -------------------------------------------------------------------
-                         required time                          0.349    
-                         arrival time                          -0.106    
+                         required time                          0.413    
+                         arrival time                          -0.223    
   -------------------------------------------------------------------
-                         slack                                  0.243    
+                         slack                                  0.190    
 
-Slack (MET) :             0.254ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+Slack (MET) :             0.191ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.375ns  (logic 0.209ns (55.663%)  route 0.166ns (44.337%))
-  Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.238ns
+  Data Path Delay:        0.295ns  (logic 0.186ns (63.068%)  route 0.109ns (36.932%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y7          FDRE (Prop_fdre_C_Q)         0.164    -0.316 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/Q
-                         net (fo=3, routed)           0.166    -0.149    design_1_i/reg_decalage_0/inst/led[2]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I0_O)        0.045    -0.104 r  design_1_i/reg_decalage_0/inst/Q[3]_i_1/O
-                         net (fo=1, routed)           0.000    -0.104    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
+    SLICE_X0Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/Q
+                         net (fo=3, routed)           0.109    -0.283    design_1_i/reg_decalage_0/inst/led[1]
+    SLICE_X1Y70          LUT6 (Prop_lut6_I1_O)        0.045    -0.238 r  design_1_i/reg_decalage_0/inst/Q[0]_i_1/O
+                         net (fo=1, routed)           0.000    -0.238    design_1_i/reg_decalage_0/inst/exemple_1/D[0]
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
-                         clock pessimism             -0.238    -0.480    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_D)         0.121    -0.359    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X1Y70          FDRE (Hold_fdre_C_D)         0.091    -0.429    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]
   -------------------------------------------------------------------
-                         required time                          0.359    
-                         arrival time                          -0.104    
+                         required time                          0.429    
+                         arrival time                          -0.238    
   -------------------------------------------------------------------
-                         slack                                  0.254    
+                         slack                                  0.191    
 
-Slack (MET) :             0.275ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+Slack (MET) :             0.203ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.396ns  (logic 0.209ns (52.720%)  route 0.187ns (47.280%))
+  Data Path Delay:        0.307ns  (logic 0.186ns (60.547%)  route 0.121ns (39.453%))
   Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.238ns
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y7          FDRE (Prop_fdre_C_Q)         0.164    -0.316 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/Q
-                         net (fo=3, routed)           0.187    -0.128    design_1_i/reg_decalage_0/inst/led[1]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I0_O)        0.045    -0.083 r  design_1_i/reg_decalage_0/inst/Q[2]_i_1/O
-                         net (fo=1, routed)           0.000    -0.083    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q
+                         net (fo=4, routed)           0.121    -0.271    design_1_i/reg_decalage_0/inst/led[0]
+    SLICE_X0Y70          LUT3 (Prop_lut3_I0_O)        0.045    -0.226 r  design_1_i/reg_decalage_0/inst/Q[1]_i_1/O
+                         net (fo=1, routed)           0.000    -0.226    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
-                         clock pessimism             -0.238    -0.480    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_D)         0.121    -0.359    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.091    -0.429    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
   -------------------------------------------------------------------
-                         required time                          0.359    
-                         arrival time                          -0.083    
+                         required time                          0.429    
+                         arrival time                          -0.226    
   -------------------------------------------------------------------
-                         slack                                  0.275    
+                         slack                                  0.203    
 
-Slack (MET) :             0.285ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+Slack (MET) :             0.248ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnU_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.432ns  (logic 0.210ns (48.651%)  route 0.222ns (51.349%))
+  Data Path Delay:        0.368ns  (logic 0.186ns (50.489%)  route 0.182ns (49.511%))
   Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnU_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.164    -0.316 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q
-                         net (fo=4, routed)           0.222    -0.094    design_1_i/reg_decalage_0/inst/led[0]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I2_O)        0.046    -0.048 r  design_1_i/reg_decalage_0/inst/Q[7]_i_2/O
-                         net (fo=1, routed)           0.000    -0.048    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_1
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/btnU_r_reg/Q
+                         net (fo=8, routed)           0.182    -0.210    design_1_i/reg_decalage_0/inst/btnU_r
+    SLICE_X0Y70          LUT3 (Prop_lut3_I1_O)        0.045    -0.165 r  design_1_i/reg_decalage_0/inst/Q[4]_i_1/O
+                         net (fo=1, routed)           0.000    -0.165    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_D)         0.131    -0.333    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.107    -0.413    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]
   -------------------------------------------------------------------
-                         required time                          0.333    
-                         arrival time                          -0.048    
+                         required time                          0.413    
+                         arrival time                          -0.165    
   -------------------------------------------------------------------
-                         slack                                  0.285    
+                         slack                                  0.248    
 
-Slack (MET) :             0.295ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+Slack (MET) :             0.252ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.431ns  (logic 0.209ns (48.532%)  route 0.222ns (51.468%))
+  Data Path Delay:        0.359ns  (logic 0.183ns (50.988%)  route 0.176ns (49.012%))
   Logic Levels:           1  (LUT3=1)
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.229ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.164    -0.316 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q
-                         net (fo=4, routed)           0.222    -0.094    design_1_i/reg_decalage_0/inst/led[0]
-    SLICE_X42Y7          LUT3 (Prop_lut3_I0_O)        0.045    -0.049 r  design_1_i/reg_decalage_0/inst/Q[1]_i_1/O
-                         net (fo=1, routed)           0.000    -0.049    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]_0
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D
+    SLICE_X0Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/Q
+                         net (fo=3, routed)           0.176    -0.216    design_1_i/reg_decalage_0/inst/led[6]
+    SLICE_X0Y70          LUT3 (Prop_lut3_I2_O)        0.042    -0.174 r  design_1_i/reg_decalage_0/inst/Q[5]_i_1/O
+                         net (fo=1, routed)           0.000    -0.174    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_D)         0.120    -0.344    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C
+                         clock pessimism             -0.229    -0.533    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.107    -0.426    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]
   -------------------------------------------------------------------
-                         required time                          0.344    
-                         arrival time                          -0.049    
+                         required time                          0.426    
+                         arrival time                          -0.174    
   -------------------------------------------------------------------
-                         slack                                  0.295    
+                         slack                                  0.252    
 
-Slack (MET) :             0.368ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.263ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnU_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.340ns  (logic 0.148ns (43.478%)  route 0.192ns (56.522%))
-  Logic Levels:           0  
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Data Path Delay:        0.368ns  (logic 0.186ns (50.489%)  route 0.182ns (49.511%))
+  Logic Levels:           1  (LUT3=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnU_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.192    -0.140    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/btnU_r_reg/Q
+                         net (fo=8, routed)           0.182    -0.210    design_1_i/reg_decalage_0/inst/btnU_r
+    SLICE_X0Y70          LUT3 (Prop_lut3_I1_O)        0.045    -0.165 r  design_1_i/reg_decalage_0/inst/Q[2]_i_1/O
+                         net (fo=1, routed)           0.000    -0.165    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_R)        -0.044    -0.508    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.092    -0.428    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
   -------------------------------------------------------------------
-                         required time                          0.508    
-                         arrival time                          -0.140    
+                         required time                          0.428    
+                         arrival time                          -0.165    
   -------------------------------------------------------------------
-                         slack                                  0.368    
+                         slack                                  0.263    
 
-Slack (MET) :             0.368ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.278ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnU_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.340ns  (logic 0.148ns (43.478%)  route 0.192ns (56.522%))
-  Logic Levels:           0  
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Data Path Delay:        0.383ns  (logic 0.186ns (48.539%)  route 0.197ns (51.461%))
+  Logic Levels:           1  (LUT3=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnU_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.192    -0.140    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.141    -0.392 r  design_1_i/reg_decalage_0/inst/btnU_r_reg/Q
+                         net (fo=8, routed)           0.197    -0.195    design_1_i/reg_decalage_0/inst/btnU_r
+    SLICE_X0Y70          LUT3 (Prop_lut3_I1_O)        0.045    -0.150 r  design_1_i/reg_decalage_0/inst/Q[3]_i_1/O
+                         net (fo=1, routed)           0.000    -0.150    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_R)        -0.044    -0.508    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_D)         0.092    -0.428    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
   -------------------------------------------------------------------
-                         required time                          0.508    
-                         arrival time                          -0.140    
+                         required time                          0.428    
+                         arrival time                          -0.150    
   -------------------------------------------------------------------
-                         slack                                  0.368    
+                         slack                                  0.278    
 
-Slack (MET) :             0.368ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.437ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.340ns  (logic 0.148ns (43.478%)  route 0.192ns (56.522%))
-  Logic Levels:           0  
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Data Path Delay:        0.411ns  (logic 0.227ns (55.257%)  route 0.184ns (44.743%))
+  Logic Levels:           1  (LUT1=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.192    -0.140    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.128    -0.405 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
+                         net (fo=2, routed)           0.068    -0.337    design_1_i/reg_decalage_0/inst/btnD_r
+    SLICE_X1Y70          LUT1 (Prop_lut1_I0_O)        0.099    -0.238 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
+                         net (fo=7, routed)           0.116    -0.122    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_R)        -0.044    -0.508    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_CE)       -0.039    -0.559    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]
   -------------------------------------------------------------------
-                         required time                          0.508    
-                         arrival time                          -0.140    
+                         required time                          0.559    
+                         arrival time                          -0.122    
   -------------------------------------------------------------------
-                         slack                                  0.368    
+                         slack                                  0.437    
 
-Slack (MET) :             0.368ns  (arrival time - required time)
-  Source:                 design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Slack (MET) :             0.437ns  (arrival time - required time)
+  Source:                 design_1_i/reg_decalage_0/inst/btnD_r_reg/C
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
-  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R
+  Destination:            design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE
                             (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1  {rise@0.000ns fall@1.250ns period=2.500ns})
   Path Group:             clk_out1_design_1_clk_wiz_0_1
   Path Type:              Hold (Min at Fast Process Corner)
   Requirement:            0.000ns  (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns)
-  Data Path Delay:        0.340ns  (logic 0.148ns (43.478%)  route 0.192ns (56.522%))
-  Logic Levels:           0  
-  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
-    Destination Clock Delay (DCD):    -0.242ns
-    Source Clock Delay      (SCD):    -0.480ns
-    Clock Pessimism Removal (CPR):    0.222ns
+  Data Path Delay:        0.411ns  (logic 0.227ns (55.257%)  route 0.184ns (44.743%))
+  Logic Levels:           1  (LUT1=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.304ns
+    Source Clock Delay      (SCD):    -0.533ns
+    Clock Pessimism Removal (CPR):    0.216ns
 
     Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
   -------------------------------------------------------------------    -------------------
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.201     0.201 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.440     0.641    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.234    -1.593 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.497    -1.097    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.071 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.591    -0.480    design_1_i/reg_decalage_0/inst/clk
-    SLICE_X42Y8          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.503    -1.819 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.546    -1.273    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.026    -1.247 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.714    -0.533    design_1_i/reg_decalage_0/inst/clk
+    SLICE_X1Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/btnD_r_reg/C
   -------------------------------------------------------------------    -------------------
-    SLICE_X42Y8          FDRE (Prop_fdre_C_Q)         0.148    -0.332 r  design_1_i/reg_decalage_0/inst/btnC_r_reg/Q
-                         net (fo=8, routed)           0.192    -0.140    design_1_i/reg_decalage_0/inst/exemple_1/btnC_r
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R
+    SLICE_X1Y70          FDRE (Prop_fdre_C_Q)         0.128    -0.405 f  design_1_i/reg_decalage_0/inst/btnD_r_reg/Q
+                         net (fo=2, routed)           0.068    -0.337    design_1_i/reg_decalage_0/inst/btnD_r
+    SLICE_X1Y70          LUT1 (Prop_lut1_I0_O)        0.099    -0.238 r  design_1_i/reg_decalage_0/inst/Q[7]_i_1/O
+                         net (fo=7, routed)           0.116    -0.122    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE
   -------------------------------------------------------------------    -------------------
 
                          (clock clk_out1_design_1_clk_wiz_0_1 rise edge)
                                                       0.000     0.000 r  
-    K11                                               0.000     0.000 r  clk (IN)
+    R4                                                0.000     0.000 r  clk (IN)
                          net (fo=0)                   0.000     0.000    design_1_i/clk_wiz_0/inst/clk_in1
-    K11                  IBUF (Prop_ibuf_I_O)         0.390     0.390 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
-                         net (fo=1, routed)           0.481     0.871    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
-    PLLE2_ADV_X0Y0       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
-                                                     -2.546    -1.675 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-                         net (fo=1, routed)           0.544    -1.131    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
-    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.102 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
-                         net (fo=11, routed)          0.860    -0.242    design_1_i/reg_decalage_0/inst/exemple_1/clk
-    SLICE_X42Y7          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
-                         clock pessimism             -0.222    -0.464    
-    SLICE_X42Y7          FDRE (Hold_fdre_C_R)        -0.044    -0.508    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  design_1_i/clk_wiz_0/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.481     0.912    design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1
+    PLLE2_ADV_X1Y2       PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0)
+                                                     -2.828    -1.916 r  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.595    -1.322    design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1
+    BUFGCTRL_X0Y0        BUFG (Prop_bufg_I_O)         0.029    -1.293 r  design_1_i/clk_wiz_0/inst/clkout1_buf/O
+                         net (fo=11, routed)          0.989    -0.304    design_1_i/reg_decalage_0/inst/exemple_1/clk
+    SLICE_X0Y70          FDRE                                         r  design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+                         clock pessimism             -0.216    -0.520    
+    SLICE_X0Y70          FDRE (Hold_fdre_C_CE)       -0.039    -0.559    design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]
   -------------------------------------------------------------------
-                         required time                          0.508    
-                         arrival time                          -0.140    
+                         required time                          0.559    
+                         arrival time                          -0.122    
   -------------------------------------------------------------------
-                         slack                                  0.368    
+                         slack                                  0.437    
 
 
 
@@ -1430,36 +1426,36 @@ Sources:            { design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 }
 
 Check Type        Corner  Lib Pin            Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location        Pin
 Min Period        n/a     BUFG/I             n/a            2.155         2.500       0.345      BUFGCTRL_X0Y0   design_1_i/clk_wiz_0/inst/clkout1_buf/I
-Min Period        n/a     PLLE2_ADV/CLKOUT0  n/a            1.249         2.500       1.251      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y9     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
-Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
-Max Period        n/a     PLLE2_ADV/CLKOUT0  n/a            160.000       2.500       157.500    PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
-Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
-Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
-Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
-Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
-Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y9     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
-Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y9     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
-Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
-High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
-High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
-High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
-High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y9     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
-High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y9     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
-High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y8     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
-High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
-High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X42Y7     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+Min Period        n/a     PLLE2_ADV/CLKOUT0  n/a            1.249         2.500       1.251      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X1Y71     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C
+Min Period        n/a     FDRE/C             n/a            1.000         2.500       1.500      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C
+Max Period        n/a     PLLE2_ADV/CLKOUT0  n/a            160.000       2.500       157.500    PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0
+Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y71     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y71     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
+Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
+Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+Low Pulse Width   Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+Low Pulse Width   Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y71     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y71     design_1_i/reg_decalage_0/inst/btnC_r_reg/C
+High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnD_r_reg/C
+High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
+High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/btnU_r_reg/C
+High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X1Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C
+High Pulse Width  Slow    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
+High Pulse Width  Fast    FDRE/C             n/a            0.500         1.250       0.750      SLICE_X0Y70     design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C
 
 
 
@@ -1482,10 +1478,10 @@ Sources:            { design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT }
 
 Check Type  Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location        Pin
 Min Period  n/a     BUFG/I              n/a            2.155         10.000      7.845      BUFGCTRL_X0Y1   design_1_i/clk_wiz_0/inst/clkf_buf/I
-Min Period  n/a     PLLE2_ADV/CLKFBOUT  n/a            1.249         10.000      8.751      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT
-Min Period  n/a     PLLE2_ADV/CLKFBIN   n/a            1.249         10.000      8.751      PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN
-Max Period  n/a     PLLE2_ADV/CLKFBIN   n/a            52.633        10.000      42.633     PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN
-Max Period  n/a     PLLE2_ADV/CLKFBOUT  n/a            160.000       10.000      150.000    PLLE2_ADV_X0Y0  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT
+Min Period  n/a     PLLE2_ADV/CLKFBOUT  n/a            1.249         10.000      8.751      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT
+Min Period  n/a     PLLE2_ADV/CLKFBIN   n/a            1.249         10.000      8.751      PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN
+Max Period  n/a     PLLE2_ADV/CLKFBIN   n/a            52.633        10.000      42.633     PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN
+Max Period  n/a     PLLE2_ADV/CLKFBOUT  n/a            160.000       10.000      150.000    PLLE2_ADV_X1Y2  design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT
 
 
 
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpx
index 64568e29ce16e1c3a692284a757319e74f20802e..ce29864638218ce92404e5df9ecb02a94620cf1b 100644
GIT binary patch
literal 117025
zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jVqjq40;x9AGnvG!
z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2gx%xY^tm6w5mf#HD=
z!v<loR>^}Yc6L`KK_Uy}89vC19aWv*xxsF#8c5`UHp2!T!32G%Z6<ogLOYqF*mE=U
z;&T%#l30s#5|gttxC$6+Pkr+I*vfe7lV@QEBl84CwndCb8H-X2@{3C1OTeCqFNXL=
zK{q$CBEBH8B%@ft&_F>qEi)%oAtkjqGd(ZfFuuGfv7jKe2u)9XQGRJjYKmS_L5YHH
zK@zdb3z8IciwY`;RSr_BTb@{y7oVROUzVAllUS0OpSOaMVFM$>4n~Fpj0`6j87?p~
z++bvUz{v1{k#PnS;{qne6-*2pm>702F&$uHIKjklfr;S;6XOFW#urQsAD9?_FflYR
zGjuRBPGDw~isWL_H56iyf(4+$e;8oYU^QT{V0U=I?!gejn81+1P{2^Z(7?#h!N@Ry
zkzocS!vaQz6^x8vr!c~t!U%Q>;|oScrH{;9@?2~|;1CxQg(e7S0#bkob4hWrxqt&&
zNB}*+xqP@-0uqZ#gj`%w%QBNwZOs#n3=B#X6ao^HvlG)(ZHtrAO)N|l6oLy<Q&Vhp
z4HYy3g8W@VokKkR{S*xKjEohG3=A!F4a{^6jWxN#xY)x|i;6Sz^Mt&^GRqQE@)gRA
z42(?l4E2l@G|WtNlQK&*6%>NQ6`V>lb5ayc4b4m}%uE&X^AtidN)>`r3ltPg6%0+R
zjLoc!EEIfQLKHySxfHlqToOxCg(N_#{PN2bj4Tuk&8&=#tc=YdD#W;WAbwN`E&-`z
z3j!w{u8WLZ?9TbQxruoxLc=6wYTaSvGUH-S&dC;J`26+n|NqJk;B3sm5b2-@%Do`0
z!C=5(B>-Z9F<70j16Kf-Y;sO^e12((VLUu7fJDkOtKtpf4WTA7!%VD1GLg}M3Ejlc
z_D~bklJZMS@R^9!jZBy(3Og8GVB+FS&PYwphNR}8f(ju9B_1x0y!`m&oc!c$4+bS6
zF23aayyB9?yb`#WD3@SCX-;u!e0gR{Nd{b4g-f9{53IN-F*7eUB|bB+B(*3nF(*DX
zFQp(qGq0rBgF#83i!U!fJ~OWX?2DYlN-4>J{GyU#h4Rdj428UW1+bJtN@`AGr3RA+
zqmm&Pe_lQ)91%LCP<7;&mK2nhAZZce;>#_~DakCzNrk&kgi9bjH7~U&u_QGGA_#H~
zCl_l@etrRnDb6KakXTfbnV5s@Iu8aV2`&+s1jMFzu;V-!l=!*0a}rCEGvc9U81Pt~
z<l_<q2We(rx&ka5xy0c@;P8P5CYKak0wYAZG~sfDf)&Yp)Uf4}fSZ99hDcgagNjQM
zt_3~3pos<KGq8ubWZ+6+0R)abNceEcV#p!~AD0MR8Yv8gys!kHkTI9OLP$nxQK~{>
zQL2K0LQ!gZW^qYskv>?bLP2I8BKd$5uAYFt1cOi*k|$BaUC5HllsFSn_2~(iN-zi|
z;)^yRM=o2!MrULuXDH;Qrlu$$#k&GHL<DRl7=%tTk`VnER)PbhBqOl|9A0_(B?@3~
zK(eJmT7Hp2Zem4dZfPzg&*%x*3Wak8qqv$xBNS3fQx!_`A@(HZl_)@?Ay|SzXgecP
zJfNmeAtx?7Bx{YKnIF`sLBuFHO+j3$P+X9joSBxHnxdzm;o<4-p($V|6vh>VWQGAj
zGm=V66iSOzixe^vixm<T(h_ruQx!nXC5Y=mp;V$L5G27YG>Zv$1`@L6vP81hLIKs$
zkfe^&)s{j5Ts}zJ4e)6v#=!zULfKsDq?iaUZxa<DZAVCaDWs&Pfl6*rD<QK)A-_nW
zpeVl}F+H&al;=w_it<a-GZZpQ1kxoqgu;<xH5a9{6|&+oLvabt{0h?tvA$SOz)XTc
zC<{*!B;?KIj$$;tjDi}WfS&C0Qj<aL^OAgpM2HfF;{4L0<WxNYcL@d|cl0t1qpV5J
z&rQnA12<F?a}>bko<e4xLP-X!O${k)lJFOXLJnLuDDH+NYNRv+EkU8F7hJ<2rFH=u
z2?n7!0!6BjHJ3SxC0LBdkuC+yB^ZR#aFoeDTplRKq6G=42E-OH3a|tNDW$<a7VwZ@
zkW%Af5Mq#G$}3i4_VuXJU^ZZI5(XE2&`ya0q+8?E$-==XB*4WIoReQJ#2lGgECnJ!
zB5sMrB?u8IWiA=lyu_rOR0Us9LR4_hFUm_TQgDR!)*ve7xg?;90#b{MGjlVGa}|P8
zbMwm*b07+gxnwY86oOKV^K(i;-4r2VztqH{)Z!8aP%Ae-Pr)xgB~?m|O95)CC#XrI
z-~wv5D7d7iC6?xtC^&;!Q9?|9`BDm8Qc!gPMXAN9B?|fq&N-=xMGB5Z$;Dt5(p;i0
znZ;mVxaDM~XOuw9f=G&S33#UG<rk$Yc<TEr1c3T>U`={l8j!Y|f@@+?PNjlRVrgD-
zhC*VAf>VA`QGPin-}-<eC>3IkHkS%SLLnqGHx*nJ_$F3BY*KKoNKP#Pd9@f4AaY#d
zzNtm&sUWo=gV5#GxWqg`O+4LTpCC}66r|=AgENW{k8^%racWUnVo7FMs*)3zG}MvK
z`FUxX>7_+rRnSfodQuQc1}lOUOpvq?^pTP45hFO=Fu+^hn&1XE0|SF0jA035IKmj7
zFh(k<U!K8O2;<j+7~t-o*aSv~xs0Gr`T|DAm5d;95Z(%AfxD$*Cm0#7GJ-noHy9Zo
zg2h29UV!?jf<NK>MkbIb2zM|sOkjfbJpVJ!Kw>XIVy{4Ae_&$x!Ng#}=)mm35Wo<@
zkiZai*p6#IE4ce1RK~^So0+E&kXn?PpCXjO>lZF&BwBt(+ep@9<!S>ZV-7|keRwY;
zQy<>X(9g^(F3~T@$w@VePfRI`2Xmc$ygmI4wV3nt6OClS=8G~gC^*W3TrSJNu)sly
zor6IL)a{W1*_&8_u(wLu+!$i-489~|n%S#Vz{Tv7UoMo%J5j^WP_*LAYD3xh0!~Bn
zECd%I1bm9Hu@_`x8HF~23Mc|LY87&^cx0w$2xan8#Y#{qNxYT)AYYc#-j`fY*ufoP
z+}&28EsQ9MdnqIDnWJpb)V)qh#863tgHcEYcj`{g$xe$;DoxY(ge2)y0v4htc2f>U
zAw%58V`d&VC;!k8p@XQ--o%KWDakXJu6{Ux>W7UOelX!+6fz(!Y&`ww=>;jU7Z63%
zMn+z=GHUR90i2Q$Uf9IQyMbTP1X9eFpV2l^8vH4Vt4R->>p<f)*vGqswxOoA<&3-@
zeS7smzBH5tXLD^1Mj>_FX)QS?8$6hXl+#lP*a^z%4)AKtUWw$S;2#=dAhaLV;Tsrv
zm%U$Y0C70D_9e?$NG73=4|bvYU^OFezs5PJ58?|t4V3gb7=^Tvg1#s<Jw7EhIWZ?O
zJvH6{(uhbZ$#ae`iZ4n{*LNO@j&~W#jt?CO#~(sXHk&b$jX4LSkTHQ|lUk7qnkI`k
z)DHxuooItt`X(Qmc*1Zvc*1Bnc*1x%c*2Cfo{$39Xov>K21Z_2Sxs<*!%!C7;27*R
zn$i|VNC$BZBdGIaAX;{2wE?JyNJdo#=^fIgB1U$0zlI;E1}5Lx;4UWJo!tv^Hp!ul
zsCx$}v?qd`T|sIhL2~v`3T;GVVMv8GlC$X;+IyfS$rg-~gzVN0JwqMI`DkgJeCH3@
z&<8imhHmIXoKL^d*V@Vm9fDlL2pVWL01dPbfoc@R-9xAzMR7M;ZY8n@j#MLo$9w5g
z|D(8@;s(HwO5aFz(vS*oBzMy>y!XP&lPwtK3Hh}V9m5^j{b=c&eD@FC@Q2h#LpS^(
z?x$b)3t4c0=6u-&xMV@gv=taZOG7Of92j6Tx*5y`pcTin7#S8ZGOR*c_AGUSiAyK|
zw5C!a9JHnq>(n@-)hs418Tb-pEb2${`eP@t+{`@4YB~k*x>V>Yk_?6dM({fCL!cG?
z&}nVZ+&0oO0_d7uL)ao;q-6w9DNmSG1_PEA1fT`v3m6%&t{ng^X}`+&@4vkR=Gp;9
zoNEUdW-u|Jt`uN^ED(@oWMJT85RwJW@PnsA;KQ#V5$M`tDg4stKL2bF^*OTxy3aq`
zLw(NdK&u55yx0SQX#x{u`9CO2fidF>CZ<hH+(ntisS3IZAZiy1?*kLp4<@b-5zs~t
zAtKvT@On~;oC4k~R^LU7!HU6&!HXe^L&GOD#MstQGb<bv6*waY>~aSKJp%)SykZ4i
z1>zN#e)uxQ4!mxql`+QVwp}lH<;heqITuVWWmMfb+ior6+P@(-TNz91Iri@biysAv
z?>h@-Uj>tQ!Q@jg`4&um1(SclWGi?jOD~w5$^=>(GnZ+-ckI5UV3D<8ax0kJ%XG5L
z&h99a{<CYgXTc&@!Q@>q`4mjP04;4{Y+z;tZ_;3x!OXCLnPCMp!v<!C9n1^|m>Etm
zGhAS1yur-yfSKV1Gs6dFh9As~4J-^DEDRG^7-q0AEMQ?+!NRbCg>eT9!vPkCQ!Hf~
zMVZB*HA$%{>8ZLUMVaa8sYR(N3dyNCISOtrL9URseyJ%6NtFabSfLt}l;9DPmY9>{
zV5nzg3W}Wq@Om3tBRx}4WYub38Yqizv9N&Zu}3WUbM`9&@}CIEPhu6+aB+4~&{YTq
zlg<G_nlo4#7qBv{U}fCF%5aqxv_|L#E5i#`hOc1uUohFq1`_WDlT*RuBDOcI9E?J3
zj9et<#6ThLU>{Fs*Z2s-NOJ=z-hiU~g7~zQqSScj_&^0lFBVYjMlfVBR52DcFf#Ts
z`Xve2O<-i4!N>^SzysY#1l~o&@PLs4yhw{dD4$D{gIP!wURq}A<Cx<NjW1GQ@Dj>P
zEm6=&%eS@AQGjfX(gfMrz{t?c2ukXNEzIH4<6sohB4A-)v{`I?X1t-kry<<m29f3l
z3JhKhAPXU$MB1N(!+WiaT)G^LLYl-{=Z|8W6dyR0b4p8$<2?=I{XxMDD$Ecr=w*Bp
zXkkm(*R@>c9Lyxo37W;mgM17RbVJNQ2c<8<)>m?oTa2TGhXMn7G6Tl|z67?2t%-+&
zNhn2u!AoBQy!f3!u>&e}6hNgHIAKBJ8B(AV_NEsXY=NE-m#4oID61G6nV_Z_V$DkC
zQsrP4QbbBPpb81xkbunlgSeS_h6)T`T(C@G0CqDtGY}UqnOr&?j6xcCEy>I?jL%Fe
zO-tAJ#O*6^JV18p;m8S1j3n9Rk8BsTv;nyy-V>4yJe@+_kh6i`wF)9in+8TMD-LEM
zGrS&-2l*JT0u;>PP>%-%HNLFyH1Q!3PAK6bXHlpSUx1H~t5Lk8OBg6s8KI^r!lA#I
zk&CRkLv(wkWWgm8V(lGd&1$@}k2h#djsl|>C)}aP(eq71;Q-<M)5yqW&A}{W4i9{c
z6|<n&z}<pFsvocvRZ<7I?FqXfn~Rk7PC~p+p>FQZAwfRz5eAW<!jh!wp@oqY+x*dN
zgJug*-h^cfkZX{`{mb7gHiZ40%caJ_ETn`K?AR*d<eY2;1}|Y)VPl9Th%a6>BO-_k
zNo{*L!)pOh+k>oHpqqz-d9)S)ubPMK<VI?PrIA!JW32@mP&R81Ock(v@*N_|Uz%0{
zdxO3a;e<k}Rslo>{Xm!hR*a?tUjA<}mms2W${?jg_Cza@VbwBGaYFOLKXc++A`1U<
zE&~o`AsqsRKVrEc5!JwBky;``n(R&iqB?+f$<X?q;N}qiPQhnp4i+It1qLsENS~kp
zw)G5Dd4e0zpkWolHD@OymnjDm$~FaTRVO0!Ad4-{V&OF!$O}@u9{D*bu->!_sGA4t
zO@rOikhO=1J|4VL2-XAd0N`zv+bb}5@fM{PmS%!RX-YD4Q{e#s8p(nM0OJbSK_KY;
zcRK|JFP_ArqRg_y9MIM<g#Pcs75fP5C&$s|3JhM516bgW1r3D}v}^|(#|1Wq4{Qvp
zM8IQ_<n&2F%VfzKlQgIEm}KLnd9X1_kG{)v8<X69*vSs}n50Fgquo)aY34sh$0R`+
zoHm1@LvT#;3usKTkCCiVB7<1{0UevnNll41h(#WoG{GDu10{J_`NV*;FeP?u(i}84
zX{7IIgi?#Z8Xu4`D{v)<x9lf+Y!Yf6p0P<I$e;}R*yOU=E=1H@<c&?5#}XWnfmea}
z+5$r4HA6tfIeO@m-VB*CIyQ+jGmMT+qHh-m4StP|O_u0G2OCGnCb12Vf=5TedogkJ
zO^K}@Xx=>~ZfueU-BaR5DaorBK>Y)Fy)Zgj2`aL{vnSM>vl<<(%#OzlQmiKrA~#C0
zjMk5iR^phg8Xc{K9`}kgNkH@JU|5b;n#bx7{n1Ku(nc#UD%~cc*GJC4AD+=l&=?o2
zgH6t8<<*E3`i)kC2FVCIb_W{^WVCV#`J<JfmDXg9RvKW8R+`at1?ANL(_o{O`TOa&
zg3`Fb8P8}XWCi6>f%~JQm7}AT3=9mg6_oEN7_Br!9<7AT{eV~0&}$_nXtdH0Wwg>5
zbF>na<YDC#<)f7bpwUW{m6Xta5lKsBh#swkT89{|1lfjINoj_>lF|$`fduIg6T6bK
zoXe1dSxA@Y=~5T8!C@@za9WO2f(mlV`=g`dl(@6O=s4x*I3@HbF2u4(*m<6yMUkW9
zl%wO6u*2FA3qgojMnlVSN>I-LUN3;!A)~7*F$dKsT3bIlPB}VGiRFZ6YSsv&<CLT0
zl;{ic26EbJfLB!-AjT=deLe!KDox{d(SMv0G`a=rT9Y$Qx#nLn{l+Ol!(#*;yMv7x
zGEO-Vt11mK#wlsL)7#5)?m&!F&K3?JI8F&#Vm7+dn`T?gM^{zSeVmfct11nl<CF&I
z{UX|oQ$npnj8lSoVTf@`<9JU4?5iqix#Qb#V0V0jigWbPA6-=m8$19lrWxJw4I8c>
z-SLfgb@xE;_#55v4Zb)Ty?aLUWi+F!DsgO8Tf{cH2ORe-*63*E=xF8WXeIPes?j~*
zSWn?1Y4VDed%&qPc{RYJm4=AXN^s9_IF432XQ$I|v=TH(M#N|(bX6ri&!aS<^C+eN
zygjf{O3=Dk$dO~TKaVmzx{lx|<<+BBcB7+|qob6F)s(~bJW5bfhm}XT8{Wk3`8I)$
zPSWW-N~m>sMkfd0JW7*+9i0Rf<CKq1j-E$}J2Q-~r5s&LIl7ke1|!nGyV3I~4dbyN
z3Qe^Yl%wZSGD5ltgj*|gIhA;HEOK-#a&#<mbSyG6Jue<t;Xi1`B0+ZqQ{E{U;IT*(
z(#9f(<PLAkgBtW3iv$gY!D>^S%RH%Z9;I2VzB5@nyiMsmCaHaRJ8VqS-0T*f^C&^P
zA*pvqPWi6}ySYrgv$L??krS0-ZFiLEucFE5nB?dVZ=@aGl$=LtHVAfjn-SXK4NCH`
z@(K5F46$RArqHoTI-N%ewGPkNB#!ea4LNj(Sn*HZd6cFDJ2nX_&M9w(jPCQsof$^Q
zCP&96N5>{d{Mh6WJC737KcKu`7+pDuyIvR_tsEV#938D39jzP^qm`y~I*$@G;6k{6
zN#^Z2rbA$~5;VpI3jmx0fI_%$&uJ((qTgsGXpoGcW8wR}>A7;!h|Z&wNGm5n_a8x~
zMQOisa{ukN(Up@1dBvkQd5_-Y&Ctt8-IbF@(9uact(=5fhi7zh09H;K4eaP7s2Hcb
z7dpCf5_d0jbaZlbbaHfba>S2Lj^5-AS~3JWC<A<&W4xh4QD$+fLTXBSswVPT&!9yH
zupSTYLe-0lor6J$IXNd=h|AO8DL%q5($L5R^`1RQ7lE)@qbnz&!3VvyJ~OE_4R&k2
zr&FjKa_o%W<c&K`4)nPmqi0Tzo;itrs0n#zP8!i^ERv=-c^i>77C9tu@}}#`NzhOj
ztTx3ta0*{JNzbuJV>*vTIv5>+t(c_eSmcKMK7wPB+uwSQu9zHMF^RNda@g+T1|@Y^
zd4#)FM(j#SWBQIoLaiHIW0A%KI~EBl#z)5@i(%8%@V+wjZfG4HiyR$`936`s9gE~r
z<6st2B5+gM=q~QjvB<P^eNSv7tPP9|6Brq0FycMvwuzBThl5c_11X(h)@hk}hVh^g
z$8>#vWQ#_}BGE4=gAHyI74)NHk-dyt%q8pz_YX!-lpNeAN*WJ`u}I?)Fcuj;Q<8q`
zB0)o8u)IxpEV3JNPq#h?qmVXIWmJ@!9-oq$oS2iCo(en3KdB_oCB7)WC^cQ*S;~Tg
zQOJaV2E=g(fgoMcpc7DCwHT}zoEW?qqBt~sLPHE`dO^2c=q1=-WSTm^J!ICC_6sBB
zmfpuR7`dS+!|o{4X>*&=!N}3UNTk8Yx1bBU+Zee>%!+~VVIj!%VR$aQNo!za>}AXs
zVzz^o54gt$^SLxRn1xgc<ZwulpupfIl$TnfpplkuYlL|*cfgY_A~rA+I~ZvW9gIX=
zcmwh`tOZ!b2=XN1_BYWNb3?5|3`T-%LtJ=ch<xFVA@Xv7<^!jQ@HKhcw$0H7Bh4`b
z-L3mA5!P36kzJ0%lO5<BXtM5M9bFlTJNu1}Mvjg~j*do-jz$jB(MWhd0A34#sztJD
zfze&sxNCvYUD~6&v`2SokM7cjO?zV-l|c-$5HTq=`0uy*!py-U<fy>l#h;v$pPa2w
zkXl@vnVVUh3+h`nFf#Nq61Ot4i;>HegGtDcKnE5PdaxlXc#Q_?*GTbs<maTsr==97
z#yiJ5yDBhx!Eg2iJEm-({9eMHX(L$o6s!k6b%u9_$X<cLi?=AXur#wMHASH$GdC3;
z0-&)hn58&J`0#Ag-oES?+|ju8ljCS}1qLtH;+(|fY`9}VgJA?6yMv7#ave84ha*8t
zc}IsMM~5Rp`71d!Cr4p)IC6A2k^$P<7#)rT?McIahXHz}Il#k_;Bp+L+9s<bIyxMQ
zyCXU}96351IXWCUIvhDV92svI4_~M^x=|Y*6!3NUh&79&izA^^;)a;BvwMTS5iti}
z$YspID5Q@xgn<^`1vxpXM)8R$W$~GL#U=XAKHi>whNFuki%Y<@b$)4yVLUwW;6WE}
zh`e3_OXQ3$j$~vwfVp3s24@J<aySyyE1<knFu=o+=o__1ha)o>NEkz<csR0Jn1KOQ
z1QWM9(iy%w(wu`)$QWMq5Z8+~jMWc<FOFo$3I`QfxQj5*2{8^vdZq>jdBqC43PdP2
z&@%ujF1?kvz`mA&LBXMw@m}iEeb^R78asWnU(48Mv1QLz#&T7W1A7@kW7bC*jh(*j
zKg$TxdzJBNYnJU@#={pT*ggfzz6FzC87EZiu>A{Ww=#ir^@7Q%OvbFX_H&sE(=KDZ
z)8<;mOS_{?o{B#9c<<R}XkcdSU}l`a%s7LYaRD>K3TB24%#1sj84oZsoM2|Sz|44q
znc)F5!wY7H56lcdm>C;b7&=%OCa^HfU}0Fm!mxscaRUp(4i<(3EDWbu$~1~Hi!<}m
z!6)tLmK0^Cr>D|kF!0hqS$vCyB{(O){1J;R-sJL%fcz%{@?EU58X6(NUU5bW+6pdS
zaYmYPhWbXD3i=8lfdJP@tb!UY&MpeN3c=1U3fc<J0YREGSQ!_vGOS=_*uly`%4w2~
z%p8nD#4nnJ<xrGGlZMa@HPBg}K;%iDD#jx4qDfHtgw0~$9ww>eGUi}LX|Um+u}dn+
zbB-@UZjM-BwiQ8{f*7mGEpsTZBu7Wia3_i3Gji5>VGj{|h8h^TtT>p3%#bQSP+<c;
z*bLrDj0g1+;VR(r1Y71)Qx_27gc7QDn;<0s;T6RLy{&AG9sfbX#Y7_`mo*2okU2c?
zGr?UYwCKUz>_A%Sjiu+rz4aLp@iV+Ghh8@O2oVddsdmKSv0V%fgrk}0%b{TvqBFb}
zFpM+@b&%o99FWpK;{ryU1>GVxDlOzwAi{g2M;tQjz}iQr@wQ^gQ=5s%-!!cPcB@Y(
z!U-dA<ZQPm^MIHCn_t}~B65b&wqnz`T|^ZAG#EKE>-<PWNRxNOA!wT>ygC4_f+K4>
zeJ2Z{g?yl~0K!$7vjT&cAY?6IX<l+_QAuKEUP&c<dD+v}EcjYBoRyOhwN?WLr<Rl!
zz?K6BA$JtPqf(ET-iGh<#qAh!7S`ce4G0><fdwP()qJ=wZ4tcF4o^zB^^@ahEW>Z0
zQ6Pejg&&ki&*3+t(cw4HNW<vxn@f<Z0=T?MO;JdyBrvk7Pz@f4g^sN%q$TF$I2h^~
znSw@T3sQ?R^HXf0gS54pqr-2o-p1(g8)UUPsLY|frX3xA!(G>o4!>a=-x(c#122~F
z4-GLuUo9ae3qC*rv9bcPs3P9k#~Y+vfzgXIz{khcDBjT}4AcmP43G3Ojt;+J9gt{Y
z<kIF~6jH}K-UuFUOe#&&_ZQ-I3UzaL4hiy!k1&WdfKJRLm8PY`Ze0M$BZvFw@Eaq;
z2S$d`;Ws>ElS0E}AMNPy8}5pJpr>C(hu<()jM2RCAC|*!)LFPT9ERU8R=<r7zfrjQ
zZSW4i8IKOXjSj!jdJpdC>Nn6iocg1~Z;;_PP?<A2{5E>2h6!|>0d&?nY@7l8cE{1x
zZ+K5D8R+iU=<wU<@EarS?1s_RZ;X&#9k7{p+`}fL7yJ@`!SCqs8}4*8(B=Q=@Z0F{
z8+9)DrOu@q!(sRh{bmln(c!mYJN#xcI{Y>|{5CrLHoEyVzqBMZMH95b0=%Lblqm@J
zBFI~34k~j-hu=nr-$sYuaGu!%SzR`|`LrtDfZ*of(aoofICce&ZaxK_Ws1Db9JFX3
zeCh|dri0`%(2&{a=F`D@r|szQ+vxBc{F*r|hYyTyKE*l(G{iQano#9V+u<<$W`cGx
z?&$CvrDwkl-qmlWqr-2Yk%rODr=y!sL1zZuU}bp0%J7vH#QqB=TiHPDUNAWoOfF&@
zJ^KxMR|R>)Z=f<~bogy__-%CfZFKkzdba~;hy=VD6TV#&BmzDhZglvK(Tint^C{M2
z$3{1wj&44MHbq9yeoF_9HDjEri8xOHc2fiBGy!TIJ}|oaZFKb;bymNbjt;-+LpBGQ
zjt;-U*3%FBv){}{hu=nr-$qxzjjn#9<LWn1nKL^4Hah$^I{Y>|{5CrLHhT7(D`+F)
z=+z6^@!;WRl&fMC7`(XhpqFVHfLH8+*QSGJ1PQNAA3ggGTac1-FXrgg3pmdH936fe
z9e$(E@SEA_@Edga&1`h|ZNv<}nU4;?jSjz!4!?~KztM5{4OHfg4!?~Kzl{#RjSjz!
z4!?~Kzl{#R#bYd50xkZ`%mdv9J39O}dh_WDT-(b>&wlG=9Nm0695$btj}E^<hu_Rc
zhu;Qt_)S2Rfq{cj$ee>w$QZsEBU8U9H9bBhH90XSF+DXN+H6g&NX;$CNsTvzMjdDe
zwG=rTjbrs)v>2=yoEW?qqBt~sLPLyg4K=gELCpZ%yFx%mX*fXdxKz+3a9t)?G4!&`
z(n&i`+t)HMC^)n-o;j^-2ReU)fnh2mXj*SBm|V(e?DWlkEttKPQAAYaz+N!>C`f$&
zSw@iFtBg-uvuy7&9=<Ta_9<BQEtveuIH6*P?O!mvl?h~KFPNOlBy%m%elFAL`N#Jy
z1&gc&lUu>$UM4#(bNi!AFVYh1M>nI6<jtszJ4hRj`@+h>DAdQuMNY;uiAB1S0d(Ou
z^gQ~sl%mvl=lDS6d!}J$)o8b0vm-qDozJDo!7QXopajWDO^G&%RbcRfAFpAoqfmr4
z7zfG{ge}bB(&Jzh(js7CV6<^;d}h3%zNZoT7#suW=sAcd2_Jja%E+b5!6>9jtabh<
zwn_1UuX@ZWEisPwG>Z2J1v9A7L%5)qF`#!k5y4!`WzNA&azSkz8*hLd=vdA)0hL>X
z1D(A6zo6nCB|ONw=6wne2a`~W0)v;n2KdrsA}RoE2QM)&5cZ}Q7dr=o5OZ=)wh))6
zzY}P^jG>W<0)rP)nt@azgw3MMx#y#o&yHR`3vHy)cK_Gt?H5>kpESRJkhsfdX>bCQ
z=4l28!i5}p^#Z8>KzY5;&BMV=g?eH1K)%rf`Cv;wv9ymymn4oZNdz_58yFb~_ZDbg
z9SqCsXz6ty253NraFyn)z~Cha>1dSZC8rjZBxdH7R6>(LFK8@iFJVKv7`aS2n1l=o
zbQ{qJ*6`nl;R5PDy14|o!X2~q^Isdnjv;4rt-S(+7jIE&VQFSjYKlThW^O9nQqV{m
z%u+_2Q#N)A3|>5mMMarqi8-kHH6L5p6V^|Tqs<i<yjY8K5|gvxjs*?H5wvUv8}kJ=
zh7W8E12HCQO6M`rIsNBhW1>p80_Zj-IyXBN&zNY?4!hAYQHA8xk-kK6bWD_{W1^<e
zF;N5b-WSsN7U5P7(PN@e>+p<;8ekt2t$ewRW@DnJ13M-PD(=xkp9NN_BaKQk;yLhX
z5!>jPDDIQWN5@1*$3#cRL~k%MJb+(Uo6V)o!6>AT)EfcKxT9`^1<$`Hm8R)?3h{zY
zA#@H2@`;Zyhy+a_kyJg<yc0Y+CW>R7+~_HZcq&by(QVqJ+qCs*J4-gYO?z~kHg&dX
z)9aMP!9OO7|CB_`G0~YbXWGI>{BU;?$QfS5GbRceNrPER&X}l(sUiKwL_tGw1RV<>
z6a6RxUMH&0!6>AS)PTgcPBf_`&n3Pnz9=<a-&x9ngHgzYfClvOZ^Kx9XIIn(qJ}hG
zAo_`Q5o|DY{izdsA!~cEEf76rv1JeS7KjS#7u(Neihq0w>jKf6=RgZYd2M+{7l?ue
zMiju4F{vpENtFbaekoKVul`j?OU%h}Fw`?LHOMPgC`c{J%ulg}E+ekhyv4#2oReSv
zh(#9E6#{pD;E5F^0`45e8@?hS|A~Nn7pts>Mo6$%oRNaIf{Rz2k!GBszLBPaz5+-f
zz;zO<poWXHi-N8~u(OMTwt{m&kmd|l#s#blD_BV#41Eh)Alk;rMPgPBgbzm<Mw%Po
zT^O3yz{uFkNX><zkRk(ljiM3e!qCn4bch%ZBz9q_ImRF;$lr)Xejra$aS#-09bym^
zWE)~(sA0S(Vi432G;akN1ngyO7n@0hugO~&YK}GtYK|G`hqw+AVSN=B+2uSu*@4z0
zleIFmX>?^M&iU8TQP9y*(9u!Q5kCq_wTqdFTMt@DYU9HhUJHP#MY3vv(RHA>ySbxd
zo}*))qhp@Xn}af_F$*@j4ir96g}c}xZ(9Ip^aoxYkliWR#lpcN<fy>l#Sb1gP$)<(
zF3!x&EY1b>t-z=Hg2r87<6pS_K$Uf%-Q=wUHALGR&mWvxQd$rX-L2{Dim?#1^>yGr
z!d{~CpeJa=3}z`ggPtZTZSb^&TR%CD#<CC;G~`Cmu{+q<AqzpjF)%Q2F$jUihSHMq
zOG~gGW-NtYS`oCh5`;AwK7alD|G%(<A%vmqU<nciVMmCFu!ARrq3n>sPzaI$;aU*U
zz{t=6UV6TOkzplxuQ^C`E0_hjD(?g%!&S!F9KRiIFfu*_i-S~v%RJ_vaDF3r_c=(W
zgNbPZ6VnVPrUgv@VSsT36XORah967}pqp<wm<1Rl7&sUh7&sV&SdvQeoRQcrNbFFs
mY7UTUE{JM2&`w{2SY)0d3eO0IXN<x#LE)LA@XSzn=CJ@RO@KrI

literal 117697
zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jVqjq40;x9AGnvG!
z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2hsAoGjeR9*%K28IVh
z3>$>SS|x+``r2KU1c@w=XZRp5c2sqK=LWl}Y9NsZ+6)_X1XJ{(wwdS|3+-fzV$aRY
zi_cB0NMbF{Nlebp;3{COJ@v`+V=LpSPo9MxjLZ`l*%mP#Wh_c9$S*31F9CZdz8K;g
z1>M}liui)Wl8j;nLjwifw9K4Tg_P9d%=El?!}#)|#Dap<A~ZemMfs&AsVRCz1tki)
z1xdszFGy0*Eh?xWRyjziZh2x+UVMIDd|76GPGU)Be%=a3h7F7iI~W-bFfyEAWVpb{
zaD$QY0VBf)M#dRTj0>0;S1>VbU}D(8#B_j(;RF-I1tx|YOpFhh7+)|kd|+by!NkzO
z%+SHiIDwf_Dw>N~*U(3Z(NhW*gbM#*fKh|hfWd;@;RU+~Lj+?2Lk2?uLj^+vBSQxx
z!vsc#8H@}I7#UVDGJ+k$2y+Z0*fESR7#Wp5F>}dtu?2y{Tu2m}B%n!10V2#L#l_|V
z4r(C*^dRT*<zfj)EGiLlbxAGDOir~muQD(+$W%}WNKDR7Oi#5<&Pg{iGF4CrE=WyH
zvDG#7QP2no@^=Y!4)OH&Q!vysG*U1!FtpS)G}JXR(&P%`Vh>9#D$dN$6Y>trEK5wu
zS12<wFf!3I)H71hFf-9j$}G`TPzVlJa4OBrNl`F0G&8X<GgZjXQwYf@RR~TkP*5;c
zFf_3;HnTFaQ1EpLQ2=S@Qs827Ni0bfk^rgl%P&(fG*K`#wlX%iGBALs5aZ&3cv2y_
z1f-HJ2%LJjE;4elJLl)-Cg!CG4U@#Fb%&A5jEgxrCtHx=^Vh%s|0_Fyb20-%q=O<T
z1B0*zg8_q;0Eh*~V0FR{Tmf9N$vN5a`K2X>@$kd|5-HEDiZ_Tigqp|<GqDoML`DN9
zbQ3?@LrqLe$}cU!XChWNGGUr1>|k_(iHk2eBQ-f2lAMDIDufu6c(^$7^5c_p@{_YY
z7?gy#_>%MUic1pnO5kFmT!ICqImM~*<(VlZ8E|10E``!Ou;QY`%)Hc;_{_YL)S|q^
zocPqdl!E-sypm!M1|@wizP$YS%)A1yFLDwqr6dFLi%N<W$}>wc6!P*Fz)}h+sX2+2
z8cZIHN`_qgdHJAlMCg!0)sbIXQczlgq(z8}FSj(OB(oqV74A9_E`jvaywsw^lGGH4
zAjmbGT&y|y`2`@RIG1oiVo^zEVh*zFJQ$QDxI|zQ5S!w`j`Ltp;^*SdNi0duh=-bC
zz+-iik4q37q?vi?3b1hG5{C<c!v`LiTvBiej1cA0gv${MRwVOL!<I_|ZU$NyB56Sl
zDlSR57WD9fCKiy-z#it3fh&at5IFK6;lm}1A&VS*Tq1C3q%ain!V-K!#$5UeAsMMf
zsS1fjsR{-PMXBkT#U-gl`e2<31(|t><O5E)dII_q3_@W@o<t3IAxkb(;!H%<rzc=4
z!61}~FWQ70xoim=ospTGp^%rFnxcRd?+V}$5wMkD5IV_7LiA%;2@a5wjKmUfc;)4n
zD1f~I$(9Of`9%u3i4~c-rMZwiqbFc16wVcl;%X9&P)I3FRVc}a*prx7q5zGCU<n4H
z?TkqAfSNvqoVe_etTl#aeo(sx5u@NV1#ziDaY1TwW?E)yik^aoho`%TrhuJL7*`OI
z83qK+NGdH+C@oGcQpiXwR!CGxOUx-wRRFb^Ag%|6Qi+~GkOZ^PEGFC;NXVAU63JQ%
z1yn~vk~&UTTM7km`5<XGz^9!U2MhQJWpkyIVj{S_O;mt1A0hFjkdm4PD!D<egv=6!
z{33;dqWpry^u!WSo-fHL$}dgNP{=G1NSELc3P+07T$IvQ$coDh#U(iND@-56`eHo+
zGYJNvEIdV!kT;h*iqY^g3TlJ`da}<;O$Ig5OY#*GAxadA^Gl18Q}qPgB^ZR<(aSW9
zvL-n{Hz_j@+*VD@Q2>{F3YmEdB^j`0HKeRb!e1B)IdIvaxEqqFk<tvb1cjzva1Dc$
z+68PR7=+>o6sbbiT;?d2U@;y?x)d;%U=T{fQ6~Fvd7v1J79^k=5L>_~z!D6klm`1)
zz(ayTN{x#_h(U@euULuM*P}{<*?_@G7+my0`y~pHo{du{3kRc+02fPePJX!%b7X3<
z6o>$cxFr^sAVj2;xnx}P5|eUL6?{PnQNcOCC@-~0!4cYFgQ%3}l7K1-NG&eT%*`y$
zRR~JW%`Z#LfhaKMlEIKs2udx^&nX4<RD^{6QWJ|(i%S$ht=#-P1;6~1R4Fws1*oZ>
zpeBui3#i?q;F6k_SejF!;0$U-2{HNQODS+kLDdBmr52}_DCjFV=cFbUDL57-7lTzu
zbBVfS7K44^mXn#DQ35dwA}Pit;F+G6UzDogsqe240P5a>HR*9_K-z8!u8BoCl?pzI
zrFqF23W+5OPWeSe`Q@N|>jR3QRERm+Tq+O=g^<kLRB%<`n^*y{Nx`)uIkf=f)nZ71
z$Z?7LrWU2Ag4BWxLYG(L67vK#@pOZIf<S>%keXKv&L~1W&iQ%8sYPXpC7ETZN={tT
zP)9oF=cQ$)mllClL3>T;NkJqTtO!;xLDE9dM@FtkjNo*`0B?C~f*afn3=D=ah9!*Q
z2xEA{7^$GHc?M%4j9&|4fct-96BrrhGJ^W)3m6$!GJ?cGcq^C%?wN|6U}U(;2<o@r
zU}Ss<76++#0qUj-{)F=znLwf-+`+^!feF_2{LeT8iM;@cy#k5-fr;S<6N3e#1G5K1
z07C>r0z=dhJFfk#;O>V|85fstW}ZSoYEfo>ickixU$~f&X!#j!BUz7?s|}QlIT(fX
z;k}GZeRw}ZKQphmM86;>C)FrEF{LaX%ysth_VhE<V$Rb~G?E3IFUr86;3x}nxhw<2
z0tY2_4hA7mw?_(OZ(;?)-YRKxV~D*o_>zoiX0K8K7qd@(xlkr=zlNWoX!)7dhO+Sm
zoQC9C2rfVf_>{^vf(j@CHfj}ev3O*rXVB4;)V7icUvfQR2X};VcUy(FFrp;xrHs61
zj<P{h_c|#NLnRFkMj;j4sXI9*J1st`G)><VlB81!Scsn3O*t5a3~?KenR(ot{6j;8
z4x&1H6C--2B+p#B`r!boA2wq6!GwcR$bhu4@${po7o@;mKon6M8F|slsKM_Aa7sdW
zVG|?o27W~oNHJS}M%zSb@TVlMCOvSj1C7&QAMX;{hMLxvGxB=$?bQeQ(ohzh&9ylg
zh17AUwd9;^@L(EJPER3VCn%>oz^gTTC6beZe`tt-(0){hZ(!tI_I|Yi#NpuDmn>r;
znS?$**oEqY)r`FT8t0%sh%e|gP}1jM6w*ct`l8hI_>|P-#GJ(R)OZ6(BO<9J&pEy*
zz9=<a-+3rH-eo8|K6D@)e+V_%Y{p17<{XSd#srd0YDFq&rYzo2KM<65q77o{n|x^E
z3B%#w38UfQ3FG152^0EyLJC}?AsQST7<pY~HNg!ILs@WxW3bm~N?RBq9mF+^yxkgp
z2BM{BRvUnNh-6e{klrC(Dq>`3_k)~WPJy$*oiDmOo4n9Q)V)J0w2_=WFrnQGN+e~Z
zCK5zrVMv8GlC$X;+IyfS$rg-~gzVN0JwqMI`Di5y`OY7*p$~4B4c*X(IG=u@ueFsC
zIt00fk#{nvsHr5is6q4y=@{%N?nX=XB==-lhE#YX)kvUOF(bOv|5ONXBzF%`cuxeS
z?+Q}WH&UH6q{17?-E<7^y|D6R3r2ZDer-g@a7T7OTDd~L`-g7$L+YcU8~zaY(=Ysm
zEI2@OzU%^AvY?gP3XGtop%x4d46qs94CVsRlH*y742u{URw1o?mb%HrB@_T!Q>hRR
zT2qO2YMjw(HWQZ&d<`-d^&@%#vXfYDW*%fYodS4aDs&l1215ZOc%}Ct(5imuyf$cZ
z8)+Q@bkVLMY?Uw4Is&MaCrm1X0m~8s&=T?mj0{*84}g}nUu9%qaB#p}Jiv%^@c_dN
zCI-}{0t}E90<w$@3|tICvY;t`@O%h-{1qeuU0f`MUmD%#pY5SOXLdmM`Dc5m&zT))
zwSs~ddmu1PV1jG`0Oct#W?aF<w26tkD6=?KL017p?Ly&wVB-40#8o2#+Uy}jYC}+p
z%o5&3i@}P)iNT8@ibKOEG{nr-P%|qW6csol2kdeO13d!+gS=t|T?OJ5m-_iHu>&t$
zX=U_v-(lAaUV1VWOwI+9OBtUrRM@Qrv$ryS-2QdnUNHM8NPOQ}FdLK@85r(@*-ydb
zTQK<*O#TIvt>C3By<l=G6KHMBT&6#H#ru|mMb?7JtzdF5Q_k~hyQ56;c{6R#f<>-^
z$-7|kDVTf#THC_dz|08VsKGFUnPCAl!wP1G4a^KXm>CW*Gn`;%xWLSKgPGw0Gs6pJ
zh7ZgPKbRRCSQt837$&eV%wS<yz{0SCg<%5=;|>;v11t=uSjsetGK)crl2TLBQ*}#<
zGSkyji&9e*l2dbX6x>{bTp^47Qd1O?DhY(JLNzEU!6PIsF(=2tP|wH|6gvgr1vj=v
zdZwVrs@1$SP!``}0e4g$vEa|yuL#J0A|OABRZzpl*+oHDAs9?L2Lx%(U}apu%CLf!
zaR)2IRaVd<p&P6WFIXAAg4ut;WGfp;ycbMP1(S=|p0RQ;3biqEk(d($g}8%#Je^(R
zBTS4UEu?q@it-EM(^863<DKIJ6&Sr(K(QOakik&JSk%DC*vlBuD{eP|k#PniBX|oB
zbTbinClSK~Mh5UIEe4@{E=>+*Ays&3nW>L^l2d`fODHe3L_s4h-_}A$0kS<x6J%!t
zBSSAED5(>+Fo#QzgHcF}fQ5n42C?y(@rL@I1}OekVDMr9SqSkY(hemY-fLy#(&b<j
z(j?Y8e-zuK_`so@Q(9sc?`aV44+>^bVTN!)FQes_6SjnXUCw35!7QXpz!`{1L6>NQ
zSmfX~#0+jw$|7uWB^S8`I7&z;FrX(eaM<HZT8r5Jb8|2Wr6@3X>1%-3y%Q*KK*fy$
zsN4c4DM$=MigLo<^yGrA%@gAE^mmGnFo-m=Kus*f8kNkY%E2t8h!j<znh4y2fXw)V
zxS4r|3JhLcu-sq(b}~2@5Em^OTsj<#LK=82$;>m1&rB*!OV{_r=_zn5K=$b2$N`Ov
zBw6K;WEHfK0l6XG6O#HpokHD^Q~!a@zC;u<4UAk?9Lz#yc)c4B@-JKkD3HNn9uEp=
zc(H>eA3RNbNQ4tgxX4)yD#RDy<Kt=+@8}W+N>T=>DT;95FJ|N-Yt9heUMX2{L4;Uy
z2U)8c@9g6ZT8pE==*0<lD01}hF!3KCoOv1<xvV*uh0NiBkFin~6dSl3Zb;PvmiSqd
z`HzVB$>t(uos$r+Q>dG}b4ZX6S<%wMNQ!O#XtqJK1t@32vIWRB$l?Cw?-d)u;hxK-
z#=$J4gcR)9>fYp>Yy}1{VOUXPh$V<87>e5ywyu!WCWkZSRX_(12lHqZ0N%!p-ttN%
zsa(cZ1vH@S(H@ZUpJ(cEA~H8k%l}2L--vKRAyvzNqC$S43;&fLl?J@<pX$s_M9Gvv
zN^$IoRvg3XWTN7P<|TjT#J5D0{N-E*9Lz#G1WJBHcYugG;HyRq5g|=>cYq3Y!DnU;
z79mFk1}}a{Z=e9S-waf9g4@oZp%lXPSSKTwDF+kE-UMv*CL;7;D=bl}Gbvt={G1e6
z584IPwS)Db!EWh4^p%Kyoe@&%L+W7QZIRn6FnIA6r52WEf<|UaGILYm0RS4kf&~EM
z3fKW4=rxC(0)rP%Vo_0MSz-=oKNv#4`$eUFg!Pl-XmbSyFUY|waL0m%zX)2kgN@??
z8^Z@Sh9x54@kercqo%R?&SZ^4n#Ag(jYAsKbR4p5^M2SkWb@KZbQ^~haH_P!Jq~&6
zXPw<qCV^ZAyU}q-nhk&s!EwkhpmE4PMzY3-Ok?#?#v{$4eIj~|N9LraM4O@vkQrkR
zkb#mstbAg?S(p+#9%%v{k2FHAML?w@ZN?*^)}f3?nj^*|jpIFyAR{t9p&`bgA{jPb
zCVulA5%pFrmpKPB$(s~RV&g$WAoxaOh#USQuNeX=&e203ZP*(;B!hocaw5;@s3eZQ
z;^?SkPIf$a)DdN*7wfT71V-maM<oqLM<q#{tD<?|l(<nz8uU$x8=oYvQUG-hD6bSo
z$0>0(kVeNTsZ%42j#J{8q#7NkgdXsUv@C<>b-}P4rvz;nrMxZ};BiWmSQIZ18mGLY
z!bZgO2RTE2c*ZF~qg$}9H96yy?*(t{C9IzuM}vCgXycTi;W2`i?O<c3c$^ZnbDXSk
zN@F^YQ)=5CfQ?gHAK6Z~amrG!COqSm5-eeMN15y@v_{7%K@$<QS*SU}#wm@+7^j^3
zUY&>nmAG}2pm9ngLgSR6Bo8Z}C?BUZhK^IBteXUtinJN0gj$DZoD#8a5@nq7O`rwM
z#wm>lcAOGaoTJp?WUZbY9jC<EB^@27937_wAK8gm5DDf&mqU)Op2W6*6TE&Cd`cXS
z1vHQuB=8Ih-t`MK@0$+GaY|6<fbvRVbes}rr7${9IXX^>aiBA3bv(7IgVAxy(Q!)j
zrFi7cS%FUHqr5H{;BiW0(#9!op8HNje~+9YKRn}<pwTT@*P5JhO6liU={HUZ8XhC)
zSZa(@f)0QnYn;-E&f}Daex4eLaY`PxUV`J4Iq&U9$0<k0DH#|TVEei$Sx;#=2*xQ5
z35`>Nl02+@qI{gvh`!^LQ0oTQIHl3Rj#Gk)bIO|`qvMn~yQHJzl%wO6;DO1}aZ1pg
zPz*vNahLZHTTcn<98g{<jE+;{tQ1DaDM!aCN5?4{J}@!}jqdx#dfFCZ=kfsW`=-vE
z)c}uE8jXN)%6&gp(Qlj*G&}|y2f#U~N{w+!(49zRjZ>P_d7RRU;Vx{PGPYzDp7oT;
zLd`bRJAZQ7o{4sInKtkwV?BS;mMh%uD3j&4q|tH8(Q!&DjZ+$+jZ+eH4kfkMQ=%S>
zXo9)#8<gZ><rC%Ol;)suN+W$wI_>+0T8C$x634#p`YanF=GVyE_iY|a@Dx5;?)wH6
z=ahFzN5?5~c1cIaDM!aCN5?6Vw%?78QyRu&KM9(oz9}vDeS<m&$dv-<v|rK>0~sBs
z#91kfj#G|~Q;v>Pj*e62#Z#p&_)5d`Da~W`QO>6XZFeKRE+9Nk2^wl4+_NO}d`ffD
z#wiD6-#2J<3l;!4hyH|cpHI1cuPgn=DM7<y1RcABjfLWU-=L-lS>u$3bRMVFsJ#Ol
zr&Jf)K(}$q*xS?aj8j7PeS-#VM$e}lJ)e>~>nTUzIHe(IoD%)M98g6_n|<F<>+p<I
z4#2)|!+~8-2`bK!yQHAdC%qXmI!=kROFBAEIXX@`I!-w{PD$;%6Gq1=k<!#qyGm_z
zoN{!Wa&(+>bewVsj8jr)ZT$d`QyP*sPB|d==zvDI2oC+>8K?BR=1ISCO3?5aLC3<!
zDfxxL_vny#doX$T=NQK72f^?0W}w~u-7h@W!Nw(jvoYC2E>gmFe>Zj4PrjSC2hX@9
z=>FuRO!X|Tqw6P!_4-K$67TPBWFAcScUN*5b1)0(6Md6hQc0e3d=c{H6<BWa1|@n}
ziG+IvC3$C`fXWog2g&}AUM-F5cDT_&ve7}Z(Lpk#0gaKkm~ZrIX;60%bZt3!a3tPP
zp(wLBRUtJcJyjF=wlw0Ft&a|gVZFh+k&&b;to?;JJ^h_P7q%P0_KjpFm8QY&Q1^5S
zbwiFCp3$qNaZZGdUM=0rIC`}-)=Wu*<0fdibZ__%i3MR?EgflT0v}z%-MymnQHY>{
z6<D8+oTYoh_xR{{wKQmChM;5NS4-1#NX%$-NDMSOFuGK4I4{*39THP0$}cTRO#$~6
z8W<UR89|92RwCgpv&kD01C=SGLt>ffpe`G>n+xJI^9&UjytwjGOB6KH@@)+e(L!AR
zZFER%bVzJ;NNjXSjDuN7iNH(=5hpGYw_2=_i_|Gv<SJlvH!FDD4&@qlimHIoRbrR{
zO3uCZqqi83-eL?IrEOqj7z8&(4a-}M>2>NJO?R{6J#}w%NNffp-YeEXJBQG2F&?}_
zV#cFGVxZB1(IK(XAu+`6)zMXAFCa(0lQ$#=DpQdAL!bhY^sSWtM^}lBt`Y+sG~K|+
zWyQfPWQNrFhp!Dm>0^@9C3>3pkcbPzOSp_V7*Q5#qPYO`jtEabL-e}~6&Soo+Rr`E
z3k7(X_zw^sHg9C)vgTkGGRGf1;0tWwO5mYK@My|4ng580pV3ufxQ_W59TFpMmSJ?2
z7}f<TqpQTgd-DpZTK*F?{4&sm|LBFeqZj55tqXH$IwXeo!rajzvEei%W->Y?HaaAh
zlcO-YaT4j`iP0f3iiX5MWy<J~*yt*;fTH|@czhdY4s7-%V!UH?NG!D&M`FSpiSrK)
zF+d-Rlad9;1VXX1kGH3vVZ5`CH%PexBaVdvqeEg?hY4C3xwJVLh1B5#eArfkf`<T;
zO4IcHg?ODp-Q1l+f_y+5$_%7<!I6<vnwAdRPX>|)tyHLDENWn6>}CA&_lgbSp~%sV
zlb}_&$b+k+8z)g$PG#m9f{WjDeNUtfjj(}vq6TJ0hr~vQ#1=5(-bO&%`H<0#lUOUD
zA+~YSWH{V;V1n-~vC$zhS`UeFiGt4(Gv{CwGDd256{V)fr=%t)<|L-4#v4H9#}W5F
z1;!VprbmOW+#u`D12gjPJTTHTH8994R?t-dwNJopLAa|yBH(%&deno1ft~?KaVht8
zCWl%E1_g&!#_4Jd`>>rYX1+t*VJ#zQl5Z>HiZsmwd%^6ZjOIJU51eHL>AlMMv^C52
zF5}?~6KtP?W#59yuZ$BacG&&}vs;-!CiH^IsZ0wpzu3)XYAf!=dN*t6V^{m5Oxb%D
z+2dU`#?Zjb*ul&=fthgzGvfkgh84^V8<-h)Ff$%tW;nsjaDkce1~bD0W`-Bc3?G;o
zelRmOurPG6Fic=!n8CuZfQ4ZN3*!bBh8-*n2Ur+Rv6N{PWfo`VrGrnw&@Cy-OixcO
zN=;EnPNnHc)un;5_!bLGa87>tBNkau;=q|)UJ;Q0L_ofaRaQeIB-ks?NI_e{#VgK8
zGtN-oNK-*y0VELMI*C<K!^PP}L02Kz*+oHH!8ssEa|SEp0#=3<tc)a{J@$o_gHfoD
zk&Db68;CM~1no1Wr4*&cJI4niZ&Qc$nX>nMAz~;YpG%X2Sx6P07qQ<Qmy?<jZ61q!
z@|ZE^-L0T3LAaBa!==Z;D5OQe!oX-V=$#03y1Nx>9iBT8aNON$$2FaZU?%VGR<nV<
z69H7*qog_o1~1YV@{Qh!fb%Gr;j*_?=}v_`;hay?QA=j+<wQ7PWG>{>Zs#~iIC^N?
zaTRKQKt%iuuZ4W#H_s7qtv3z&u6{|x1U7lAyFr}?$}0sb-QB9-Ksa5GF73lQWJ23<
z%ni%Jh{*0Vtr2#sPbb0&BXDWo(+gh)d|h`1_ZcE0Cxet}B~P?zC6Y$<X+DEx8n<g;
z>VmDyP7)E)<kbacR2j$I$-==R<fy>l#h;v$pPa2wkXl@vnVVUh3z`80AK(KTiXmLk
zJ1a1F2||o0%}Y)#DoM=DE2)GV^0YOJh?Cp87`aS2n1l=o^iR=;)XdNZL-~SJOG*pk
zq5X3g<o-F>M+Z+WBqBLb`4~CS7#b`X$vH-@%uWiPlyK`O$I+lIVrYA2L4$Av9lL{#
z8FB;cYZ35hD3Ql@xWpHM2150nr7SoYg-l3{YtXTWuJEfBI5d1hLkw*VHHo@nfvjQB
zS1gz9p~Ik`Z=S|83_9t|nLX4S2EDvk(ta*eu){2@!=SQJD)vX2?p$ve9R{W8O2Oea
z4Eh!{4BE!XMPgP(8UBPFK@2Tk(S|?Mz)MD!&1QiYR=8(ti5vb*D#>#}y?DV0bNDk(
z<R=jWfy54fnnQ;_4Uqd<pp%85TL?j(Bz%ZRD<hXK2cwWCff6n-8fqQN@TY|oA9!I-
zPHBl@yeHyHSVK^i3L9nK{`oHv6&ZQMpXO-8pXQi>-l57$L@ZZvkzLLs2S2EmB7OXG
zbR+ZV_$PSD3{88xqvM|jI9F|sj(=hu0it=Im$>mys;$~2Zq$?Lv;E+m1mr3JR0ES<
z1&oe%;;aIO#o2zNqn)^0*aN+hd33aM05&oY%h68IxDRq&KwfuX7s1g^(1;6c<O_Gc
zqRMDzH+iF-=-0^dql|VsyJ8G?hVkjbFQCBfC2~d%?G+fjc#BdCOEW>s;Yu=dQ$f8`
z@E9v-tPB>8xQ8q46d1gC5{rs5%Mx=GQ1qXjP!I20;?_@&qd_SLZMYLO*hbK?JJ{Ii
zKimmA(sFdTb9A^9l)q@a+;kYO`WzkZBx<!Mbo|kCbhy(?2zFHlorgQY<viu>o6+G;
zoPFKV<(^c#%4Br7b9A_q#N#wahdV*L!?Ex0fJ|^g)<hsz0i(m6;C*S3ZFHcWNR-}Y
zH9Fjh8KC5x+dI0r6UPZ9qr;t}!=2PQw-MAIK&}fwgI1(ZeGP}<PW1awMu$5YG8n)^
zte{=f;89CbZ$ufq!=1*l`p%=nouk8@qr;u#Y;qqR?i?NNjK|)`MBg$oz^gmK<viu>
zo6+IU(c#X~)twxSLIy}{%t0e1Nd0`oF`M9voS}zoQtM34(bb)!t2-GPpvN<huI_{!
zk_GORG2%O?Fquo0gIP!scdi9x;?dzw#$LwJ)t$JPY>lq&>}4F?%Z{}IqQSW)wA{-M
z>JL!f9T*P7o#=Pnj1G4W<Ka%wQM9AOouk8@qr;t}!<{Lb@U`=!o7r)<d57d?c5pdQ
zdHZH`xN~&4b9A_Kbhwk4^Cd^(VV<L#*+Gj;K|_b&@qg%n{@_#V!Ho;pu`!^7`3a9v
zjvn2Kb!27q=uU7IG<tL=!wy{O!<vIx$Q=LJKF&)OkdOH{#5{6obhs01pbxR(PEdb<
z^6tQJ816*B$7po8a~KbInvSmSWZ26zI@~!r+&MbjIXc`qe9!I#m-CdjZ$^hZM~6GX
zea+FcI|t~-_0iRxSbLkJo7q9*jMz6CjBaLU5E@<G8DS7<1Un}_GpRH!-ZS1GbS|Bz
zQ>Ytgnj1C}J-WIR_e}Tb>dw*CojAsrhuCl@s6Rk?cVIXScbcNzR5Uu=IgE!pK^Jt5
zuI?Ni?i?NN93Ac)9qt^y!=2!Ap7Qq1=y2!gaOdc7=jiIr(bb*U7gmj~?gWjxj;`*^
zOb3NSran9*;0J_e<{8F=MjO-hJ%u<u{hi{$!;mChMLoK@6I=z2uI|J!^47@6HM+VJ
zyt*8o>%dD{lS<R{{n74#m*NHAV475#mJS>LcM5fLM;`wlmRA*l`U8}A2ZqCNC;G+g
zqr;uUc(~Jibam(G>dy4^)Y0M2(c#X~;m+YZ+zBq{DR1A54tI_YcY^zxqpLedS9i`}
z#Cu%g=w^099OpVG=VU7|cnQO9tuw^3Wpwmrc18xF(ar2wS2B+dcY>>+(c#X~;Z8;d
zA)2r2AC|+Np#A{m-GSjS+-W|#x)XjD_<-EZ&Lzsgz`-bF&cP^TjCB4+QEGa8N@{Xq
zPGWj$ya8n50{ub^(4f5(IT}r4^<A_WtQedaycnW5G<-rsjBO1yv%*2sli;ZlxI;i9
z;HeYn!8{H|dZq>jdBqC43Lq&0iVgG(K#EI$)V#B=1)bp2%J?~V!(PaV<5L+ymqE=1
zlS>)RcZfTz1+%v@u1M27uouie3KBnXmJy`)D&y1EEZe(`hc8U9eF~O+3nsrZPN>*n
z`xne^WdfPm3nr&BeVJrrKbNVkxOd-Du*h04xfM+AWm<7e+x{ri;+Zq-&w@p+g2}sJ
z@+p{n!Nl-`iJ^g+v4fd$0yE<bX2u1~3@ex!HZU{pU}ikP%y5F4;Q}+`4Q7T1%nUD>
z89p#G{9tBmU}5NBVVJ<eFoT6*0Sm(l7RC)M3_DmD4zMtsVky%o$}G;zO9vltq+3#y
zNuR;TO9N%`Fub7s3o8esP#+@~IT_C^RzDD>-hs|Hrll06#yiIcg7zD^xdgc)&o?fc
z&0<gZ<g0uxO%7%uRd~k5zSAuyH6_|C7Wup$W6bk<Kv{yYg*jY$MBQ9u8XKP(Z>aBS
zgyL`50td*ZstJq?GZ^vS2-nKUrOUx6q)EVkfzeRw{84O^;sej>=9HEg$9oz<wsnCD
zJ>+2KSR-Rc*w^G8<!KrlZ-5-=SbDsma*MF_m1LzlP;rkE9tsRzq+iT9k%xmxC`Ez6
zOJ5^7CqFrxhzbB)%Ap<O#=t<>n?tSVJ9<z1=soS=n~Fv+E@A`^PK?CCPa14+ByJd#
zyh;Jod7!*f=-}aCrb4Bl;4u6uh0&Ya!5v3P*A>)R1TA%ix8FblVEK4M0xhG_o7+ck
zZU;5g8yFb~_Y+2kL&@ouQfIUKP8JRpAx8xUFMde>pdhulI5Ri1I2Rgwy^Nrt7{Ud;
zvjT&cAjF8$yyVoPlElosl1jKCPg}G05;mlZk;{~WNyrdh;9&0%qYtT}Z+7PkPAw@d
zh==yiU6A|d;QP$~to&$8*fHb`EZQqDc<~mc7M5m$7N?bD=BC0!05paMvy>6%iVQmi
z1}~n(qN2>Q#2f__{hJqfz(*8t>nF$2<_Zj6ti?Hr$=Ptnf(GFTI(7#e^944B4{Qvj
z!r;+ReGWz;Z30o3RFdZ$Uld=Iny&9m)+nf9tbP!D6qJE>qo2`VD`BIbFQ??}$36Nv
z>CBlu)EoVb6|lFT%M|P|3+w1-_MR_xN0~hJ{@aa?e!2v?DuBzI)D(rJN&@2=3e`oK
z#i<U^p>2h<#GD)lLp>u?(4crhYEfo>iY;`EyjF7vjD9lgU}d<<3L1U7!OHN0mEkLx
z{TEEOvVp{V!Q@mhxrnWinS)WNjggDQ9EvjP2|Hy8TB>3l^#r9)Sh0b7lWirJF$c4d
zK7o<~QOHBe4CDr<1?KoCC{qw)H90jcsLY|f*EPBh5ofJ8IutiL6gN5)$M66?sXY>h
z;s)!sYj}GVxeBl#Yc^(d;0<RLFgoxC9$3={7lWX2w$Xt%A8-HA5Cb8;03RP$qj*P`
zFwi!811VYXv@l}Q#@WZ))6X#88KPW)adhAf+KnF_cw;26+@HKj7{ge7XXLs7G=4(*
z%sk<NH_#{m;V#WU4!jwntwTf|cniW9cx!!axSz0($Qi@JGw=o)zJXbad*BTBz}w<W
zSLrwK1{(h%=-3@>9FT#xZww3!Tns|8$vN3+N%^HE@$f!1s0IO7DDj3;_@xy=!v!F$
z$?*B>-~a!G9Sk81Wd}=;FbF$BM1&nYAq-`Q42D9G1PIrHhz3T64)9R_0!D_F;8lGf
z(XC(><dmHgj0{&9XLI~^xWUNy5G)Q-@d7mU%={D1Zv?O51IctSF->4%n!&`hfayOB
zFs@)?`~Y6x2O8MmU>0DIVBlb2VBla7VgZd3AhBJL*r8z693a(P5Y=p;HB|<&$UH+7
Vo)HSq7=>qo!ZStTnW6B^V*xeYPoV$+

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.pb
index 0cc5d1cb2cb0f8060142eb447dd6a2100ebc53d9..bc5d6d957ca00ea89941a40cd4df04a4c9681ec1 100644
GIT binary patch
delta 182
zcmaFFIE`t7UF@nRkEgs`*OhJF3NbJoaM&x%u!_l}RRko`E6KpXz;IWRp~A#{sT7F4
zR-S>u!SSy=LqnhQQH4c&+8oZRg2d;lF*Iy*eyRpy_Zor7yG9HQmM#-#>-s4;KD7sl
zymet<Xt3Yw%D|xD*y;u%d%Z#8cfA=H8XT7TfY_gWc-=z+T#e$LJskb~Tz%FCf<*rY
Kf^;4Y0<i(PQ!xPm

delta 130
zcmbQn^oVhSU2FrV`%_*91_s-=LJSNC9QFz`G<3SPio9IJZQCo!z`($8SCXM&x9d_V
z5PPjW1A~L(UwH<G2&bb8vd1?%o>c{j&sAe!C~$hJ24eRbfylc?3=9jLCeGHCVsLnB
Z4-$Fn!objAzt@$4fx)5Gje)_#2>@kOB1r%M

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt
index 3129cf4..3a7fe41 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt
+++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:36:53 2024
+| Date         : Thu Nov 28 16:32:05 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb
 | Design       : design_1_wrapper
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Fully Placed
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -32,14 +32,14 @@ Table of Contents
 +-------------------------+------+-------+------------+-----------+-------+
 |        Site Type        | Used | Fixed | Prohibited | Available | Util% |
 +-------------------------+------+-------+------------+-----------+-------+
-| Slice LUTs              |    6 |     0 |          0 |     17600 |  0.03 |
-|   LUT as Logic          |    6 |     0 |          0 |     17600 |  0.03 |
-|   LUT as Memory         |    0 |     0 |          0 |      6000 |  0.00 |
-| Slice Registers         |   11 |     0 |          0 |     35200 |  0.03 |
-|   Register as Flip Flop |   11 |     0 |          0 |     35200 |  0.03 |
-|   Register as Latch     |    0 |     0 |          0 |     35200 |  0.00 |
-| F7 Muxes                |    0 |     0 |          0 |      8800 |  0.00 |
-| F8 Muxes                |    0 |     0 |          0 |      4400 |  0.00 |
+| Slice LUTs              |    6 |     0 |        800 |    133800 | <0.01 |
+|   LUT as Logic          |    6 |     0 |        800 |    133800 | <0.01 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |   11 |     0 |       1600 |    267600 | <0.01 |
+|   Register as Flip Flop |   11 |     0 |       1600 |    267600 | <0.01 |
+|   Register as Latch     |    0 |     0 |       1600 |    267600 |  0.00 |
+| F7 Muxes                |    0 |     0 |        400 |     66900 |  0.00 |
+| F8 Muxes                |    0 |     0 |        200 |     33450 |  0.00 |
 +-------------------------+------+-------+------------+-----------+-------+
 * Warning! LUT value is adjusted to account for LUT combining.
 
@@ -69,14 +69,14 @@ Table of Contents
 +--------------------------------------------+------+-------+------------+-----------+-------+
 |                  Site Type                 | Used | Fixed | Prohibited | Available | Util% |
 +--------------------------------------------+------+-------+------------+-----------+-------+
-| Slice                                      |    3 |     0 |          0 |      4400 |  0.07 |
-|   SLICEL                                   |    0 |     0 |            |           |       |
-|   SLICEM                                   |    3 |     0 |            |           |       |
-| LUT as Logic                               |    6 |     0 |          0 |     17600 |  0.03 |
+| Slice                                      |    3 |     0 |        200 |     33450 | <0.01 |
+|   SLICEL                                   |    3 |     0 |            |           |       |
+|   SLICEM                                   |    0 |     0 |            |           |       |
+| LUT as Logic                               |    6 |     0 |        800 |    133800 | <0.01 |
 |   using O5 output only                     |    0 |       |            |           |       |
 |   using O6 output only                     |    3 |       |            |           |       |
 |   using O5 and O6                          |    3 |       |            |           |       |
-| LUT as Memory                              |    0 |     0 |          0 |      6000 |  0.00 |
+| LUT as Memory                              |    0 |     0 |          0 |     46200 |  0.00 |
 |   LUT as Distributed RAM                   |    0 |     0 |            |           |       |
 |     using O5 output only                   |    0 |       |            |           |       |
 |     using O6 output only                   |    0 |       |            |           |       |
@@ -85,12 +85,12 @@ Table of Contents
 |     using O5 output only                   |    0 |       |            |           |       |
 |     using O6 output only                   |    0 |       |            |           |       |
 |     using O5 and O6                        |    0 |       |            |           |       |
-| Slice Registers                            |   11 |     0 |          0 |     35200 |  0.03 |
+| Slice Registers                            |   11 |     0 |       1600 |    267600 | <0.01 |
 |   Register driven from within the Slice    |    8 |       |            |           |       |
 |   Register driven from outside the Slice   |    3 |       |            |           |       |
 |     LUT in front of the register is unused |    1 |       |            |           |       |
 |     LUT in front of the register is used   |    2 |       |            |           |       |
-| Unique Control Sets                        |    2 |       |          0 |      4400 |  0.05 |
+| Unique Control Sets                        |    2 |       |        200 |     33450 | <0.01 |
 +--------------------------------------------+------+-------+------------+-----------+-------+
 * * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets.
 
@@ -101,9 +101,9 @@ Table of Contents
 +----------------+------+-------+------------+-----------+-------+
 |    Site Type   | Used | Fixed | Prohibited | Available | Util% |
 +----------------+------+-------+------------+-----------+-------+
-| Block RAM Tile |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB36/FIFO* |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB18       |    0 |     0 |          0 |       120 |  0.00 |
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
 +----------------+------+-------+------------+-----------+-------+
 * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
 
@@ -114,7 +114,7 @@ Table of Contents
 +-----------+------+-------+------------+-----------+-------+
 | Site Type | Used | Fixed | Prohibited | Available | Util% |
 +-----------+------+-------+------------+-----------+-------+
-| DSPs      |    0 |     0 |          0 |        80 |  0.00 |
+| DSPs      |    0 |     0 |          0 |       740 |  0.00 |
 +-----------+------+-------+------------+-----------+-------+
 
 
@@ -124,22 +124,24 @@ Table of Contents
 +-----------------------------+------+-------+------------+-----------+-------+
 |          Site Type          | Used | Fixed | Prohibited | Available | Util% |
 +-----------------------------+------+-------+------------+-----------+-------+
-| Bonded IOB                  |   12 |     1 |          0 |        54 | 22.22 |
-|   IOB Master Pads           |    6 |       |            |           |       |
+| Bonded IOB                  |   12 |    12 |          0 |       285 |  4.21 |
+|   IOB Master Pads           |    5 |       |            |           |       |
 |   IOB Slave Pads            |    6 |       |            |           |       |
-| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
-| Bonded IOPADs               |    0 |     0 |          0 |       130 |  0.00 |
-| PHY_CONTROL                 |    0 |     0 |          0 |         2 |  0.00 |
-| PHASER_REF                  |    0 |     0 |          0 |         2 |  0.00 |
-| OUT_FIFO                    |    0 |     0 |          0 |         8 |  0.00 |
-| IN_FIFO                     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYCTRL                  |    0 |     0 |          0 |         2 |  0.00 |
-| IBUFDS                      |    0 |     0 |          0 |        54 |  0.00 |
-| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |         8 |  0.00 |
-| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       100 |  0.00 |
-| ILOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
-| OLOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
 +-----------------------------+------+-------+------------+-----------+-------+
 
 
@@ -150,12 +152,12 @@ Table of Contents
 |  Site Type | Used | Fixed | Prohibited | Available | Util% |
 +------------+------+-------+------------+-----------+-------+
 | BUFGCTRL   |    2 |     0 |          0 |        32 |  6.25 |
-| BUFIO      |    0 |     0 |          0 |         8 |  0.00 |
-| MMCME2_ADV |    0 |     0 |          0 |         2 |  0.00 |
-| PLLE2_ADV  |    1 |     0 |          0 |         2 | 50.00 |
-| BUFMRCE    |    0 |     0 |          0 |         4 |  0.00 |
-| BUFHCE     |    0 |     0 |          0 |        48 |  0.00 |
-| BUFR       |    0 |     0 |          0 |         8 |  0.00 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
+| PLLE2_ADV  |    1 |     0 |          0 |        10 | 10.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
 +------------+------+-------+------------+-----------+-------+
 
 
@@ -171,6 +173,7 @@ Table of Contents
 | EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
 | FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
 | ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
 | STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
 | XADC        |    0 |     0 |          0 |         1 |  0.00 |
 +-------------+------+-------+------------+-----------+-------+
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml
index 7c1319b..4c951ca 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml
+++ b/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml
@@ -1,5 +1,5 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="impl_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731587790">
+<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807898">
   <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/>
   <File Type="POSTROUTE-PHYSOPT-RQS" Name="design_1_wrapper_postroute_physopted.rqs"/>
   <File Type="ROUTE-RQS" Name="design_1_wrapper_routed.rqs"/>
@@ -136,7 +136,9 @@
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"/>
+    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">
+      <Desc>Default settings for Implementation.</Desc>
+    </StratHandle>
     <Step Id="init_design"/>
     <Step Id="opt_design"/>
     <Step Id="power_opt_design"/>
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/init_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/init_design.pb
index 1f3ebbe6ed4190110eed77e2add446732595cbbd..0991180f873244fde3d63f3642737e303fb12120 100644
GIT binary patch
delta 386
zcmbPZyi#ccFC%02WIjd(W-Bg>$%c&Dyop8z1|`Kw=_VE?x`vap7*8;|PBvyz)DYql
zs7N+Pk&R+AGSoFOFwS5oV69-Cz{nuL#1%ccmdQ*Qi{j14nZ%eFKTIwZ+plO~Y@ugl
zXlcaG#cH5uU|?Xx#>HZ2VPXmrFf_0*Gn~Aa^*CeL<W@FoZZ0kkBLgE7JwrXC%_rH8
zva$3qa>-9-<dK^!&&xh}v*=!^ndWB3dgkT^AR`cF8d-uZF*GnXHk<4(-ojWn`HFZN
z3o{p^!DM@hwE7$_laP#5g|z&foc!|4ymW<v#G=I9)RNSqVug&vvQ&k<{5;*1)U?FX
zoDzky#GKMpJucN^E&*04W@AfJEw<$R+}!*;BM~m4lH?q{;*!LY;*gA@)Z&c%oRlaw
cLvvj-0|VsH;p5_R%}Y@TN=?o$N)ccL04F?THUIzs

delta 1881
zcmZ3fG{<-YFC$~lWIjd(W@|3X$%c&Dd{qX92ARn@=|)DTx`sZJGZ{~KyK(XR<R_+N
z=A|nXBo>t@s1^&bN--OnnrpG8q?TnSry2=!305SVqiT&}GcwdQF)+?xC}6E%oxsQ-
zz{C|ZxthsL1e@y3N0`Kz7~fC6&9YC?(9*<A&%(&Uh@FeoK+nLyz=)NL+0wuW#5XiB
zvb31IoAo$j$mC`=YfcU>HX{Qg6FtMtC)tj&MQvu}5_Znd%}vZpQAkV7%t=kLQcx}C
z5@45NGPBfTOU}>D&CfH^=h9UVGErB^ELO<NFHuNTC`-)AOi?J#EJ;<!FH$H-OwLYB
zPgN+$%u~oq%uUrZa^kX^tiY@>Ie}NA-iVcp(L#X9g3*C7iq+6u*UU15p@5@;V*(?i
z029|%q8wnrrKcWZNTLh$GxBp&^(U(_D?!}B#>Hf0L=}gak`@$ThfG#wRz|qPm@4it
zqu3oLRB%VAA?5BcrGh)c3@MKnGb*?voC@J#P8D}h9v;-lxRHj&6sJ>5DujoVkrAct
zFs4Snb)m=|GDd7%OvX6!?c{FWmyGWw-)7k_Z)RkoXJldqssrG4g0ZQw5gQkarMa2W
z<WT+=#`?*(_|sTfxR?wLC%XuwF+QGro29jopNlsqGcP+nCABy+J<m$Ph>MHEz`zQG
zEYR6TFl#uu*exv$^-PUTL6r}ZMNw>q=DOxaMi~qRJQX~UYK@PJ%QY`WAt*IDzbHk3
F5ddO7=Rg1e

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/opt_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/opt_design.pb
index a576f13ab492d48abf5903e163c1f68261d70a8f..94e8cf1589f6846d3c212bce172593a946c4f0c4 100644
GIT binary patch
delta 2192
zcmbPSzO`b)I@UxZ1A~%@oAV)z&FYLR85y@szQ`obGMSNU!em1ZNoG9@v&jcE#F$LY
zCO_1cV7D+b*RwD%oNUjmENy6PXsBSVkXDqMs!*0$R8pFlqhPCGXkct&F}a%gi>O(u
zfswhTDOUzV0ZRqT1V#n{Ca%q3(<d=<^@B{Gd`C!X@+B5Yn6Z;|l%?<(sxNQ3IgM3?
z5v$2-!6x@Ga&=BN<Z$IMHZ-)*GqE(Aq@&DaU@$q5TbR|*z`(?4vJ?A$iuC7jsZPGf
zAy1JRTRDGIpx<zE2KRET`Zs{Xpr4VeXR;xO-sB4`Qn<pwaPnE6SW3;<{DOA@_Q=2z
z&2K~`a5=_!vYUV!J2bgWE)*5t+$F%tgw=JV8XPEWglzESg~BgH(+pBA%~MSXrAxdH
zb{AD<hnlmwP?Up_Du$Yi>%$BMheC_^Y3dlvD`kmdaEg>LQ3fB7mYRHB15dn}%9z3o
z-drd%pE`z~lRLmjTy8d=Y@`rIOwbebh?}AlHO$%UrliM44TC1@%Ue#));x{XEaJ1d
z@#J?}Q?Z&sYyey9BrtxM9AMHqSy9(g30A5av2(E+=ouIo7_o7&fXh{OE^zs3JXz38
zWOARbBSn_B>qRiuO@5#k&uwbN%*AK`CMJ99r`G3inS^AdDx~G-<m8uU=A|nXBo-y+
zrk11@6)R*UmZd7><>%?9q^2d7=9DOuCFYc->T#(Sa|y6YF&kT&YOy8f=jP_;8HsQS
zl_clr6_+HI6o+IKr50!8=cGij8Jg>w85m?R6tGsXLh7rXj9lWb6{*RkB_PX^H}BV<
z&&>E193D@MEfkCl%=Ao+EfK-N%EfGH3Fd=C1r!>LPbLSLY#~nRE3nCXO-+eW{*m!L
zSb2xJiJFlS7Z-;y0q1}thY@B6=j0X(9YXd%w7db^lWA#Aobu0L<&jpln99+T%VYsF
K(a9&RP5}S_+8#gv

delta 2165
zcmdm5F}ZxgI@T%!LxaqToAV)z&FYLR85uWCzQ`obGLez1Z}LJGDJBc!$se^vne|K!
zCkvX2a~PRfSm+s<n@_f9R+cn2HdC-xNGnQBRVd3WDk)9OQLt68G&eDwT+94L)F36z
z+#oH5D}$kcrGjMwBZB}F*G9166BxOACog32VTT#Uub?DpY;20%u+4_7v5Z(vTMag?
zi;=5+@<JBL$pIWX97dMrmU@=v#*_IKlqC$YnP_5cI@yDLKXnZ}$l*d=1NU?OCdWWV
z!^wu?!Yq~`PnK{m$7%#x93TZ4vhEu^v4nLSGa5ls1%@GlASWQlyzAtNtZJK8`Es#G
zC5oeP8w>GSkboLHG<8jG6cyh*O@Nb$630SJjTbgTHg)oP;TNKo1}UkACds(c@I*;(
zloW>?fZ?Lb>`?8S8$~%737NH!MSAjkMTyC8#3XQ<=_#%cGZW%*@zYc>S5e9mX71)h
zDJ`m)=`CXlGZXCAc`_YTG549=0Y(BDA2}L)6vC(x@=1zLR4`_9ijp21apoA{Oaz9k
zmXo_RPh&NPgn$v&o=UzE7}+~uvVyke<QY0zjPE8dFmIjgp=+rCD~pZTxmXSK3=9m6
zSh<)D4NZ*LxF9)hv2G~&PJkG(NiTx2eloXyJdcSHGZ&+Q5epZSq2c6G{nX7~2J4t7
zH(9n#t~0SPF)*~$v$Qlqb&81@)F~EGY=-8#=0-*t3<W$DJdnETG1wyoruyV)ehJnb
zX=W-<z~;&8%szs{Y^Rl>5f>MSF?RK^Kr_SUQix@emZmsdidXaN$qUT;CJS2Wk)!z|
S*qcACY;kxKZu8`D>w5r192B4c

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/phys_opt_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/phys_opt_design.pb
index 8b3cababda00bc44b82bea61676b1990aca2ae8f..313a74709500b906f76a48fc3489957727925e66 100644
GIT binary patch
delta 583
zcmaDTbwPT<X4XU_1A~%@JMtln$vTW#GV2(*Sh!S+xdd3WxRdkqic5+TGxJJ{jd~fm
zx+ZU8G@Sf_L!86d$iPg`)Yx(|E0dChrIDqAwL)4^YN|q6W>HCLVvd5Xf~BR!WP7Gq
zW^+Tc&GVTO85!#)GqS|-SQr^Gb1@o#iOD%ESy?$;CLtNA3TgQ{Ir-(8dFcuTiA9OI
zsU@jJ#R?gTWvL2z`FXl2scDI&IVB2Zi8-aIdSKVFN--N-nrg8n=jZ0;=NXA`36&)0
z=oObFmK29%6r~nt<maSBu^F1{ni&{mFch#>uui_f62$m^@_)A0$tzf8Ca+<WmxKDk
zh@FeoK+nLyz=)NL+0w|;h>eQ{<o=gzvnS7FQ!?b`;x;g_0wX;GLnAIOxc_nMyg<P4
z&DYuIG8!8hkz@9f$=+NtlP|Ce$m92b;pCZI7jdXDgm}{2WO66>Arli+cNpREBSK?6
G&m#c$7K;G@

delta 547
zcmca0{ZMMcX4WbLLxaqTJMtln$vTW#G8-AWSh!S+xdd3WxRdkqic5+TGxJJ{jV3U1
z^-kWzXsD!TV5p#{;G3G8UsS1};p?PnrBINXn5|%|U~FJ$sb^_vG?|G>Ny5;`Ou<?q
zttd5Bp)9kgq%<){!B)Z2#Avb&Q!KNYxxwZ+Oo@z)^^^ZG$MKq58ZmP*8W^!~F&P?8
z&S1%!?8NHB_-1k;d+THoHkrx%95N<QvyIrfSPk?H3=E7|xtI-&%#7H$SS(G945Qc#
z&2`O<j4~Jscq(`%Ffs@*aXkYYz`?FG*`7^64!;4DbJ=E3{>E-fK-p$bj=7AU#zwqc
z+y(|#V5DbYXvD?E0rNbX15Ka~G{ELSkPrJN@8yyq!@S8H+!y7s`w4F6<R9FJOiWP?
SH^SpsV~Ar7EhoR@c?19xFLkB>

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/place_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/place_design.pb
index b5d6754f159fad9fa3af6b81e448f679651885a1..b344f9176fd275e3fd0a193b2f0680816b177084 100644
GIT binary patch
delta 2799
zcmdn8k+F3X<Ae>YiADwnB@?&iLl~Pi8D&@)-%nNyX`RgPVI^;5V5VnkY-z;K#cH5u
zU|?Xx%EfGHX#wIJ8W@;Nb_f!kY{3>NYL=2{VQyr^mBCQJQo%BTkwJioYyIRxPH~n#
zMy~G3j6zbAkNfJgLyepKP+dvg($Ye~S|P0{HC3T3v#6vrF-O5x0c5BVvLPfnWwQf^
zG^1Ejvav;~p`kg7V>W>uGm(+25A2vK9?8iE)g_S~Bxz}3g4IFBlXEpqCi`+#i5sRS
znV1+Q;We7c(q!^NJ26Hhbn~Tfnr}F{oqIWvhMR&6XEL&!?8q;H?0S5rzvJ;F(sXmM
z>BfdwOgF|JHinaBHH{~0@of}0Og6DJPBBTcL<x74L?AKwm#{dKu@R>6=9XBEH!`0*
zn}3g(iKVHzkwuyT0h1YxCp++?#Ho}eZiB@HchSV)&HX}3j2y;h$w@|rDdv+G8aqy2
zs3yUP;b~?|6XVH++``P3mPVU%MHaDR&uqq)Mr>RxmX?-=lO2OZHap3PaiJS7&SZfR
zW6YMu7Esd-CX1+ha~h_mStJ>ynHx`DXdJ<4fu1^1)XQkNkfdH#^Pjk3iiw4pv86>K
zN+hiXXS*IouFlC5)%6)Mk}Zn0Qu?Nod9`afEX~Z4lF||rK`v#o#1e2wUXyb$o?NL@
z#A%q8W?`9PXqW`iWQ?A~U=}f1T26jwXg2w-ZX<`WxmlXAsZlZkEzFjd29u@qH*y-L
z8mFeES|nLco@nJbd4roMBbHz{vV;V?h2dlwGlR)H401`<mn&j0S;(l3!!X$((IPo9
zkyz_AR3}#&E0C(q-Ns;Zt4T8>r(v3@fu&_)QqpEYvuBLV=7wgIf0?Iqn3<X+8kifK
zO}@b8Jo&h<IJ2GsUT<%fvdm}XuuL>dNwYLeAx0OIrN!hs25OVjt(6guAkx$BHebOm
zwYN=UPqQ>PO*JzjQs+cA2O{E7*gk+nbLAYClcr;HgX2?X(zHxgaPuHhM?1Ii<S_To
z*b5mWXdweCM}kBqmsyzL4;oHO3qwdPJ=xb*VX~*EIY*MIWon9nQ4*1%Z{uapZe(F%
zXqsp=d4iP_e(MC_)<Iepb(3AZ<9N&sjF`C?A;jeM-sxF6TqYqIsS0WNIXU^|nR)37
z1&Kw8xv3?oMa2pkiDjt@dHH#|DXD3Rr8y-EWr;bZsd`+h#asfcQq0Ddrdn*t`MJ6I
zc}5~!LM6#Ldc`G)CB-2bMXALZ`8g?3Y=-8#W(Ecs3<azeteXXVS{PY2Gjh$HJi}LS
z@@flR<hq#I5~&a<lo8+T?-$I-WC(5-Fd1Me1JRW025>PF6;D}#JBUzso(MG}o1KF@
z7)^|fc)7R@46MLN&%n@#i;Ke;+Gqo{`4DYDloYdg@}f{3Vr-er7&gz2i;DwnzLkLi
YdDe+c{us87(PZ+1a1o*`eI9-m04;pUZ2$lO

delta 2275
zcmZqO#JFW6<Ae>YRR)FznG?6>Ll~Pi8D&@|m%AU3H!!r+v$QlaV&`Ht&@(VFFk<Cm
zHZ(CdV&h`5G%+@t+z>1>*^(`g-5|v>#lRwQa)PVlWJy0gcBsC|f+k8J^^+Mbg_%L>
zv)#`SW9DWz4rxY?)HH)+V*^vu$q5$DOh#st4fw^F49q7V6p%nO-vDa9(PTxgwVXyK
z2Brq4md42-eUsO4N>2W0EQzYa*aV`($a1ovyYb{h+$F^5GBJYaGB%(5oyU_z9gKWW
zIE@m`l8h}=Es{VkV>Fw*&{dS#K+kaU0Rc4kL2ME*F*eI!C}62znZU>(z{Hg>xzSN=
z@-_ZW_CzB?^CXMJ$?+C0Oy(BgfH5?~5->0an@yGx+=Z&kl7KFB%R|7H9}{}dVVZ1W
zoNQugF?p}B<79n)aZuD?#)&aBtSmMciY#K6gC+>Hgk%m$NG6*NWwg1F4UWVTCgu<m
zO$;YXsC#o78Koter<t3XPR`YcU^277^u4JeRD;=M1q~O{G$?BR<1{i&Og1zyv^0U(
zV2Q^D)5)^>rjrG<YdMU}Es{;r%q&5A7|k(Lo+&h~nwU)X)LF`Dlxmiem~3f|TRqGZ
zrjrXr3?}m%u}*%V+sK}3l45CWoH#j0BND4E2wk%J8##<DO)ZQJ6HP$MLFolEwoIWx
zWiYwJU@n?^EFL#OsE4?!jl<l;$iyhg&<x~pCUcy6m`qG2%WJ4jE;d#mRtvL<iNR(c
zlg*5rMiwc?hDL_QDU;vJh;NoRJI}~$W^OR~qj@?<lBuDAnTd%ZjuHs&-p%5c`HUQ?
zM#*VLMwTXoR8PKZpgK9rS{cdaTn%h#6K?)w9}|Pg<u*sb4zssSV>dNOF*Qj91xqBO
zDP|Iag`~0N<UEZC0xEdz14uB>Q^$C+heHYRIyRR$K4pe02j%X3Ls1q3Jq!Hq7IgC<
zS<_}gcQr;FHGwIlP%yRx*DhsxCX<PB2A^jvM`DtpNwS$)8n|d8N|%b4J%_nLqLGEM
zWfHP3Y^5nUk_AkREs+c5`pKH!alB?0M$BA{21YDgOooP&Cwr%F&hn{aWZA~ZwP5la
zU%kl}tRyDu`=QrcU;~*=j4i?Gd4XRrBa;E7G&jH!<i^kf(AWs9ye@!?5qq&}3@uiT
zCmV8zPTn23gBWGYf_4*BCL_9eN^l1wqw(aA;UYLxn?Yl5^2DGelRt;*5K?9+BRV-K
nY~JLMAbA{0Vd-HqNU2l!I!05F_1F`Su?f_A190N8h&T%X%Htk=

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/project.wdf b/Vivado/labo1b/labo1b.runs/impl_1/project.wdf
index 58be078..20510ce 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/project.wdf
+++ b/Vivado/labo1b/labo1b.runs/impl_1/project.wdf
@@ -6,7 +6,7 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
@@ -22,12 +22,12 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00
 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00
-eof:188425586
+eof:480339526
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/route_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/route_design.pb
index 19970e6c803e82c744c61e6f5cafd39b8985732a..c481ebcdbf9ea7fb2d86d4c2baa3b84b5752c4b4 100644
GIT binary patch
delta 3195
zcmdnh!r0uvIAH^8qLG0?$;7Ss5XNRr#uZFrsYxc5mMP|jTp0`nEEOyh7#ReZxYkZS
z$Scm$!^qV+S%6(!+}K3HS|K$jv7k6LMZs3Vz`)ADz{<#CvZ1hqgt3Kzo`Hp#g0(_=
zVrHI#t%9MMnVzMI>EuKKVP-Q+!^weM!c69-n>$$;8EI-6lbOZj04@<`b5qmFcjOHw
z3$U_Iu3$^2o1F*6jVGUGPY^duO*1z#NwF}-8={~9!w6AJaEO|inCn@XfPx$vqDICh
zdgcbkpb%v=o7})I%4}v~GFec<o!QLPaIyiXgn*%up+b#9h)1xku7RGZk?G`me(}lq
zoOWX7$!5l>$(G4@+`?!)Sx`=d$p~t>si~e3IKE+)n;7XCSek$=XErl521lov#pHG=
zlgYEV;%R5W0dd30kGW5Z8zq{X873u~7~t`OxUmJc1WKBZdYIKF_wdTm&q7^U!^u<m
z_A{9oPuAxYo1DX+A!=col$?}gP9v`x!6L6gR&DYo0cCnv$82U{IJr-7$K;vJl9TmB
z<i#xM;772f?4m2^XIZ9L09`B-Ftad3E~vdGKa^IRyi9x(v$?V9WCKpo$psQDj7F17
zC1S-a4AM-}j8n~Ml$zj0LARtc-Rx?YnoKvl8s!Wpv&a<C+CFfuWHz%fn5-u|Q{2cb
z*}}-o#GIyO0NA!J7K6!~<nrlg;~n`KsL6EmL<I##IyxXnDN{I%i%GRufJKWfIX^cy
zKhMaP%X;z)B|~vTBjaQ<1CvBk8f6zmG+$N@5Ozg#g$|e2WHS{7I=VtYHG;8ja;9oL
zx498B7o!1~n0!SwJu8RHBqSqMAuT^AC%-&1FI}M^u_!S&wIsEuSRo^^EL9;dKTkI$
zH7&6;r$nJFF{d<Dk4v?fOMq31+1Sz)9<U-@LM6#Ldc`G)CB-2bMXALZ`8g?3Y=-8#
zW(Ecs3<azetea)kFK{p>On#@YA*{}&st{a|nwp}JUX+-UYNeoSxLM1fhjFr|h1KMl
zM#m;^Gh8`2-uM-6<&(7xrfrrnwP2c@p&BE=%EfGGXaV*Wn3#M;)oU}4#al+k_mgeZ
zS|`_A$xN1T<efa<npFqVpfxZs;^N{kHZ?KUGcq?fV&`Ht&@(VFFk<CmHZwFaV&h`5
zG_x?CTwpIU*;=h_a)Gt*<k?m-^2DfrWz{x0&c>7&wVRLGbTCfVcMv5;@nnd>oRd}U
ib%;^V_!{hYE(cZe{9W#_j?sAXL~9XZESsF`coqP@nE^lm

delta 3324
zcmZo}VBFQhIAH^8m4Tr_=ESY}5XNRr#uZFriOGg$rpBg8Tp0`nEEOyh7#ReZxK>YI
zC?w9(#mLn@Sx``1+`wGHS|K$jv7k6LMZs3Vz`zQG%qCCdkPtO6v(&S&G*qxwNKeen
zQ?OMq)U&jhyii<(+0e*jvLTx=ld<vUZWcyHTH0i22C|9S*vNdcJKG#O*))%Rvbd3{
zNs4K*VR9Nu@T~y{UpFIH2ch5-H?TC*votkE1fP+mo`H$^<bxc-%!Y>M5N{b8O@7Pa
z&SYvjIgwvXNY_Bmz`{_WMj^x_*jCp-&)9Hs9A}uAg|T6xS+b!q4P3%xXf)Y?O@!Il
z&}ec#*CcvbHILn3@*D1x;>HF>Ddv_IMu{}`S|P79-Rvshd(UiQVmdjAzg9HW!Z6Lu
z#FECon<?NyC!1yq?qKwrd{9)GgM*9BOwUlyaPk#)wE%A}Ce>mA7A>~q{M_99JR>76
zgMf_0;#38&5{1x$l*E!$g^<kL%)E4k8U^Q!)a2~q(p)PAqol-C(?lZ!a~g-xTMl<-
z6H~*<N&NPcZ;MGz&JmIqqm$Kc!Yk-y)k={7dReta^eH$%_sK~znoQm%7At0+l$4fe
zVn~aaWHvOi02P-^#)gy6iaXQGsyr@}$^Rtc>0uqSv7zDQ9v0)t-cq^run!cH`Fw_x
z7fWY~8>S|wB^joe8PXsR!eS2UKZD6XWb)}}p*x$w<Y2iBL_*zsLr#H_e)exs$eg@Y
zL~^p3qPAFynT3g^X|e$g0-4Fsc=ARWP#tbMSym}P*afXL*5=Zj+@PdDFDJw(M@&{V
zjhQT<!op)>#LUHLV8p`3WN0{fqN&&9Ochzi$CCqXTm1yM_=@sNOH$)gQj0Uw^Q;t%
zxVSh#jZXtB0}CX!8HjBRZA=+)aIu-2=~<c?8nJRQ8yXuKv2n3j8XKBUo?t1m`J2iq
z4#vdE2X!?>G`Q3hf(uepQxwvR5>ryG6m$)JHviG>VPsq~IZ;D@@(zPa#t9IX{)Neg
zhOhAGoBT(2+UB=L7EDYHjFZ(&6Ht7$d7`N~6XP3@mnQpJ$V_&&l94yGwA8aOH#B1B
zVl~h+FfahS)ePcRBQvwf6D(&<cCr+9<mKXqde}hEz|aVd%f-cEOxUFJMA#;>d6HEJ
zqlpQs4MyOA#czZ8<O!CGCx5ijAz%wgoe1N*$$_@3CQI3f;!te>jx9(Ch)i~{TW4a9
P>Le39PD0geW`7m{t;Ho0

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou
index 1e07bb9..7d38147 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou
+++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:37:11 2024
-# Process ID: 9169
+# Start of session at: Thu Nov 28 16:35:19 2024
+# Process ID: 16486
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -19,6 +19,6 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11482 MB
+# Available Virtual :12272 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado.pb b/Vivado/labo1b/labo1b.runs/impl_1/vivado.pb
index b155e40f06a230303a04d2a77f07560e35c5dc93..ba7d099e451ab5cd2205034350d13764104561db 100644
GIT binary patch
literal 112
zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c(
zlH!sG$tYFu%P&(fvQRKIvobcdGBs5&GB7fUVly<?H8L>EU?^a%V4c9oAi%`M$HnEE
Pm!c4qnw(#hBESd$`Lh}t

literal 16
Xcmd<$<KlA7OHl|)P0lY$5nu!W9CHKI

diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou
similarity index 91%
rename from Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou
rename to Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou
index 50badba..440caef 100644
--- a/Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou
+++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:36:33 2024
-# Process ID: 7847
+# Start of session at: Thu Nov 28 16:16:03 2024
+# Process ID: 11165
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
@@ -19,6 +19,6 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11470 MB
+# Available Virtual :13191 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou
new file mode 100644
index 0000000..d45c5d6
--- /dev/null
+++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou
@@ -0,0 +1,24 @@
+#-----------------------------------------------------------
+# Vivado v2024.1.2 (64-bit)
+# SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
+# IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
+# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
+# Start of session at: Thu Nov 28 16:31:41 2024
+# Process ID: 14663
+# Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1
+# Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace
+# Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi
+# Journal file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou
+# Running On        :hogtest
+# Platform          :unknown
+# Operating System  :unknown
+# Processor Detail  :11th Gen Intel(R) Core(TM) i5-1140G7 @ 1.10GHz
+# CPU Frequency     :1804.800 MHz
+# CPU Physical cores:4
+# CPU Logical cores :8
+# Host memory       :8296 MB
+# Swap memory       :8296 MB
+# Total Virtual     :16593 MB
+# Available Virtual :12349 MB
+#-----------------------------------------------------------
+source design_1_wrapper.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/impl_1/write_bitstream.pb b/Vivado/labo1b/labo1b.runs/impl_1/write_bitstream.pb
index 10224055f6722eff526effed5c2c69dc5b4ce8ea..cda15fecb4fad0845455b98805c76c0da0be53c3 100644
GIT binary patch
delta 1826
zcmX@*xY%gIJ4Vxq?+w_^j7{{+OwA^%F)C>o85tTWSSzFzrKT#BWfql`Cgv#EDi|6X
z8yI9T6tGmVOkiXXVB&I}T)?QPA;cw6k!+r5WMEKIoRn^2VWMjo#b#uvYhqxW!BD_j
z!3t3vJ$V<SnJ^Z`lUbOqC>a?T8tECEnH#Zlu^Q+Z7#J9_aj_U$m|B7a3=J$z%_bZ2
zh-~I#j$xcE$<#La1B<!|FBi9gffX3(85kOIad8+KSQzLTnpl7|AxtqhHv$P58d#WF
zf=!8GJ-|5Gm8Wg;1}=`ttJnn`FiirRYGq&mHr33+QqR=D0AvWlR3lSkkbt3qv8e&b
z)XAJ29*l1$7s$6xzRn>&c^5kmHU|=A6yp=HF(*0o6p2&+3aowumkDv|CyR62K%IJ%
zTg{M*i-UCUGQJ1fd78&q9TN0JxpcBQZ;GU;rCAiak%6wErI878a{2)_(UMQs%)|&K
zMoiFS!A#H6*aDnF&|`t|1K5!HOqNPU#JOl8|I^7ug6CMl33#%rP(FmUd8g1BMn;dx
zF0$HOpa4>EEh@?{n%pYeTp!M*8C05=mzkHYP+pW-k{X|sSyEh5l$w~UP@Y+mp`co<
zP?AxUnwV0o$0fij#bRh~YM{lPl3JXZo>y9unNw`U%*AK{4gw=1b0g$TV$UV!lb@IZ
zGCC!(BvBzPGbgoJPfw3afJKVM(8%0Si#w$rVNevip^>hkp_wUiFxqj6!ptbnEJ;-W
z8H8knF^W@QCYU3efaHpz{8Dry>W$2eu$p0pW=23!esXGYF~~Fd1tppJc?h2vn_)A;
z)DR^-*l-Cu7o{eafDA~=EXhqQ0DGez926#&*xX=Zi0lS)E-_>SAaMjXgGGwbT#FMP
zZ&55J2D&Ig5XvPHUX%&58lqD{73=|V0TwAHLqjC(MiN|Ndis;s3X0bo=o+Fp!HrAa
zDYGO!HBZ4gKer$!wInq~A-FUd6fS9{IXRWk6k=vzro~y1lbGk2k(!tSi4+SHl%&zc
z#Py6ZJT)mKF((@wrwYmWIXS7xpnz4#ELO-(%u7kEFUc>eR4C6#%~L1^2YjMJs85JO
zN@`hVa;ly}NWMaGYN|p>Myf(_L27blT4u5WHX~D06w>mG6e{yeixeQ~R!1SfAT<xH
zv$QxdJvF|#B(Ws3xFj>VIKDhJsU$HcTQ8#|H#J8gzeoY6ii+GEaFSNYgcy|x3X<H^
zJdj!Wc?v0+MXAXkALt3NN->*RT4=Es6y;~7CYMC98R{BZn1fOnYX$4%2)RwJCZJqo
zfFPn+OmtDB-=9lLwOAn;9`40R;SS1BOh%TI?d6qaK*0>J)A+c!T=P;Cf>M+7i&6v_
E0X_iFp8x;=

literal 8394
zcmd-|;^J}6&&^HDOR-W=E#?wnkzz5lFgMWREXYaBbIeFhOfk~s(#|hP&5KXYNKMWz
z$j{6xQAkNG&P>mXH;gYYN-QWyEs8J7FD*$;(Mw4#h+;Q1(lxR$Gs|EoV5wl4z{nuL
z#O1>!#1&kUSX7dkm#&~%tPqk|oDH&z+0ejPi#s_#uehWrF*C2E*hr9zAI-8THbV<t
zLjz-E^ENYbv2cN%i>iAPBUe9TNM>%Tf=02Xl|phsse-M7fq@km=^2<QSSzIFBo-8>
zrl5!$E9fcsrsn1sRVrxsI%!%d6r?6*E7&R+nwlHx8JQR<SSzF_X6AwP=ouIoC|E0`
z6{V&s6l7EuXC^1+fK?iq!i38*i%Lo%q6TJ0DDHOS;`hl<OaX;LL1Iyff@-k<s}!@L
zsks(gN@`hVa;lLqmtaM*d6j{oL1uDJx{;BouAxs9n~|Ze2{;5;D_9{R8pEX$kXTd#
ziZq3k{M2HFy!;Y{jKs231!q@BUxl2^q@u*4N<EPAmS$%7jb}G9)U`A+GS6TrfSdn;
zk*i3x7(FOei%~*QwHQahfz?5S49rFkFN7c>v_SF6BgJfDVW7p9oS&PUpJyb)CFPe|
zl9O3nqEMU<Nj=H=xdl0?C8>G}MqFGR;E1&{FhFM;S{fPYnHZWFv2(E+fa1)Ejf=(5
z(9!}VU}#`$U>e0{Xs&B+WR$^Bz*E5k3DjUNUB|q{oXRRt1gRD)gyv-yXXYxT=A`DP
z=9LsHq~#YW6r~pABqoCexCB_Gm<-Le*z+K6G-BprG>l?3veY$13CjpBBdAFsMTvRE
zY57IDi6xo&c?vMUq$p(OfwF~jK&V1-YI1&FN-@}MBMTI>4Zvm_Ss=$#7?&I@gvt|(
z6_Sfm6H8K46v{J8GC(Fm5}1*tp%!~VQGQlxa)}WK7h70nSz<~)D9nruj7;<l^^Bre
z4RuY-Es;(3=F$u(N-an%0tE)thu{#%$xqKrR>&_X$;{2H0(%7HSY~4rOSmN<<4nyB
zkd1pgC=!$;mv~TWVv0g=Mq)v#i<5#gG&NZfNKF=|dPb&@#DhppkmO}(U}R)~Ej9UY
zX$7Sgm*j&35ENK>=?W={C5Z}YMftf3Ntt<xMU@JPMadbNWvSqdYGh`j#RgFr#b#`w
zYhsQP)oxrWP7qBHH4wv!z*eNf(-PDqQ#6xMq87zvNTn}Mmzh`~5)deEElfehJG`)P
z<x+;a3}Ki8R)?9GTc9Ko3sX?}2sg-^OA%a6D1agfl<opxMXXC=Nn%oBacVKhf2_u)
z78a&j+>mOhv?Md9IEvlKK-UzKpW((?aS6i=f@fMiaJ^$>V1}xl&B#F4$N=Okc%}7X
zP^4HfE)kF)6kxgBIWajS6@PLyGBvT(GdDK|m35H9kCltr%-8@_23ndMn`29?E?m+e
zYd~QR4yqz>>H>who*p>JSPab!j8MZ26y$~$mY^aO9%zFjn~OpsUcm)isVcz2jF*cW
zR$=HF7#g8*xwtsU3AsmuBAEzq@qyw`Aplf4xj0cjfefzP5Kx*^oSNd3SdyGU3(s0`
z34%fslp8=T+swRla2{YbGB8KY0+3<@RND{I91sL*m<N~S7bT{HN)5CmkH#f42Mn$}
z52`X!k`&xBb5e_~6i_p{5jvMlA6IahL#p=F6iA&3t;Q8<6r2M>!Hx3b)Z}7?8fdoy
zEaK~=Py?!bSPab!QA<)#OUyvez|_D1(vmgQGc>TYG&cZOYKE3ZCdhrCZ-b(|k>iqa
z%goCx&PYvBh;VUr1BbT)G*Hlk-4r$0O+o%eE^1BnER8LU2v<_?28Gwj?vx;Vnb>+c
zhf5KA-yyg(H#f1U(uxbx#5XiVw9t&yxm5k~F?uiMsYR&@C9obyYKk5gs3~V?Xo%cQ
zFXU2pM)dQsbt#fcGjmd)Jy&C-=A4l<mn5WPp-=|vRw!tgndl~EmS`Gra<Q8lnweOb
znSv}eGXN!NcsuR+pomvtE<v=R2jp7R&={0zA<YK}+sM?^OwZiJ2;?_Q3sXH)0|O$`
za2&>f3zMm_7H1i_OIDJc0~&5AFUl-QjZexfDK05WP0Ur$P0KGzPQ@5?(M!rKiDEU;
zH8cb@qTv-#10$D%V@XMBZUK0>r6gY=J+(w3Q6VQYIW@0172JnQOHC{(ElO2TS1ndo
zNX$#o&o5F)fi#vtLZD)b+1S7W-Vqnz;`0RcyFvY6aF-7h7_fl~aC_3&1f>=%;4*N}
zFCooNRw-s<LqzAF7&}qAHECQrL8W<lpjz7{$XOw=SRqlNpa|Tb&II?jOY%Y8q};?j
zQ2GV=k;M?yYegFC66E4X3w-c+7DyR#8Egk?EvFTw7H5Dg^9%ru3gj1Og8F)?;I2Ef
zk+F#udvbnJYI<s36q})?u8}cHl6U7)^2=8!Elw>`K+~>JT#%ZanU<NF0_s+?7#f-)
znZ#}g8eBllJ=I)Fph2b76a{GZLpHDy9L0JNql}G>;Epmf;xf?B$j?pH&&W?NNi8nX
zkI2l)%&X8Z$<NOz)`u9S56Q^-pl+*vCTMIA<PmUkgJ)hlE*a!dhmAaxWE7<)!p1R7
zw3t(hl0oAm7E!E5#=59uO<f#Z6WBxZ;Qm(d)c02iE=kNwNi0gSQZO`B$S*BX$WK!+
zG*SQ$J|yNS6yz6`6obMKthgAeSV6<nKRCqE&&4swMN^?HF{d<DLEXjG%`wy`L|sQA
zGq1P=)cem*Q%D5qEmBA<1`TAUroaL{8KOx~AtWQSSRpsDQXx69v^W)PNpgN(NopQA
zA>|h-WafcJZxTx~lQMHMODZ8FE+rYM3Q75iMJWme`Q@oa3i(9}$@zJCsmUdoWgta~
zX=xyKUb;d-Y7uxGC@(oxM*-x9#2kgpypq)PB9J<;`3k8OB}J*ZsS3%UelOG^DT%p>
z>8T1O`3fMrpjkG*2+RXHC_fK8QdN?#P@a*QoS~3eqL5h(b3|&2o<ayH4-^%pCYLCb
zfc#jNnV$pB(K^sDs8mSI$pL#97OKV2;DB_u70NSnaum`MGjl+$NKeg6ElMm&RY+7o
zlvkyBIjP0PU~^F83p_vx4SLk*hS>!Pe}$CPw9GuHa}#rN^2?D-2aj=qhR?uBtrRWY
zpc+)HpaB{f1r-9hxv6<6sVSN|pqPjF9W=@a9{Da#Er~BE$}dPQDydWmb`5h4@(hVo
zs18po0+lAU3eoAQCGjam$&fMdVg<k85Eos;SUm*=KmQO{D~0fk)I5dKVo+fKiWW%b
zQ3xu{D^|$NODjq&E-5Na1{b&*se0*p3OR|TdC3{^MIZ$s$vLo6NmEB5F(n1XZJ;nt
zRM0C)&QVCq%t-~6)lfBwB~TG)F{hi6pPvmb+|f!fh2oOb0<Z+gZJ8*=xI$5Bo}NNL
zQGQZRYAz&Gf!eBis>K2vTFfp%&PL8$3`#s)%*i>~0vu8xN{Nq)C8;FOMSw#JOhft3
zP`<O0AQwkYYD%=Zl|d{>OHOKvkr)?)fSi<oOOSI-KxQ7OlL{`TEf}NNAVHbIP{2{a
z0U3pT$<FnjEi?~4l$4sH-~$?ROw3UT$OjF1<0%lp2`mp<uwrKJocv^PS_fqa=#+zk
zhL69qCNzbQ;0#}onWq2?9$IJk;>?m%1s{KB<Y<8Bcu)yNe3nOXHf=I{sI#a4Fv{!$
zn%f~^iJaT_Fmja!1e+@)<>V)4D-@*`mSz^ErdTP2WTYy9#FJBVaujk)i%S%eQb8p*
zc&-Fob469=6++v@p!t*hqLkDkP;r@>mzb0T8W4xnaw(~4iKRIuptP2jnO<51E&xCU
z92=y7(*&1I%HXmo*t`a8D%2UpU>AU0Rud3x4l1sq0)owTK|Ln63N}b_6~ZM7YHg;$
zdc)va2{f0*D#c=GX<&*xl`6(1Vx-_&RFq#-tfOG40Igq&!EH=qLrav_Y$lgeKu&66
zajHU5Y8u36pz<4JS5Ydc`J<o#p+OasCb)dh%`Zv?RXDH-CTO$I(!dPK5fICa3{Ylg
zg1EH7&eA9bnN*sWqL5Mwp0{uba@JFD$}CAw%>z#{g6az{P*HDaY=Z1Hu&E$1<hb?V
z5(`QN`2kchLc1TJ>IKvqWwJ2F-MfflH8j_?0QG9%&CEJ3chzDA&%Ct!Vja-T7A)+*
z9Ot6U67WncOcY#Csun}TS|K$rIlnZoB((_C>lI*;VluKo?sBnkF&P<xTBl&b0K_tm
zVlmM*0Yx;tUuDn5rCJPb_<)+`EK*Fy2FQkB={kUn0C@s##GvWuqBRkaJGusjpuQcn
zqYGvmSr{1W85x*^I=V(CW_l)Orl5|lsR?98!OX-0+yjJ6zQ89_W4J_ID>A`dP}O3E
zM9^e6cq)NaiWxNf0-NGROh-y_NrYsSD){A>DHxh47#dp{n_C%MD1f>kVDll<Gw=k#
T$HnEEm!c4qnw(#hBESd$`bkCg

diff --git a/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst
index ded77f0..845ea13 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst
+++ b/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
 <?xml version="1.0"?>
 <ProcessHandle Version="1" Minor="0">
-    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7594" HostCore="8" HostMemory="8102396">
+    <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="14309" HostCore="8" HostMemory="8102392">
     </Process>
 </ProcessHandle>
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp
index e54e1f0e1aa3ffa5c83e8d0528ab0608159ef2de..494b6b37241a49b96c67e3b257a536e77a08a8aa 100644
GIT binary patch
delta 19179
zcmex0m+{X$#`*wnW)=|!1_lm>oy|3oR};#;#hDlw0@)ZC*cmt(Qj!bwDspo|Ls%J@
z^Lsqfk52W>Uu+=Jc7AevV&N>yDNL%TT|Bk6nT6;GPFSk`q(RumIftc9<$s-r^Oa`@
zI5PQ)|K7WPe*4W&PkxqoIJSOb3O0J`68B(U`rgiI3tp7F8P+EzlwMZpWHwVXnP0?Y
z{<?Pd3h&F8oYON`x3SAqI0UcL=X-K-!Fk)bFVmN%w#qMa`+D6$w@|A27@G#8V-S;k
zD#MpXjsE#;$(bxUwhWULPKKX3RQz?Rm`RW5x&$A`(u<W7ryuy<_-Dh>H}1)8mf3Tb
zXK<)GCLAr(?|;I+QIo6QG3?n1ck|on3Ri+6L@ahm$tDZ$k@DMNWD~zpYIDKW5U$TZ
zyjMxQFYMwttnx^?N<z5AN<6VO+2wd-uJEzarPmiTp3Q3yX#B1sayXf9GtblT*yF5s
zmU(DOn#OLu^Y+!p`|9Q1&)%7MNm$+eWZuQQcuv#`r%d7es&8JOx;RcL);oXPYjj;)
zGsSwA{5#Ltt+_K-Ew6a*yN9o3);d$;HM3a{TJBzR_=C^sTHe12D~oh{TUoWY*M#1e
zlVbm&{QHBa6zAcG=Whq?V|c#nYU{KkndT3iK8jQ)N3Y#e<@n*i_45z@fB3U=lfkWo
znu!N^N|_~h{k(L_@#8w_)W=(l{FX=`3M(;LFB)V&agX$|%TvR=<PPUeFuz}VX#a#m
zvyS}N$~b=QTGj84{#f@n`>hY|5e=Th>AkD*S@zwG_3D<#RwTRrXPRYGS0}bP?ma(B
zLYl14qzg(go8y_37@2oA*Gz6<HUJB3XEtNx10}VyvcDa285tNd7$^5Li%&l1&0P;o
zWQ-Y%)Bi=}RD>B?xH>6-fKj3Wh*B^#HQ2t^@MYNlz={){p5gQ7F*Qt{;S~9{V{`44
zMaREA7twQ7uvQUwGAnjIao)>*_cW`V9lPCf<~?Q>UHIkK?o^T84(B@sf<Lrde%p9z
zN{al<cTZpKvg`}~kW=@0esq1BX7cOh4gKGID_;B%nKt2=lcq~X`MS93BRpRZDt-t_
zSZ7lHD|6jTt%Ju5*Us{|d0K11ulcXP8b{1O`DHKTYT31$uio$Y?z?Dp*SYUvE9)n>
zRlTVD$Hi-~)K6{U{^i$V&p)YKZ}@Yz==lJd`ST=Y?}b!0bW50d+kbyMS!?I%CClrj
z_h>G%_4=$Oz0viHeLPooXXRG!XL_qkv~#0e4fnj5=5TXgOX|A4r^JLGJMS~J+G6y&
z=RDKl3+v=%bSBhiYvvTT<*L>9gqW0USh9ILOPoXgB+jF=b{HOyPZs{#EpmHRBx}Is
z&#q@DOmJDxXQ?N)_s|1dDGg(I#PNfRfWOWsqpLX?7_N&>-ls1<c>x<&Jt*f-jfl>X
z375QQeDC!B&D%Gp=cWtI+NA0GdYk8mb+X47id$LlejU3>ygc1}WALSep4)m4F3HTf
z)fVmCXf`E#2g@ZlfzUlI>>(~!#EM*9J~#+IVpKe0&D0XrsnvC5`iJ?a-<+9Yb7kUV
z%lqa3KL3CI|9ts5$@9T0176HM{ic55&ZA3@9zOpp`fvKDZwp(M|JGC{rY8PBR8;SM
zuj<o@jf=beWui`sALpK2S)8e<|Dfn!M*YtEFE9Tc%$06;T6iJtkNhpEgYm7`CGy*y
ztdF;)9{%&XBj<{TNZy{>mu`>DcD($jtP^auds*<iE9K!)ek+Y8Hwko?pIKJ?;KHFt
ziS=A9s?|(-I`R<~H~brqJxZ+(D@#x4P<{1wQgHrsZQf!#-o$0xItgq_t8T9=IVEb%
z95^lGv>Bh~Q-xzj%sTTe8Kwp1_IFp8y_(4^`!*%wdY@y{($tK8FQuomOm7*NYIAE&
zD_YAkxp~WT@1N-t5??AzWqvh#-J9eIuEynAT}kyGoX6LNiWTWB)i{~ckZ6`Qb6e^(
z?bOh9j@LWZpPsv`;z@#tx>fe0j-d5X+tPP!-KnxBSz*UaJ*H+xySZjUBG)!Ad9~8^
z(&Hm+(?W6+C${n@XkK}9F?sLYrrQy7^k+Im-st$7#nrU1!1@=DfP9MQepjhaKJrWA
zPaRNO$2iq>cm0$$>kYTr?U#rsyBX}5tRH^zW^}gssY9n4&gMjXyS6$s-ktB=rzuSf
zXUndh)VO=;Bat)%vw)UGMFst<9{QB$FTJsCSDG^W_f0+QuDL-4^6Od5=WH$KIV|S3
zw{lwd+e?4mSlu{P#Hi|7C$h~@%wS#E#5jKWH09N2UC&!dq+9CO8y|n_zTm(n$G9E(
zi+(pSvwI&-U@Fc{oaH1Nw*9h1tLL#(H^i41Z-@<9`SQd*9v{veZJ$JTy-m08zbn=B
z;dYWz5Nw~T)8w*#7oXjsqCFQ&XDz$Cwq#0w;Ewr*CvWo>m%Cn!tY8pbdc^u%>&#Ym
z+hqzf+atK<^U3SI+4SL}LU8?wgNJL5X7z;{N3Zode6aLX<IA`Hrh#lr52neeBzLCF
z3EG=&{wl21X{KuI0ar^NQ8)G$scCImT-)#6(p9^7_K=T(;GHL16O>L|`MKr!-_9DH
z6A4PYE0jL}bGhc+-1}o^qiL&}_q9{^zIi!!zx=l6ZqD`}Crq}?jN5$HsEo_vbbaE@
zl84HAPj;PiY;VuAn(K8n>y40_<p$<CHtxIr+;A}c;$yg9<;#Z?4}Tr2R88E@QZq^N
zMzdGY=A%L>34N*?o~lh~s1#eheeTYiQgLF{Gw-deDz2U4oWD-KTycIg-|q+!*-q!z
z8xx+cjx1=J>i$f4&$-b0r{YaKVRiK%zOCL;f2z>pfKqSV_Nd;&ju*2`HNQj#ZIRi1
zdu8;DE01*&XU^@5SWu<S=fB}Z%<kl~#~%uGn^YHHeQBX{>RO*gP0+ToV-JFJPP{V_
z+HfQ(Z1M4O?pA;9=YP6%O>d={v$fw8h}+;<F^O?g>&l%?b6b>|8?M%$Vs(9E`Krm2
z<+R=mw+;152V4Rzew<-g(7ZhMfUM19rgsk_#eHsD$s`9d&hq@R=F!D+Av^U0SC$m~
z`N`;TJifqDu)RKzXa1}lwFj1Blh3|1kx_rdx;S9Yv5%QQCh<R7Wv`!DXno$AH~yRB
zo4;Kxx4CUriquR^*t1P$c9Xb@&^D1c6&*$Mg3DX1DmKnlsE=7L#C!PqhpX;hj;Ew%
zb5?Y2SaHXPXP=*q@6{h0w=nK={O~8NdVRCI)6tjLK3E1DKbXzp@AzFWYs=c$KVkXP
z-5y4_q^3&9*IL)i-taXe?)ZuS_F8=R6?N`7DsiiSWL?vuY3*fTRWp5q2j_jhD9aym
ziJ6z@<wwpv)l;L~efWEQ;fpn)>z6!a-xRS!>hiaFUkkc6Jp7h(edTt8Byr`1Z@B!n
zdOd89(AxQ`b!Aa{6-%9qgz0P1^lcAKWQ*73CAYdi*mC@qp7+C4nVxwvUym6vtrw^Z
zX5*gHV%&1HYHjS=Z}X<53GfO9TKs%<RGO1_i&Bj3=g<G$UaI{vTgZQ*Ua-EZVV?39
zpZCk3bJ}{;d%uYM__^FkU+9;0Qp=)(M^94iDmWBeoZU41@3gTk=ycOq^mw<S;Qq?l
z0yo2Y*cRp%{8?`EL7rpnrQnulFOs*oslPOJy020)=ikH&e7&D`+W6hx&L#DURrS5G
zMw)!<{y%So%3EA5>b{;2UleHZ*Za$w`okaR&)F^M>drE|e)or{79r>ECO^|;4{2qK
zlDT!+tXWfdf&<;=xYXoi%<*ZLyOw3~{PBKHmLDG#4%{qfXB5ijS-{S;=ifQs_y+A}
zhVc6Ok5gyxI2btmsAUm&eekr!vY$+Q9`YA_P1SF%=QrwDW7u4v&>YXoH0SfR2YWx~
zHPkOOO<TZf^rKYafOlNyKTD1UYYiDVS#}6;6r4K!;MW3|ho;jqGy)cNH@bdfnp6Az
z>}4&7l3qroMuzE3l5;+KeVOmbw$YTWgN-SMFXaKN#qWCx3~LM>bOauFDKu}sC-vv$
z&-fJ#A5Ga9^cg+{R(zdhVPyU><3&94qHptGh)V0#A5e_QJ$zK%QqSvTp(vY$&D8MQ
zA7T|P_eHa)Z*y-ryt!~{?}bHAcDOyY&^yJj+_7S6?~P}Z9^Z~HNZQYC!P{h^wN%qm
zFVeCv+vGszhFfkLenl~zlOA8<E|6OBi!Y~Tg357Lwa107tQK{ethp_AD#uHeYYP{$
zSnxhto%@4VvEH(-*rP9Al+lm5b}O&_57p!PETPj6S{!{iRpiJVq3vP|4*mZ)ZO>Md
z9nJwkYR*X?l@={AoR|^Q;vW!MAr|{ezGlk~eg8{AnL2ywpBBt?R#afDu5?P3yHt}U
zuYRP-dyxXiMx{wJCWzUvdcF~8xiC%T*izPb9#0=H?zmLX9QS%9&Fw9M$Gq7aFZ2sO
zG!F>sy56C6Ks57+ioof-hF5|L>#8ai^2Rdmi*j?C`BBw;64!^nDN8~E_RZ`yVb9#z
z?Haho=Af}1*LA;yyFZ`V*V}$w5EmfNv$mDFJ*-w)r|<qJ>4zO{>*b_>njg^EQ~Im-
zwdTfI;(2?{MRz9Jp1tl||HtsTj$B;a!o=jyEBrP(JnC1CvGR29(XFeBxfqx{d%m8A
zRa(y1%agm<9>>|+E_|`0aQ^&z3p4KSxb5$+X_eUX^X1zvKdJ90QmXc)1RaZ=w52BH
z)(+#9&3kuTdM{^vY5Btqa*L#lKCSjz>T$SmZvFikGZwI9R{AO1PPr)T-Thm4?Y~Qt
zBs!e<i`P#{Xg=SX^`9BmW@7+1*_KV!ur}aiU;tr}$p`gC>QhtF;C(iYt@?ixD%?#y
z8MHzp1auB`ov{hX^k=l%)Ad1qF>9vdgJ)lVE)jXZoPmQific1RWKE!r-OhE30%F(|
zKQnw`_{*R?HDnR*o3^BP?(tiGU%SqfVj389V5?A1+Y;q#FDh^DFh2ESW<tz|OBoGu
z&X+#guRWPu&s=}vL&~>Txh4um)uq`s5^^ebS-yQH$Nutcs>^uuv3!PpulVPsA1gZ6
z-cx=Ov@KKU`IWy)OFHCOK1B5%cl^-zT_MDPz1)X2J7~d@@OLxY3(hWWdSLN;Ul?;`
z&13PSe_YR}c(9o+{ps*8WrN?1j^jsH9bRL+=~K(gX(h)?H0RdOy7Z+d!u&{gne#iY
zKh@ED8)FQ!%I+#J>Bw8wwcy<BbII@g|A=|&{VbXDc18W6q=W5uM1L92TxA{-By;Pd
z<MxOT3;8)!R~JZqJ?e5O(`2!al8NK22@lLVkAHpa+h$WGXTk8cDe&>%v(tIiiYmTn
zOb}Ve^5FjQgZuv_9bK>ZKBRuFc8lwWZ$egk_OiIP=pMbpUvp;q`+py|K3=ur<*&mz
zmsLz2Z|U_an0-XqFn-_t49#~v_ip|&F3L7KdWV1U=d?wx1-DulA4^#Ht}fx9n)hk%
zHiaj`_pQ<uzW-n4`u~_%(RzoBXw}ExGTzs{nY~?jg1cbvq(aH&`emC{WnDg3|F3Vp
zQ@HJRQg)@!e)TMaCvy$%_vqixKXEtK@L$W_uq{^3x)mS$*4^4XTdAni>&xM)*WVR*
z<NfEQu_rxU#kcyXLHxc6tvyTU9Bn$M@UNq1sSG>!-14gr^VeKt&pqh7<&^WJb>3d5
zXKh}!taFh@)~r=oey1l*YN}uCy<dGFM_{MhgZlf&W&d~^et*4-@37qCw}~=8ZltkI
zaOZDi<Px&+TWb60PawnZk0zd<CxwN2_MDrov1!k~Y1hC1ORwBpY5h@tUA2t)zuwR6
zp3~N-nfhK?v*gUS7VU$ImU@+umsc(8TC`&2tYxN~&oXALXOOv&#FHb)9KGbV70=>l
zA(3)Nr0PTD>TfKbWEr5dIE6!Qw!Tq2`}RzsDQhNK+GzB*bA&e<$W3K`CgiMjCDySu
zx-i3?)v%t2Z`<`ECB`lfLCys-AuoEPC#CJRJ9o`=apIN(EuSVhDDug=@9boAJgM{|
zrMTe5N85j@TX^$k{@5%3aes(S_#gW}zjXZrT`rZzUfQ%KGPM4xOQ49UZ?Ng}ElZ}%
zQkt~x^`vR3XIHIK>squTbJi-$<*K{afx^as=djLPjV-S?tyu+<2tMWcMPq`HO~|52
zd`3Hk)@bU!-LP~L-=d2g_vS5L{469_kEb)Gsj#1|YQe*UYv=E++4X8WFE@YML_G~j
zm+cHy+?-#W&NOe?v#<U^#mDw!_D7qH`wLgCxNqhmQ=?k&?AV;jt`!HncN!$=xm<a`
zdN}2>M&yx0?V-Ytc7?X77(O|4h`q^WMN>5Q!kL1C6IC=dmoR_g66kv5F>MQ9k(`jj
z1PdAVNh<D*9L$O>0!|!?Edq>+EfR}(lvbYF#`w;GziVZp#Z4{qs66j_b@}^qgI3Iq
znY)%%&HDAPzB4m6*oFF-F+YF2Cf)t9YKKIv^QT<NV^1<vryBn{Xt?F%#nxjB%8h>f
zTNCfIWq+sUUg1A~%*Au#wVd)=+V4BEyq?@MN&4Z#askcTN9yk=x)*vGh5Bt1o8tMK
zUDou$t$vfZKTOA0pS}{h*WmossLAy)%fzkzo~hQ1oj>`<$t1Hp#gcRmBXiChJK8Vw
zYIek^Y<N6rh12U<Y%E+;H$;}WZLxN$-sV%;(CBwGdGDbW7vf8dw;pROJ1TK2xky1)
zU``@abk2@bJ$dhUbWLH}{otY9^#>+f4(~2LBf0C)dfzA0Uo8y|xi9TjG@T=Twfus8
zH|tF<#1y<zsD9*8_sFH}k<YUup8t+G8huDk;o*v%uiM!AwBh>xmCVaTPEXI%iLG3G
z`Tfy<tHmZo=*`in_;4-R{|?jil^kh&(i3G8I$m%2?ELtW>-~jsiDn-6gD1XV^}6!&
zOPwIM&D`pn`hF~I&BqV4tXZ_;&emh9nNIf43m2ES)yK$9nRhZceoyRD@A}N|3s|+S
zR)}-7_DWQ9X)@2z(5`GWw@~azQ|->E_!P{*!lE)^x?{Q43uT5nhGJ$friH?RTR1oO
zoKu;hA)L+BUA^<P<+rA@{gwipUcWARbv`<t%Jjr~MT9Sp<*CdAYivAhl&*=S+9*U%
zQk63*_A`=dsMqB*>Q!vpWOnyJ-h`Q2t9T3~_s^>-jXdM?)0{V8y@0^4YQ6`SvtD_f
zbZ=YrP3}m$&ZBwZ8^WKRvtTLcmb0H=9}~~Rd{CYD<J{D}X$cq7uD^9E*)-eR^MIz<
z=B2-G9Z&FWdT=JURaA1<el4H#mxIc;ZOyu{<*<z5t7i)pZ!8F^*L+i#Uw(Im<I3$d
z8lPfPC)@V#N!3zbc+FzQvLi?4D6f)x`zAc0xTn%&!K~z@yQ2BmceJSGI*NCNv$8hs
z?N{V5bz1Oy{hL<ayS?rQ7QZ?jr+UC(OZLUxrRy(R{#(JDdbDKC)_nmN@2^<B-zV^Y
zi;=(olFX~}FV-_f%#&Q(P-a}eaK(XRJ{+POB!%4=!_p1~HcU<XCbn_P!Otz*PMtU}
zk!ku>LMvsazv#pkFSWp14(D99FREX#N@9M5Z+m*o#LJTFU;OFOHnmio>Qj=S`!!_)
z?}?x`H{1Bx`cHqGB(`7Ow0we~vDPAE_6#QNsfs)9Y&=jhiA&>50>`CVL))dnmi2Fp
z?6-Mubu)V%t#pFF>v&*PVd5d)6G{{9_nfO!UXks1#K~{>hvk#av_I;a9H07p%Fz<j
z6?RLjFL<n(R1=(Ax5OxyPhsObm79rzQ3Z#~9&=4Ji&kMPPc`O0t^ZceyZKz^S_zBA
zjNUbKy}b7(DlUC=>Vu)L#?IPU&RIgA-amR?fAfR+49ztcYd1vZuRh1N!E;$SH&>kI
zto-vwEYdkc+YcHv$(H`OG%=9X{M)3fnUl>V&ztX*lV5-5(p<f-1&3U+8d(ipj^Ezz
z@gbHe;>n$~n)A0Wb)3_U{*;)SI+N+quHqfR;yhAU1U8qw;5w?iVBIZ8$J?T-re5fA
z$x2PuFqxCU+z`EF>Q}Qf!jl6Y-gT7s?z8rqW9Y*&>Eylrt4jJFG#PVQY6r#qXg<gE
zj@7bJ=B&faS-ZB%B#Nvl&M>tU+r+o)ljv<fd3DKohRc4Ja==<!kTLS~UniU<+X|?F
zhs78*IIn+09;-AmNJ|BeRazPv=veg|w)7_7Zko|}|KzJfQrDLhY>2ITTP~gW#AMZP
zl`KZDFu$4~tA6rjbc&g8=(8zhovQp>c}Yrg?vY4cj*V=c+`G<9*IUGXXSHqiHD=kr
zjyu)T9(YZ?si2Vh;cQd=3~P~BMUxI(_gb`hqS1zDhRM1%>YdA`W@U=cnO!4$#Ok)S
z$<k!O)qejkwQ66Sn|1VOk7rs`y8g$%_pdw?Xo&i{?_lzSb?Va+&IWjxn4gsQeLVe|
zYQ5d#zqj^mI+-2LI&;A(p0ED=6-pbmIYmPQj;u{*$;@4FqPp*yLC!KcA-;O+LwR`>
z>2aN3*M8>mV4v#$#>#)i@`&O`ug|ln=Bq86z5h6?OXAUr_=(#EDi=*o?ewmEy=j(a
zOl)F+%u~kSmBx!qS&Ch&1KG+~uuMvQmb_eHo7|!t&$az)W3D|op*EYBf9BDxYpdTV
z2v=koZEzR<a59QdZtpqe32c>f>Lu=a*IV!!PIq`3vs2^ItEiNc_tH^}R|Wpumi6Y2
z@MX2I`8<h5K-!0&<!X3u?Y^|Xw=$2MU8`(s_uO!i18emqnWHTFPA&VFw`=dKw7$q`
zmUEdQ$3CL(>ZY*s5`__O4@cenEUEwH>#7w`d-mSZUN}>K+gxq-m8Z3M9L}DtJ6&(V
zEP1tl<->=Y4(`|cSJ$W&XzAg5uPOf_+t&G_d>=OCSUYX}e8Mz7^;r*#?g6n$Ka3uE
zT@<zLJ#DGcV<f($i|z2+xF?IwUjMgd^{?yFzwWh8d2#pSoM!Qr3s#su5r3M`v(3)#
zPFd<P*L(3Uv(v)8J+{;z^jvcKTFTcwl9|a<+*2MUe|D+gwoY%m<nM-}PuEqJ&3@?k
zYHg=Lidd^|%Kgnn``0q$nN8SqW%{MNvI?z5yUqzMdbn?Xn7H7hUyJKhUr07b1zxP*
z`}k+LS%r<G(e>}Smp1(1;V_a*dS;g9e7u^6;gja=uno(ce(q-pPI;7JwwrHX{#~*A
zQ<@&`=MGW|tI@Red{du#=KHi(k5gMZ{u}>D_@-Akd!eiKjsKhOwM>xQo_^$@5<})n
z#~UBd99Vl$N;djs@S#UmzKL2)z5C5&T<$(@YHxiccE?6@UGNc${h6yi^E!CE?D?K1
z-4(K`#r{h7+PQ}#S2<sr{qq=K%$KECS8tMz_uwkeI52rqnCNBOIA)8mDfRz6w1Tyg
z)(FJ!KjtYG;umx1_%^}*quEA_5@oqB+)DIrYWLiCSw>)Ad+zHaB73iw%%Arvb4%*M
zDYdQrCDC&iq$&Kh-NJcldZ45l!;e>Hrn@FZdMxfS?9p@6d%bDpwJQ%z9$U)yv)<~f
zdprN2QOdG5MZfp!wmgyl{qD5>l!?3V)?a-xxhHv|=`@v))h7Sk*|z4(Z;jE3WtUtX
zK6iVrOZ3tyZYt?4E0$b&a5zHfZNRH(6J3-iGoE%?aboX3VXgUBx)xk_E<Zi<`Tgs6
zuilwyHP=sE<h0GQIgZv*D|GJujXN5BJo>}l&NWO&w;r9K=CIt>@R{Q;)0{J%G1BI5
z*kACUsP}hCR9G_8;hJwi_l)LzG2bAUN$2a!lc$GQmq>kROR28)P}{LI&$Gp5J<mHK
zzeM*Ff4`ZAM2GO?<n}GJWPfYs#Az=#^ZG&ChKH7hl?DcLx9%ubU6pmSN_o0`n#|gY
z|B?L$@5<cUzD&8$Zpy}{d6Z}6WcC6#uSge{W!FCL>ZosK*!)?q##~!`ZeV(~fz`Wh
zId{3lp7mYfj{njf^{h_h1>@CsT-gi>w`ziYml}#W_-wS$pXC0#dUJa%YvXMx{*Yxx
z+=sU;xn}cvm-IO))!*+{)Xnw#&ilS(t#bQLud1_#8%x$LR=@c6yOLjXWODDajC+=;
z>yDm|QtLiDyCmpjz1?yvr`(N^z0Vm}ohY=+(&L;q&FAnHf9uoR3ZuF!%>^AkFy8zr
zR+_dstlc$t{_?YCQaK&>8WyT*SnO;$rSmML_tA_GX^z`;V$a1Ni}+X7{yOf_{RuB0
ze_1NbJMZDku!Wsx|LyJLywDYI`fR!V&fKq+zg`HH?>1*P4wHJE`>(QId7Ii}hsq;L
zSr=>6^XplH()#lsey<POIool9x>I2CiVm|GA7?$ke`VT~=XyB-x{<3cZk##m$>oc4
zJy?1x_!cCYWqy2d)kpHvv9p{?4uZmmJx-;j2ZXUGFL@(+DO@2@@^a-GrK!gSx8)z+
z`@bpQyOcv;-|Xc5UV8>*+ieWp@9Ry30^C+le8WF+^E1H^)5eGCS2%lBmY#GEQwwpf
zS)Ryed(x?Ti&uS(G~?-0u~jou6H-6$|JgQI{l~1OHO%)XmCVk0<8JBAY$p=5&sWPl
zM19RG#cyXa&3yb9+?bTCoo%Bz`Sl+w1M`gQax;&q?AEqj93c|;<^LCf#eoSwi_bOG
ze{G!c@r>qH&T|@hHM(!a>N?Vtm{%$4Sgo6IOyz31!vy8f8S7dq6k~oh-n3ZMwaFrt
zWw&tSd9juAbr;{$G|kbnyihaYkDx-s<6hO6Wl{3{oqq(1m|vN2-uAvK+^RWG-uL{X
z)!Y?~+(y#<621;U<MY1NRVuYUJhuKs?tJDwAM3AWJloi$t|-K<ZlTB@8O5;Y(z?t`
z-cv>2WXCjHe<|oI;^%Fie_Xsh@0a8I_#Q*;aQiCTvtCcP1}~FWy(00l&102zl9^Q8
z%(HvW<gS0M@Mp>6aI>6mzMqd2q{?~v7zI9Q+jiW+W#t7MzhoWvPNzFN&W3kN2AzHW
z%j?#4>ua|4ADsD<)*tfR^RiBB?P1-xSzZP$3DZ<c7H`t<&{=#r;hE|_cG)H2VNZU@
zJ6UQzyHuc@CcvD&gS%kHHqNh=`N@iJqNBNwh?rhG@TZrf<4;@5gZ@3M0+SeOtUWyT
z9A77WDbVrYySJ_B2P7<~t=HKbdizQG-H$iZC6sz?E^{8Wkf}d??rELXWK+g7R}vjW
z4ST{CsP;cMy1p*_fxY7vk9^$&pJz^8`#Nj$k%teS=_c(y{o-V8`N5u$x2j)z^zX!N
z?Owuk-6XE=?u7WlzTYBM-|qKwH0}SfLSkv0@Lw6*o`>##mX`-|HYIJpSEVoF8{g;f
za7N;U_1oQ^J=Hz>K<AIxi~!pJ+1<T9(tG)Z#9wna&Jb4CdgSn=O|<3kGv{RMiA66K
z@7x~kk({l3%EV_)%G%bRBifAn82@a2yz<W->(jR`9;uRBiqf*0%&4plnj@a9uN)@`
znj$_uDNX$)D+2=vL&mj=QW8<ewbqIJ)nmx={K4m<&}+f&Am|{##mvCW(9OWd60M>j
zu>9gSmG!MYZ(4MhO$hq+OR(#o`2Sqq9sSo{$4M;MzkByrsYYMJ^~WAZX6-3H%fWq%
z;Q_+~2KloWcb>VbuT*HZ;Dn*;rFP8{p+bXd>z{!J^=mFiCmNmZ%$#v%TJDah*&9VB
zgheG4`R$f!_RnvfDS5o_-hU^7*Lufx^zrdtR%$!=At^v~bMU$s9M2X;PWh7{&8>W%
z_5Ot-gE{+~_bTf=KCk&Y@qZ-0^Ui5IZx#QU&r`cpPQO{cps{Vip+&Cel{mVDY_ISw
zmasjz`9<WPDQh;>|CS7{H@vmLT&BLuwem&E*B2EGFWnv6H{1zd`NXv|iX~X&zs&`q
zu!vXd_r-;n@xS0%Tv*?lv^xE=;?Zo|z_gp3v#u(77oU30yDxR!yJu5{r&t;n%FcYv
z?L4)tH*C?xPs`VxsW<!+xTf&3a3SAn_nKD6R>|Z4GuC~|Z(DXSbxVDZm$$(+j_VKB
zZS$&`V5U5wL)g{MXzn?;-Mfy2OI%Ig{Ugxl;DyI?t}5<3G{Z){zs7J*=$En`P1zB5
zKfau6b9vpl2ddY%t@ibqKRNA+VrBbP6_Msd7W;&=$B#R4ED>>(o^*WMp#<U9Ou_nc
z=WfFf!J5w>tovuvqWr7CZg#MGSG|qGyeVtH?CUJf2|s?$?Th3`-`!EnT8D2oIGtM<
zn;Udg#DjUd)!rF}Hx1^`yYMd5B8@w3frH}pfO&uR*m(HYrA4UAEMF&bL5%CXk&oJe
z-M<&_XR&HbpB6sz;QxPR3LMMC-^yw0Dzw@%ItHy_Uv=qbkdR{R>zVVP{tdXmtz&Vz
zKIYZB2qWoPV(G_ZWjfQhtv0XN(YE_n(|UvX`32$0@rea%?;q7V_*-&`B)8&X?tPKG
zPPrM|RvSJD{kP6b`Ei&;bLr;p`C6>O7X_a0SnX=IW@7dlyUsnyyMIl2IaTn_fsGrd
zTJ*ks#iL~7d}vAK9Iu;Yrk(!lkC<**ZQ0`EC4H;@s+zKboW*qkd(CMs9xT5OO^Vd}
z)bK9&{37iIyqd0oVzq(!E5m+1WXxT#So8PE{`n#L-_kB@To~o-zir|1O@}kM&t30(
zcK`g0W&fI%>+>X*Fkh`dvEs@~lct}VA}ycW4Q@5<wb4AeHS%6~XFy`+xwAFvPk%c6
zrTT)PUAsnA@xA(rnUfmKR79dzfBDc<_8{umQ_C$2zAW(&=vupDvH5>-!(T>;CnN0S
z+r@33#-9(#WLemid{NXuC9>qGzk`%QBlCy&i+BDmEp~|i;d=T+hLNVoxyWsveR@xe
zPKK{C(qnA6wxV&N<1r0WBPC4_N3NFvOp3}bq0bnF4g^~E9MR~jPmDOCp%l;}p`_p<
zGqJ#h^CGj*?T_;BQx3e;U%}m9c2NB0hrh~)E4nY7pKP#_^T>7i&!5gMb@!<)@hM7P
zmAvxp@86P|wLvMudeY900+*LpO<D4DA%obGprFs5o{!lk6*ViLt#K2w>UOX;WO&Y{
zaB*@@zVD^i8r^~35(3d;h4uR-o0l}?b*p}JKUSTv@Xw;{p=Z{3+}Lu{{M;*^KWtW0
zE?MjC`l4~8?I!P~DUYw}?Wj8zKH13db8TnIsS?Gr-j(&MwU-2Tn#{cWTjYkYWZloe
zcP9;<SSB*xec<-1>x-f5Y@bFcp^O;@_os;dX6z9(*rlNA>X6JASekcPrk1y}-tR?i
z)r&)=`kpJB`Z>Ktn*Li9nSa@^$yZ<X;beIYOTAVO{yS`?T1I}A$-2w6-|kuNx4X9Q
zl+%lz7w40|h|6!zatN4vc-EUMH_AV({@ZuTeOc&?XNSKuUMie*?0V{|4F_}UxE6G)
z#3=a%)m$=O&vIeg%j>7^u8o{j*v0<s`^6{qF4Lax5&7Bkzozoo!;akF?+zGD7MkwB
zzaiOz{UD=~YU%0Nw)4k|#04%#sxVBE3*LFW=8U0`$_=3zMJ&(y6;pU;x=((5OnKLC
zeofPg9j!vror@cd%_pVVY*qB+l0Nl&UC5Q@rgJ;LEm+H*eam#=8`di09n2k@r!8o2
zaGLV3e3`@*lnNtq?F7*aF-!~$m6H!@s)GCDy;BnXZyN}-?SCIWN6VL~Q^nWQ`o(r9
z^BF9%CW1ar8byz@lMCLQDGRc?@_(O&W|n62BmJ<N^LxMl%6a~iJ7lrxu^268`=cSN
zdL-0bSM#4QUDG%JRL#O0I;K}Y{bK&}c=5c;ndMd=W*4f><I`$A(#rVUU|U0*VOEH0
zP`&uINV9`!tOg5I1v!Iuq;yU)I5J6fg?&J#>>69wprRd)OQpB3l@1fqT3E7n%j?~;
zH&)wxZK}1<lvsY@YxTjv_1u9`4jq;b;(r#@-*{c#_n=53GWy`Me-k9O?3yNjQb_B}
z`Uj8euGb%)=N-FUZK`qetp=@@ge11T2WA>Dw^oSM7wMT9`=w43bj+Q!YPNjvl^nGs
zC64%vWd|4fOy)d3L&$kq%(>(cFRg-q9-^-od4+fLu6P$~qrOV-jZKE;gPY!|ZTC)1
zEnoVCS?cZFQ%;#b3QNsC=$&x+r5<<Ly8rh2lUYTpeKY*^TRfBR7q1qMOYD=EDXV?%
zI#sRmcJ$2ekL!0TRrYCxP1$kn@TXAk>{IV5XV?4QTpRI9^QW8D+ijJr85c&%`e*Ql
zW!F#KmX&q$fc}H6GR`+jRv&tQZThY@rA6|IE}NoO-ONtTU7W)E@6_AX7sQG+{AN0=
zPzx%!{Qf>?X42f`L(6zx+FGP~RqkoNrDu@BC4AUxO?Hm^@m2$!`onvBIQQl^elshy
z=K3DC@om7Dx)<O6+zt3rmr-N;<Nhbf>B5IrPmhZ|z^ya!@QI)ATF$-Ivq+NJU&j(3
z@v3-NcFFRr8Mo%&d!Ax`WA3iSO0yR*S+Y*nQrTj6aly{HWjgQo>-=X#O@Gb0d-f+V
zF)&ytFfc$GDCI~KZ+9ba=iN5osl9(a!aV5GeUojhZ&F#U67KIW&M?>9B@(?`iMLm!
zL3MJw&8`VkK4sVb`uX>xJO6!`EhoDCC+@tRd|AbJnvqHN6b0>DO3dfGS<Y6Nr|53>
zd!aeuSNdE%u8VhqUtDf^pPOsmDKLHBrSJ&(^VjNM|BrT$zx2oM_k8nD@*DrnO#UzU
zyS~Rx{lWsJg({0(6?%lC8V=@%F<-xOUpXet(_s4ryLK5N<CO4q;tD?J?HJAU7}$7C
z5BSCWkbCfAjiv39_M)bH2J1M#bzfNZAiQDs9Z_NFcUelVN7Jra&refue>nLB<EeYs
zXTFu_n%UvhQg1tzZI1Ec=!o2NkpZQJ=am*T>lvl*N=rH*X{jdE)hX=1t+?j%Ef>bK
zKVlZn+iID9q>DrFRtp=0^c3dX2G=8wRHU)9b0<t`n7-+Z>0*a~;w?E^1{bR=@9urh
zu&R1zPV1Hp>D}C(bJGL6Z{M*$nJ{~;*(%lVmYs`UFDw&~4ok1!ecp}Pz2)Pie%D+%
zht=O@RmF6lFl-fQt#GqFb;coe^;@<3HcPnTtK(yzuR43=TQsxrMTw15f12LPJ<pi*
z{r(NP^y!_hc8`rUQa)s^Hhl7A-rcK}U(R`*|Gvtscu`9Dw_Tl)2k!lN=HY%Z_F<I4
zjTf`~8RPS``XaqUcd=H?5v^Z%>{{J1b7hfr`y{pQs<}Vk-CZc~phx+gf8VL8W>d<a
zHf*@+_B1@*Qy@`}K_YeiJCki6&M@v&uZx~j(S22Qb!_64yaO&j%`WI_9Qv~B__j-z
zMb!J2#qPdyp+9$pS@<vM?-9@IyRIL<n9Qv^UBKxVFKT*p=G<L!ua$v;;S>XC@m_s#
zWnM`Jyur-<AuUZXF(KhW!i{?|!aqws-N_Adn8zY;+MvKF<(aBDYxuL5%a@3yFMEDe
z`q*@FRWXqzVlS6IU)s{P!N?%}YsHf6`CmA`ez;=$yx8tXi{Ff6CqF-wdR}vWPR)rU
z0uRofP&w3dx+0EEAc5tPYOjJ=-DzzL#c7QN4d&X?F51e@78CkdrCAtIU95PPxq?xe
zfnnz4z4}Vv61=pM)ko&ur+153yAF#jcPK1hZXuFh*cDwEol-bG=+0fuyzawFM`OFH
zHRHtequzY|zW=@I_j`Zt&-8hA!hG`NGc%v0*p;fPq&P7!G_Ymxf4v+&OXGvK69Yq)
zAS1(<{YlIlxBNQt?&*sKYg?Hdiu!&gyj{4FQSXt;yH%m}-ZEd0C$GBI(cE^)*vu<c
zLB4vaSKR~Qg9p~FfB8+lAhulO<J7xCoPQek6&s|tZO+^o7^nF-X;;xZTW_;Bg}EEf
zFWcVOB^?%-+pg2UJ9X77QKzZJrB*HfLOH#JKX-liH#w5HL(-J@CF4{F=SZd2CbJ_`
z-@e&*m+=xqkl>BY=j**6{CU$JXqE4HNBilHggIe{-y92SU;8c8Wcr&$BF^zWEG@5B
z|CvzFc`N=~OmT*#?zgp%KNe<8e6YsogM#Wzdq436htDhlPeR&L*yh}PFfaXqRaAOU
z_TFR5V)RR<+^hP)`FEw+oM5w$Qs?`BR34jqY2P(DrqIrY>^~fqIrn?&>RbD+9b4I^
zvuTIo3Ju+t_h-uque$r`li$+0E4E7?k=E3nxH0MF{8JCt?OxVs`CzuU;QfMKS7W=~
zssnmXW!YR1KU?{>UOcaE6X(IFUlaZtMTUvLJN~>r&r5RZU*{P2i<W-d6we*jczkY$
zb7jMYBP%akE^jwG{72{au97D=|9Lhh*7MI?e!lEYu!PHjt=eZEJYDwFQ*+niM_*K*
zpL)Lb*ZrPNi~;}i-E3VVo?U8WIN;32(7>s{5)rlYW|Z~Jf{nKwas(K5H2jx4>n8nR
z>$WBDGS3#RP0KYe`?HlTEPH$M)Aw5r+&6OdRh^dgTQL6Xz7x#6X~N&-)K~ej-9KOU
zot1&9p7E6-#~$CUqJbp^JB0JwRUWMT@$}`JrGMXLR=7*;OZ#^rCF1zLV-IAuhZ$Eq
zQArD`KDE(o`G=yt&p5Y>8qC;otWiJ5yreeA^u<fgF9)1!t(Sl6p0;Oc{_D25%4=;C
zj<3&A_FgvM`1<PqhfaMjI@x>F;=;Y;&8}CU`}}yfCe!gn{gv9aYQGC!Y?U!s_}XsK
z2lxK-O>-~ic^1yzF}FNq!GEuL$|--|9z6NzP)E>-AHDO-`{&1Peg5N+o7tNx_H7$%
zZDPyzu)VwXI`Vdwj9vEPsb7?K{LlRQV7tbby3IEkv<w1D_vgO8YrJe%6tgYIo~r$!
z!Dh9)FU~sPBbZs{SKD}_zVi3-BlfPH4`y=PR?gIMtDAC7{`tJFUkkZ4jI&e^{n1X?
zd`$o0=W5AiJ)HjY(q}PGdY-y%+A7h^y5#f@sgvEkT2+^oJ8V8l&YZIK4sUg}b9;c*
z{O+79mrd&@aa@wv`N`#S{)wYU_f2z_OH;K_Zn9sz@!z{;(>=t}oy6LMIhWRZ`CJt!
z2$U}EFnF#e>Hj<Z-iKGyXXflH2s!ZfXOq>Bw2;6!u5TB48~-l+ebIsc@byKt)@L`q
zVq$shT)pCXzH#xa<DK8ymY&+PcJG4nhIKJn?*2mV1&^0&-M)7;B{fcBl75NM{>Fw~
zjm>(`@3K$aX0QLtagIo0eEs`twKZuj>h&wqWUh4F(H2^MfF*mfc1r2~#@R=&Px<<@
z?&!q3lDdzTzAG;OU#Gt2PUi=^$CGbv6uf5nUz%<D>+oaovr^|7nyWVl-dN@B^!}Ul
zJe_y1dFO9iRNqv8{odOSp08)BisGhyE#9=ecwX3>pVxMLUZtb_FRm!-Yuk=^TiZKa
zEpO`XSJdy?V|T-P)5~|?ME|`gv8!eCbob=rX|UDnJHD6W>zeiZ_s%uCXBoLFU;M;A
z^-pC%A-;1>`d&J<6@Q#n!mFnj<M)l%)-PrCskIz#t~JZwIy^Tjd&Z;xLSICEkCHdf
zfxWL&k37rVdHEmP?)s9h&F5SMT<SO0a~oHgRJcAY&k>5Q_bT{2bEQj;#yn2txLD8E
zXBX^C{B+g7;@6hbTbo1UrRG(wy0-nsy_3c4+^!B^R`030kgT}!zWAaGl3Oyr^B!FA
z`hM^$4nw1bD}?TUaniH6&@ppmadKOkMQ(=PY@Rtk^-ZVA_&Gmztn>8OiM)SGD#rd+
z+`D^%hgD~V&iA~|?aW<Yt@Eaqe+ADSz7@+pPu;B|uB=@8t6%!erWTLfqAT7<+%Bel
z)(K717v1rN^Y$uL=UG?P_{3+$sT}2QJ#gr|=J%g<xl(VhTB^+S_+$|q*cfs2)bp-+
z!7{xQdDJBil`C(HZuDcRxoa+UCF$q1zkB~KTH17R8N*4pOA>6HU+uZ|uGVjIwa^Ls
zTYE3$V(Q<}Iig>zR(ty=FTV0`;<N7}@!u3Ib8Js4Y}+n>YQ{Mo|LD4&dq;Tq=5|cb
zIl+;B?e(iq3pJ0mK5CWy);wE2*K<2J&zx6DJo!P}Zl2~)bdsC*au%<_f%UQ9HihfG
zoW&%dXm#Vq`g^4}FWrqT%X{S&aZY`CaD9Q;y<g#~*Gs-RF78`-InwIJYnH2*BR49{
z_<iht?fEa4>ksb^&iTXq^e5A4#<lN#*GTyG&QY4Ca=1uipQP{F_3w|$Y>wVvq<qT9
ztF5<X>inkf{5RKfD(-i99se};@@J{U@c&KmiTNA5IUVX$^J-cPoP=h!+*&-<UVdZu
z$^XoE>rWSQOcXeGXP0|`UHp8Pd2&m?b3IV;NEAAy6Ywubyhy<P{nArcs|#b>G*3Q~
z;<(wl->RT$@`r`{%P)Hw+;W@JD<tfj)cf#Y>feSl2A$m3_KWwPSKsQoL)1OVSI_&~
z+BcI|9%i{|t}J&>Ol^&>oZLBc^%Z)TXUq_&cCd?_pv_u;@Q~Tl&(n@x=s#-r^Lb*s
z^{YVJwafaZY8dK#`z*j9zVF|)y@!9xyDvNS=0L%8qntyo#b@t7eADCd*?OJw;rp?R
z=d9q_uhha-ci`@XiGON3dLnp3Y!?VPDK{0$-}AjS??my2S8q!WGe+q}=rN=@a&;;2
z{JRm(`XKmdfnl`nwAT8>bpf}mbybct7xv$eV_ToSy!wG_5XYYtjZwe5)xUD?EcE(g
zcw0j8NllJP)=}l?9j`Phg&g)i^g80Lt?l&liOUwNM*`uuw<y@Tt@{1%^QmvT)0lb}
zD_9D~m#RtLzMfR$5?90D|5e#};lbt*9mDVK^>#f6mTc;|EOBb9-g@WN^^b0Ewmv!C
z@9D&Q2}_0LuTH7`{lGZCG1_F|^|cP+21~=IC@wSk%`LtwA>c;-<u`k8{*kEMyU@G3
zV(JTn-^VY$ei;_C-u3HF(+RvrPR~Cak^dbtBU47sPI=<98%B0}ijvoU_R)we^!)C?
z5~Z?Ykz4Va$QZp_D?FbZt5|$wez}Zzz4rMcC!2q6;enIm+#X)|7c3=~abEUS{>=20
z)2a$tvsQol-1_XpUcu-j_bV@W(oVMLH*D2Un;SfRTd|O~tAZ)Z=2JWWGMsC=<Fb7N
zPuyO!<$Q`O`>%v&WFBpnI^ce1D~GGluQC;$-kvBs-7}IwX_nSHk=DU4RyKb5@{mXM
z==6G#(4`OD#Q1WSCk1bqxMWXjYD0~}+3ohzJ%xW~e!o}wo~3Amu!iY}mK|XQr#br{
z|DLH?XtwIUQ>AMCp}%vRgE*vOUwcPf>T3BQ{GDS`X0Yi#1HPB%6_0H8-MMUT@8y%v
zCR(XzFKRZF%RAaOcloSUPD`BbGxJWIZp7GmGKi&?wSLC6n8Sw(99CxL@#Y?_oFNzz
z@c(YW2b(~L;E#!n(;r{ZF<WfzEwruR3C|(blN}q*x>WqNVUq29qQm4To)a4Mp#1tC
zo9UB8rT$xJs#%>_$vFSb-Mm>zo)MO^cT)pOMeX9)b@w#9zOjwTvryKgTj2hMI+h&?
zIS16XUho&zbMUKQw$x&NXV<Z~d;cFF?zZMF6jnbfWp?`G%DmOP-Uy2)anE(hoHc8b
z+8t@VKCg!g?T0mQ?V9|`*Q;sK(I|J(SAq8r%+awhyf)eGZn0U7kfgYUsno%rpFilZ
z-=D&MD@CWxn>|0Q$L-bZ^Rl6v6F$z7*SNCpkQdM5mcWA6^M`&WFR6cb_|4V7{jb_y
zx5PP2U+u@uacbkA`Dy#of6rD6PQQ{FVR!rVLAFWy_dm<ezs9i9|D{CS-uK;M9b(gZ
zx5^$%d~to=?X}-;GaA@l?0%tdKhHV-*^JjSe)jvvB)D0x?yzNBm#^g3mlXSG@8mUG
zyqTGGs#veRdmS<RXxt6CD{{4F-|H>RK3BEud3$=z*<ZJo`TUo$F}UvDclC`BZ~X)t
zpU$`b-U3$+O)xsNpiEYQXLb^gG{?0gwoD6M-%bhKX<h5ESlYqdUEPo)Q7wALr;b<E
zzqGzM-VY1CA*rpay)n`5@P!xO`?l~OHEq88>8cXX--Quw-_7lpiXSxo669nPR<oj_
z-uL{XLbjJnJorSNr?3C3rnoWxtm4M?uh&0IR!e#vBozMhO@l{~|H|$aevd8(6dmGx
z!)g%N&eODD`pWI=qtEbP3Fo$dw>pSR>3aF&hsP8umON{^AEbDFzkF)o_1HwK4~zJA
zxta64lL!{&s_6~rz1(ZK{;eh3RafJ$=2!Jr<X))nS<S`%V)B92B_4f=<s}!M&tLgn
zYkihgvi%a)n#!hVp<~m-6fUe4b2~1^_U86gokMJs1*gRHYb(z=ptt0sU&ZPf&)@Cx
z*nac+_U1n~OKl4_$Vdb>e)QH2n}3Aw?NoEW8H>(w@;`gfyz!XDZp|~lwHwzQeNc9w
z%_8xv%wwY~jj!s@#!h{@&Ybi3?J}W06Aw*AgKEZkR?1aNPamCbDsYJD{3V_#&Z}N?
z*{s<1ey_rv4@b`$uDsX(ZP}N(Hh*2*BL5$5Q^@M@d@|+Z_1!sa|F>8k<bD3EDnD<w
znqTM-TaDQV4O8Y-Fh895ii=Ng(XrUO8xQhit1I8GoVJmFo2ZPdu37zqSJRy*pN)~8
zGr6fn)BAJP;&h+$GR;+-NhVdMH%$s1oqsCbebXuBXt6DO+tevj>wGWm{b9Fp%Ad$U
z;m+%Bd)}D5`!u;jS+nQr3P#h%?92~OPm7#tzSB1K<evTW?TerP)sWv5R@}b3UzLqJ
zrhs{`_U7!2IWrY}I)!UpvNq;Q)JIu{n6}=|*cRdNn*Wj+W9DDEDe0%LEWPz8N^tiz
zPaT;n(TAEAO6jE<wY^l-`7z<+^^BbhzdG_<&eZ8Gu71z?V*56Zyd#nPoAussM$Fn7
znP1U$`<_-xaBG$P?|+LztI|&f@>MQyzmut6k+#ZlsePFE+<lE%B`yA`=~C{=MQ!y@
z_DM!MR|{P~U3WfcbN*@`nF$vc@cw5x^jVjGk^JP1n;+^s?QxI&m-Hm%v*UZ#?H7M2
z7hE(tw&(bc@JK!7oSV+vy$<V=R-Ws(+~;+=RiSC+({mOJCoIvh)A+NmZRf^Z>GQ8%
zOcWG-vgYk;-w$SCCsmbO9~25cm>9QWXSY-g|CjoSvzDlMh01VyM5HO*+TjzhV=gP}
z^Gk+7J$k!2YbBVXT7I3f&VS1y=*mAUe#_b$W+nk8PZWFhDt>*@$+02!>}ET?8M{{I
z_@zDBI-7qz-|DW;ubvm?ot(AYdPdJRnNqcLH?pd>oe(@_J>$+q?+Njr)-Rj+kfr|c
zrY&*nPsob3=+u`+CrI-)^WSAQpC)ndlYvw3myItEOqS8?GYC&8`@V`Pd(W?nb#1eM
zFI;o&=ap#ZEhelYI#t)-cf5(*zNam)_i5<z5Z_M|RkkL$^ey7sX}{xk)x;GNljdhT
zNbH#+XOc2)?Z0lLVke20-?tbnJLxB{-f0@*x?%20vs=4V^I!f_s88G-cjniMyIX$F
zef{LbPF3^#FYBiyHf@diU67VwGX45e>5Z1kxtlH=lhTV!>rr!@IVJP>3y$bL3pd5Q
z?9((i_q>*Ih?#xEBs1Y)ujyt#udn$O;Hq>lI(yILjg>#2h(0S{>%T5WWoF^R#mdvn
zzFb;9De9@`v^(=VCr|mFY*)D2um0CvPPgE_(p&GuiX<$rH-CQ0b5f^ersbpetqbQa
zkulsXc9G-%Kl7A@Uirs1XI_f(k9O<%RIvW({c5$~`(lQfngx?R3Mcr@efEd9Ew$P3
z$-Fr`uKkf;u;V|YZr6#4`@LW4|I%~Iy%(t~A)nDLsSqpo-d^jiVEIh`pc)<VK$jo&
zG5>!hPHy3tKlR8PouoB3(W>Xo-KOl0m6r{({9s~SH~WxPODNAK>Ffg+zZiFaZHrp1
zlr}4A?dQg-kNZOkT#9e3(azcN|F3r-L$Yh$sSP^Q4$ZmiCo1?M*;VV))nz?1=5fVJ
z{+Mw5R%8lmg}?WsB`pfGrzY=pj}BqZG@9GRSXzJOe*fNR<~`inXE+zk;#2&5Izw&G
ztjFau#SY$EaJFgBvZQKjr<v-3KPIfsxwTN?_7A0KsYhoQmqmH}&UCrgqvrhAbLX=3
z(na}y5@UBMB`)}2AvR;{f>}2`&)Z#ODvr3d#*b0DQ8b?KtjWY^E`ivl_9vSP)VEu2
ziFu%~-2Z>=wEB|xXH1H_>&g{U?Jux|AI$II*gSjB`dvrQC3<r2YutZd@o<%GMXceZ
zzw2(YE`0jo`5POCuM2f-m&mJf{`mCy;l@pBy)K1b`exVCGqyiE8`%DoC!A$sb6ewd
z?(`Zz=DFFi9}2z*a@<PlWlt$iNfm6)51Hn1YklDPB~yYfO#HQ>UgPH5Wq~JBd!2(_
z{vLMSvc7oNrthl1r6itCF8VU5`)X`Q;sYKj+b%`*zdy^lZm3$%&3+l)b9^VeG;fdm
znNZndTvua_6skkGZf^IV_vH7*1Dy-ms#t>@H4K7Qg<neU(Oeu-VbdMQnDbA{+Ga_;
z<y7|zA1!i&8p=#oxittd->!GM&RJk{XYZ4H_W2Wz-l;ySRuF#fN{-XI;~!04Uq5Q`
zXXhm5Tgv;_SU%@;WsMT{z8xWwTzYe1a6yvY44Eo(yLUDd+4iZeS9<vJ=U(siVV~b7
zWY1pxELf|iKkwm|jsCwp^v>&Cz5o98^)FV83FkQ3G)|N*X=FYW^u9fLJHr(B3-vn!
zpS#`oS99r>=%FXOjyMG@cyc3;Eu^pN!Z{X+o4wbk%+gwSyH8O*OJYu(Qg+_u)fc^&
ztZ!m|Y5XC2?M}h2XY6n8EW4GfeD=%R<$PCdPa3Sf9qv=O(EDj-oIm5F&!JDZdkJ2z
z)_r*Us^`q8FTsxPFaL@u%gm0qWbax0uB^;Vr~b!g=I0q7;$D7OvN~o}_4BGKlUpD5
zgt^{4XZdqw#$tmX#R=D3Pp$EdiWfMg(Y3CB!&0VAmqQb8-eLE;Dlg#crdzo!TIj9P
z@+-?r>V<2Meo<Cl(syxJg`2z9uEP(x86Q7%-?xFmuy^J%uX0aKfzF_race(LF@5J#
zZ|!KDDz3b_UT^!(4W{jSr+AKi*I0enI(f;vEstbh8RkbONlwm_O}QexG%%r2fn(j3
zkJnd7DxB&%x8UX7pEE5kigyK@+q__#u`aZelSenqY}F3A&(n?4)DB6jZ?Doy|MB#^
zSk5NZx547=Ts2v<qJJrcPPx0_tjcAzOtzbQziD_E-Tq_Mb~B*<_p7phC%oP+^>4cS
z__FzjTQ@k;{<X0#xjJK2zg-{mhYz9E^Y+X;l~*_OzV4O$UxpJiL>~q1X4p_7H2H)E
z+i|779g2^0bza7Py<|L@`&-+r>*g~|k6lO?UC&!=(ReHC%;czD%GpQuO1+t1CUi4H
z<$6cr>HNxXuF-3KEtefQdEjNe_@(Fh6TZIkx6qD@ZGO4+E1#;Tj`hETg%Rs2kA#Sq
z`o}jm$JsT%H`Xc(zxg6}nTf$Sr+&xxJxLm$I^{OEyR}xI|9rOi-IE_XLOyGSxm@*D
zK6k8IO1J0l@*|R+;RSaRb1xe++?Mv6(fO3UZXsjmZ@;&)%a&YEzVF)I{=Cy>QT_2`
zm7<4rpCr;&9Q&cQ?11<3W0TbWFWDHgJtWpVKHTo+KciU=jNAS`&fUVb%km_T#T>N{
zznAWDa+~3>+~lOo-D4APtq!n%wqx<tZ|@Fzeh?4Tv5yfc2ztM#V%htSsf-q3>Pt1Y
z2_6!EBHy$1Ps0&GKl6F_xo2mj#Xp|*#cIK;y!!Hri`C~>Y43|XaE)7fU!BUrhOI8e
zbKb5hjh?am-i`-HZH#VmH_vF^bN#c)@j3S|$lq#?k3L$+w`9B8KBI>hF70`5@<a2|
zm)8EMhQG@$g;s0NUmJP(ZRMHTpASz*w;ucI7dE|3o8LG+(9NmN%k-<Kzv%93+-{Qt
zRgOQ+GQU6fOntxMwfeY<Pg+*nzdm~M_rAW4<-PmaN7cGnTNpL>x@EhItXkJQx9VHR
z`{FZhnuq_m@~8E<=6#O;dGz&053^4tZg1xby?h~^AS^#`HQ&_rb}4frM1GyD`D|*Y
zWd7UqS<dgp&-;JWo0S^B{(Sbf03$>0q5oO0W<}5Xo9}zqaj*Qv`lEApFs7?rd4Hd8
z`;q&vYUXmLOJ*iknEC`SjJoW#YKqCUUtga(rrut6wd;O%@watP=Dl|R^;f<4mle~T
z?T=iJf7Q?NKQ}vc+nkLN8NWV1N%7x5EpBg5y{$vwSIc#;r}e&{Im7&C@y11mUq8P5
zQk}v2rTM(1c`tv(%y}}OW7CZPsr6Pa863A7YPVG0wfwra{n*;wbqBlecc#9P(fDxt
zYT(>AQ7mf&MT;g(vfO($sw1=LpmJ8l$Ex}E2O8$x@|nLcMyTjxa@m=iDc84uHGE<m
zv2@M5NA3o@_*VQVac^=ha$h7G8LmF3Rjwn9M|7?FnVsc({rD_-xizkpuT*I3iQDz4
zUTf$7S*spII&BJ+Nz~*}Y43TK(ajc_73VggO33xbZS8*5kE$Gxddxmw>{9XFJNs+r
z=8M+@uiM=VD%~<aSINX`M#R}iiIeq{vs&biCg|iYPkB>Sabb<E*o6@L8ujJU?^9pf
zM%O%F5r6DqN&h0@4c8VvE;%2z*F7V$PHe`ioww?jD{XODVm&wRoP6Gd8<%Dq`8TJC
zG#~8~oYi31o*B9FilU&T&z1}hUd?|q#Y1jOF690>ug5hzZb@A2)bw9mAGxypSHBUE
zYZd<(d}7m+N1u1C-cTccQkVA~Q-`(;hjPD~j}+grG_8K|tvB>8{+pQ)&NQ`P^{&F?
zu5*pzlRalj)-x4r?K|)HrG2yFe$F|2&rG<JuXn;-;n=Uee<e!1HP1gy{`PrpU0b`X
z^p=NF7neQgVha)bQn!BZ*S(VSuN^z_$RPI7FCmw#`meDE%u}q?*S-x2J<RyCTV>f^
zZGCRuiRbRgu`ipoJbr!NrP{ot3fDr<dh?kZoP^)&_0@~`rO8gJ=kF>mtUr}7Z_P}B
z?$#e^*En;$gl=Z1taz||+ubK|Pabb~KQc|%?6GpyD~&lE;ii*9Z9Sj*uB&YREEjlX
zs(=9J#DDc)M6Uhc^LD?tgXahP&GpKwFZ_26`@8tR{;~gl9e=X_XdinM|M~rw6ZO^q
z(y#vAoi2HCf91cmj-{$1SL*#jCjRrf9Y5Qfi(vz^#ZLRe!qrtT>x!OU4f?ZU;o?QV
z9sB0rj|&xgvS8V%m&cxF9@YLUn8Nz(dqCh+k>B<K-i%Bl%vetHnY`ai(G+xo2MD99
z%KH5Anm8i^!xcsb25|;n1`uFmU|?9%=*q+}*`7&CiW7414;IanJ-wxwXEB46NldQy
z=D=wQ;-nV_1}Uf|Ad8kXvavEuVwE+=ZAT>HG!g~|F%-R9*d{0X2v1(X#%_jHKbEbr
zDEf0IC-{iiq=M$_vFb-`_R!^IV9?-XU=T*pe_e8NqK}j~C}2PsEo3J@^pR$=QwBNF
zHZw1|C^Q7CB@joVtaC)M<Sf_(Yw)s1tOh__310`p%D^BAH2@NgA1BZ8RWt@U5QNbj
z8Huuf0YzW$<PW|wCLlc^jIPglH*A_2JytaI!Co$gOg!UpGJN7!8r6umjjtw8@RRca
zSqs7e-mGk(#KOzK%OJqQz@XvHz@W$AYLZtJ;hT|XoLp>b>FMY0o9kbkl#?0k85!*D
Wl2POnmX(ukmYJIoUSJxOZ3_Sggs*x4

delta 19056
zcmew}kMY-B#`*wnW)=|!1_lm>ww%1kjwn~_FN_Qf;%p2I><pX?Dai$T6}dT~A*>9{
zd*7L+AH8xqZ?=O7+k?`-BFbTJl~_K6PJG)Douj2E_P{$VgXgh<?mWlC3D&j0ZhefH
z7#gTpdhzYg`dXPjfyd7;?_*#;qUCeaB`DRkYF^o~w7yI8RqKzoG+))dY{FSFBfxB;
zNan4dMrPCcvQ__GGJYfQqo7|cC|>r9Tl2mz6({4Tt(#pl#YJjuW8h;Y=0$88jD>N{
z98(#-G~TLy#W!z@;D<F58C}<Q8+99On3kr~BWib`r|Gcj^w3D=c=o;Ry3g*rNE+$Q
zT3+(QJ5Y3vSpKYQ^#QT<8%3M;q-i*9zxQ0hkUJvOVy{?jwea~I`^cM#OLi|>6SH~7
zw4@0Ox%N+V@$KW8rkZs9&LPn|Vjk-)OPLnmdwb4(k9gB8cI(MPeSX_rT^=2r5-2Vk
zp!n=nsob@cHjbnTi{IGIjP7RoB%AuXI&<@fnByE@KZKq+-+W|?Pa#K)f<XQL>C+eA
zTKJf4vr5<Wwksc!JdeI!TVqkrY|{Pl_~rK4t@cuU?RV`D?tHrK;-@tk?0R1UzMIXv
z{bK5bgL`ZK&G_Fhe)enY-IkM~eBM|1ZvE&z)wxAE=X7PJOTf15<re!S&9r7LStBbP
zRkiq#(G9+za;$Zq#5FCwKlOKQv$=39YoFu!jc;ogh1<_FH@a&5u+lnX-?lf;YCk2n
zMdf|bzwqPZ=jrxuOX`?WQ%Tn6kJrQ*85pjBQp#jSRuR79lF}r-GFUR%+{C2B$lR8b
zH+ea;0Vv&UzQt_D$O}qfU3z7!d>I)SJSNMss?~#%6r%^@_J0vM6=8-Ju1*RdU}Rzh
zq7)2`4c4UB=W1KuKk%ci@U)VM$_B^HA7#Fm`aXQp$5&pyK27s=h^7aVmBh4tYyz6<
zA=8XCKc#nD*T@&87^jQec2;)@(&R`m5i(dWW2$K^CRqBmaL!h7j~Q1lot+Y-Q)pZ7
z^?&&R?X}O+SO0yqzv*=60hZe)e*Jn54`$W}&v8x@C^wgXP#1UVsQKOqGi{YMS+d%E
z(b7fBeuijhJ2KWq@h|!E;o1?liO<@CKBcwqi4V(v?_tP)q@#|-RDXy1G7BRnVR7p_
zpT0KMFZqAkVdkTx55E@i)TfEe`}pz2%riaWZ?k<~3tEOb#V-mk-Zj~MO7iVS>wms$
zK0L_eYYLQ2-lfX?=a=<tyO~QH<O2O$&P=;J-Dz9W$}L;ZcGljB+V}33=cMHi7Q5eB
zE5PwXc*5Vxi{1-u+lx_SDzT35Q!OV0!*$Wg4*C-H8Hoj{ut-Xu8WEin<1Ts6^4{tF
z>G_*CZz~p>wMo<W^)}BBc{0ZridTJm7Q0Ej{Cr;0@-6J@_srNPFWZ<YomZMUX_@Z!
z0!@~R6~Ea0IkX%WtyP+Mh}qYv)l0iVQ$dj_$RQ*&Zo(=1>6_D!KUvr(GymtlpXvL4
z{{Q*Ee&*xP1xf-xu4}(pck}4dqsslMv-i4hKKJnF;=MVUb*cXz{3*(h-uC0d#mC3R
z<Zbp$I@|wTZPT|)L171;zS(%M==}}ly{)pdn;RVxwEywv@IPFCr(ZIkovHd*Tk7Gu
zVi&VjDm>xq_tcn{$?kdnS6L@?ll8Xftn1&V&dytCGq-`EzI)r-w(1uj{yY-oYB{=_
z>72g&toRqI2NEt$GFILlY;YuLYuLfHTfLs{vYp<dV9Y9Jz^Zul?UdU~H%)Fb=-!$l
z-7aDzkhs!r+Ib!Z@0HumyVhENJ=4%x7IbZMvOuz@=G)-HB{rL@vUi2~I5(-<Xdg^b
zczJ&5v-Al`o^wwz$VSw!dy_oD%{V`^E6Jm?({{B<N`zD3q?;^<bGBqyyY3FrTUqdR
zw;S88JxjaY+1v^j8k_ZLlxVA_?+TvVdzg)_Y4$sX4H6G7=PEiTDtE7*_sTZbFDI$+
zs+d^j4~90UwQ}iewh9%<c7M_p*5IAJF<#fvKxEx<OVdZqI(8WoTXc-;f4>tC+R*yE
z;nSi}k3+mSY#HpA7`gQ%6e!<c*HpdW)~e|(Q(2>LZg{gcREt?wa>vQ@B2)6VbUzij
zqhsus$d>%KCm@6^FVJw#I~k4V(MS7Y&mUN;^VC^zHp`u3$_IJV=iEKKp*OI8?$Vmo
z2IiX?w{7m3<~d2eRkkPd*i^1d(huto=cKIN`Qj$SW1Yv<&#L}#Jc)>Ue)LP_oVeKs
zj$Sah(Yep;qVGi6qkg;XxP*JAZt!0oyusUL<-=3^M0~j3X!s<W=WV)v|5cfW52urq
zf?%tyPK(R-O^kMjjP_hCl-_n(bNf}9#Rb;MlgeLx+qY@a<pTD!DPH#q=gr96aXGzc
z($4yB)ekQ}Jv^kxD}Qxn4BNYB)?uaHp}TMDT#vo8oaaVe?W$HIjW69B-}?kwJQmB6
zT^^@BO>;`b9cCNN_JwCM<_8>}6mam!TGQ{Ht|gZY)>=2Y#$A<lTy|`_t@(=+$4l5`
zZ=RN&DP5AHeC6f|h3ngwT}b$tby9!5ipk8EXDoZeCfE1-ICakqJ+7CyyunyzS`Poc
z$By%^OJ)?mY`d)&-t~3wAr<DnV<(H39PZ~m)3#3cns~dOoTbhF!q~za>WuLzT;Gz_
zR$fiw2r@XGbmNmu$3dCyD^c&>_=xIvGoQ%`|FiYp>4p2R^;b_=f3W3ugoL_K`?bGS
zPqZ@&zMfG2%qjQ0{#3$~=cR1Q^#S|;o;n*O!nZMC@9mW#i#8}kon>p4>+YWRy5!bY
zC9AIt-J);HqH_Y)O182`W^B8vch2>p0k`R{%~Mxu=$yLtPNODh+c%d7!8s=~uP1Lf
zqI9%sdN_BhfA`6sqR}h1zwu+~+n~57;qeCn=9J>en=RG(+<!AH{=cD~{nL%&Gt9yq
z(`*e|6I>hSRvI2m|HYtQafG?1$=&vVdc_&$;6Bddkr54UGIpyg=2-L17fvX0^7-@A
z?m*}M2aPs-{})NvSzhco_*h42cCcrJ>LXU=>GKbL^sGo?c(ktG^Uxo?cjZF!WEyT%
zI~|I(d>6vxCv+wI<VH>l)rlLH)_)L5G>kmJyZgqm?zbinE43~f*z~TqGZIaSN;YOY
zFCaGU=#dAJkDiB2mG_?g;55tm{Lt!L8=+Hq%e&6MT*Vr%Six0Q9=JF3&guNGVTmI9
zydt7b@m#om_&Ue!j%TJJ|NaLC{;*0sXeN|t(X5}qT5>a#Gx?A?2e;^lw+m)A<_pzN
z+cI}&+Q!&<)ACaSe~9tT)x37f^T#$Gv3JL&Y_3<falcWJz3lO|Su1Whs`~7n6TLFv
z2Va}lyQ;iRSLR7Iz87+P8+CK;%H6!z=dHDrcqnM`dUN0LpdWI4$7aTSJ!ZsoU;WRr
zE6gJ8@&aNn&AyvO|DN6E%G1&M@C>(pY_DX(lf?S3mtL#$?rM!w{K8i?^Mdo2_LgZc
z-hOd)5`VFtqc-l(pUw-@IsTe%WJ<KCeI{yG!J**59H!vCr;Rb8!%ZQDkCn;g&$C>o
zlxRaXma+po?-xG2FBG-#yx^>b-!E&;UGSXqFYCg`Uzir=N<GW2Y}mF=RZ2oY^?k6&
zUjD<<_Ej_e>suTnV*h?`O^`VEPbA&!;T`+BqR#d6W_<WFb^AR7jg379ez_6O$0QCe
zls=Q4t`Nl+{E#>P$P7cjWlZJLp)sFd3C}u^?-vuo^xW^y(<UK~g$^HTIXY^c8Xw%a
z&~RY#tON1C<<`ViJ=aw=*y6{}bGBJ{)`6${4&1J<`O5EgAYyqv!$lUqSJfBzFTSe(
zUl+*9a$RzfqOQwwlScdRwie&#?{lb_%cSW0K-2d@=>6u&|G$`7Zt`o~pjHqiTygh)
z%6;aD<qj^w6;Z-J_UadW+@vlLyPP3|>3jy$^gp&0pQorNtP56H#c8vebI)7uJ>UDb
zvu`Zrnz4`JQ)0!#Jr+jWTk4;BCNc!7cf1gFZ#$+Kk*s{my=c!G7WG#ik3WROB`d#*
zD%x|8Rh=v5@rRt4WaU+!MSD&?v4{(kN_Qye?X_5;a-84b<VRue$2=Tsw>~_oY8h8D
z>9OUiLbjIcw?538D6?L5r(c|nRJub-Z?6XH$&W%4AJ^C({pjtvT~Jcba^BDSA{qbE
zUSow_$J|ubJ*jZ=?n~crOfq5J)`veeEaxqs^tf|nVTJ0;8NrXPF`fCaf3I4;E_0s1
z6rah88!HtBFLe}{Xgx^|$&=vL|0?$G?A__AA#Nc;)~t%t6guyE9C>ON;kf6p#RJcT
zR*?rYQ(7$-TuQaf3p#fuS+A4Ra<l)FrS+`mtvq|Yo7$s=b}upOUn?3|RFkY5(C~hm
zXlO(GB_q)XKhutiJaA1q`jb^=`qVU4kq1w+R4qMDS*0Fq)^_iToHXUBdP1jjU?@}B
zW|sVw26OCt3!fkT|7dro_1QVU5+df<msFfJKlJB^>3+dJi65^v&a7wVIh>td|1Zz!
z%`^S__5XUdCoNVxD$udNyCC?^QRUOxCK-3lJf4flZra9w>2Vidv^CpHPgnOf8y%~h
zE+*Wy@^~Lqa%ETQgnMf<F7K+Ga8BDQEmzuXvW?rL{s7NoYiFxy?(g?BO3a;_QrO@V
zWq!=JKrFm!?qm0A6A2UMD-LdTp_<QM23&b^-@NSI5`C7;N<U>;pP3t%9&J8)@6sfR
zj!wBJo4eTJ6;%JDwXroycD7A^DX9W#K2H{q60Nrcw}~wc4cKEeuKX}I43k^l8gqZK
zSDWquj%F9_>c5}#Y<xo^m#mYB-?s9E>zwNyo}YJ4QAkX6X%b3{6y|u%wvl_@h24yW
zp?bv?e8;?Q-1Ysk?xmP_s(tV7&l^Q1df%+bc<}nIP0_UCt=5|Y)?YK0b3N5_;PI>#
zl9G{z3H2*NW~W?hyza5_s%Fg{5uxk9&PN?+JJY)J#dqC*M@x+^`3V2GE7lYHeQ#U_
z^JPzy{}B~RS^hkddu10I?Yv+2VX|$q;k-+CFDA%sRD9JTa{K-6z4uHP9Y6N#==0>L
zWfNZgb?uqFWxcz`wh7vrmS<-PKYu-A+Vx5Sk3B5=Zv0NNFRy<(QE|(6r)<~r9wiB!
zF9jK7wh8bpx-<3Y$4?1cDt<YNEMawX;B(wLqp1F>#_`{q@7-A;l=`0Mr1)pCzgy+Y
z?sJ58@}55#@%Q6rZd=Z;y=VJw?P;G8Irp3D?v|TTf7F_S_V+iw`eWu^xoV%2^NCLz
zzkj~F>F?Bz=#wHRRT;ML^r}B0e*ej4CDEOEOItSYp0%~=<%%^Ks<R>=SA8;KnZU62
z$nV)*o$gWlzGhrL+b+U+<k1$jbKNtOnA`J?T<};M%&y?L)j5{4=DO)G{>{h#o!fnA
z!;bwMgjow?7y1_ov8o5?yt-WaYMrV1(k8Jryc2rN8JAu$x|^wT?b#y#0G>;kAL{uy
z@1?vkj4Av&@9NeQzWQ-H(xVTQ|8q-{et+tSrGL(rlcqWvf%6P=BzC+KJN{{6j_k(A
z4STZL!nZ262AVO3Ehy(#2^Kk(v_F5+ltXV8Xk;=TFTE@C^3nVh1x<JUrA@c4NH4!s
zw)4sS&d;8lpVmk0ZY%nw+`G+i#k<_~ciN-R)Hm<G!}-0~Lu5<$gPX#tm%f-r9KDmN
zd5k0Io3<U_X04djPv<0<Iz~EIYt;2m6}a!tths`%!1c7$`en?gC68^mGLI*}<r>Q}
zrm*)Wo42{{iai<oKs;#Uq@0h}bPp^Hw=uU5JfiaPzahg^k?7rbCR{)Hc1LU*pKgI{
z%xUkk<$clht2s}-JH2`SIYtj1O^2qn4N`lB=QO;#UUKiiOXu2|kyG@`4&67OZ2nn~
z-||-UiwYUx1-uj5!sB@ol;x{p^WJ98k79R{e~@tS;PIN0E1X-F%<7#Sz;=wm<u1GU
zbR|EFO2PUCyZ)^{9^`suMfq9R>&@@O(jER@VH8*7OutnB?puA26w9jZ?w+zT_TJMR
zE6NU>?7ncTIr}J&Xw?2`Kbf==#B5t0Wc`@7A**`l+)&npzccET>WWz>hfkRMC@jA<
z?7!n`+dZZAQ+)WBx$WH+8NBNDmZjadfBh=^${<nP@XlF8Tu4bkEAyh9f}GpFEt{hk
zv^@G=!nHSP_Xfrd79sWj>W}o4KPo5;5WZXSD0J0f!L;Kx%cmCo|EK2}FW9u;+sgIZ
zgR(+-zLu(7ix8_$lK;j3jeB?C#f5g$)~!5W^<>sMpWg2g0wuf(d8gJr>T`?kTw(P6
z&_kvuH{K7iXB=M{EjoJnjP2#i3R`4mq^x+!EpxnJW#pp#Y07Gyq0t-9)Gatt&vyCK
z*{qi)j*}d9m;yA`Oh`3LnjiGI?fKl6ExxM!8NZYmzNMFSxmoXhBg^3-z4g=Pb1AML
zSJ&2v@6a)5SSFwH=&}vx)!4qWMRxK}b9EyAW{JGEoK@p;{K#vqR}P_^lfANPc+Q-e
z(<{;U=vAoexp?!fLGlNx+I}l?@@ULmTv@*@O{!+)<hbbyy&3sJji>(E2I@PC7|O3}
zX170Ay-jz11XEh}{M?CBcPk=t_bl`hkz&5Bae76Nt*Fxj_qmL|ueMHR^3t^5zxwoy
z#4yK+ykU1G`Yzv{rf2=t_xP8?yi?D7keHorXyQ_HB|1qd{EtkBOwoc(6%S^Ll~}JV
zcz&R`KC^jg#rKd}6&1q-k<8Dw&3kW`s?^$C><@T%x$nX36<hXQJ7>(tx$ng)6R&L=
znJg9)owb<%+e{6<;rB0U{h}kH&ZpM(XN$Nyea#K-y~rZvx8N|3)o+*2N{%M$fBtQ$
zS#azK-zUExk#iF5o>$8(zVbU^>FRG6PI_%lSLRf^{lc(*s@1g3?$d%^Z<n#mE^Iy|
z@MG@37hm-y7V&l$^Rm5N+s@f?i8=dV-GV)?hwd(qNG!T+)9_R6<DvcUcI=yBaqq;-
z1iAm~uNAW?=f?S6Tg0E(q@>Jy@<T=W#MwX2Oh`JvJ@@k3djWnjwhc0edlrj);ppG6
zo-_5|(umn#ZuqocKbBtq(%a5#Yt<^rOvRRaQYEdkA2PNa-mBTFUL^l%Zr$(Kr&#@^
z{10Dh%XzuRjPY=~eiHAtYUcg}2PX<AHmp0aKSFShUba8aZfiNdZE;1voUTs&v&vgQ
z-C<)zO#jiPKRNVQt`hfKR6OaEY1>rhJ&T<}j;rT#g(v*?{(dHM^73Eza_jZy6kRRp
z5aBp}<#OBaJ3m@}*F+|0BxyW;KQmF_VA=W~0`}Wa2u}aUeTLWF=;X!QlDpm*U(9;X
z;BV+}Sh3BIE8knR{Ash?d6_7g8uKHOnQN{noSv=6P^<D`s&-JK&-(*<PdS!asfMW(
zZ|L8^<$J&KIM3@nPO;hhgiCA}s$W*9FZ^2__o-=Wa##r4mp-oJ51J+(6#tX?Z%#em
z3b#(_cVUa~$?5;?iM?8~e}>M~--fH!#b5LKtR=rs_w3Ba?}|$v9M^p_d+m3w)v*`-
ztEBfn_G_P0^oHxuh48S)^KWgxzy9(>=G)I*!(JUvJZxw^yU0DAO)>hedbYH_)+e@q
z7aQ2?&$HZn<LA{d-Di6$$L^-kS8qQze`xFF`t@)oYsQz3*9#6$GcF9Nt^dKg<=Kwe
zU$wmB!&WISIVZBxZ`u51*Iy;xIFM<l;Boiu>4}TYQ~tM!9g2CJ^)rIo<4<JU$|Yuo
z3T0bG{{571Imftuf2?QI`bCTze!6^WaBE#`dQCn<Kxk9Fa#>-7^S8LjzRAuzR?p6E
zO}@79i~G84lk06S@3c*oYQ49U*}|i7-6@{0Z>E3v&3{XwbEZ-n57%DHY4c3&e@$Gf
zuvFibqpkbK6OkDXMcPXxI5~eaZ+Fh#x%gqs_9oA3@Ak<F&P&)QG$X>Ra(iZ+=KLQ<
zVy4eUC#P<xdE4APCEhJ-QGs>9S(YTe9p*<HkBLW%_{S*Z8#?|rp6%qb;QO!N?<A$>
zM)%4jaQr+48v|nik7<|boN!a;WMBYck;#gz!u5KoDQWOwFpaJHe-nz`-+C~3g~kZz
z9O!yu7O?WDov!ZZbsc#ut|{{rA~ifYk001ud`e$^%7W{WMURzlFVmQkE6((V;S0lG
zhTUs6du?sJd*w9m>&a#J-*0Wm-lWHP-AzYg^E8XaD^nl)SuS?pykk-8K>^2OYo4}S
zO|JK5`&Y<#j;C(=!G<$Db<&SFK2Z1=lwQ;l_%40IR_5gC`;X@&+RW7LIqshGRZnsH
zCf~^x&u{xXb-r*ESbw%bhUI+&KND;A2bNU{zFb$noRa;_KW}4zfK2-R>uC!8`F~Vq
z9)7W~d*^}`F7hYl>(ohcf3*1d(lGms$=z8sv;2JL*I(Au3OqjHeqF_-yc4#K_4%vK
zC#at~^RJ9kby4?EkHF`?&-K5X?suK!?I)Wa{pxzinjaIYo$T2@zx16e+P|&lqIOt~
z2LDNw#RXBhIwEshE@zp&RNAVTskB4)QQ_Z;$%_5+*!f&`FF4aszd5zIQ^=-D#DgoG
z>ErvykK*e;9bR9r_`W8pUO?=ibWTM@bFWKF?%q2Af4pbB|M#i%P-x(lul+Zdd1f8U
zjP8A~ts_0|P|e{>VRtn5ZvLA5?A(*8oey1~`!07Zh}zyz$USFSpbdN1_15)kIVzt=
zr}I4d_b~k8{*A47H2H72o!k+7Yq$LGXKUF$X)N+J(u@9Zyky&v=8XEf_w5s`&y_{z
zX!pNlKgrX!$N1UojjDfmckWg{b^S)J=W4^NU1INF_&1k%yXb|k{P*Loe$B?d_38$~
zPmb4C{n07o{M>3`u&qdhZ?5Yf0f}f!J`t<;{{8zmhRK?+UeBD;t`d8F&NSD|P+wu!
zz$+%9qD!Zlri=b}n_f4eMrc(~eeaR|{kwl`kIQ>eDc5FuO!|n$$Bk!s6#C_um_<}7
z_IT|+V!4Ll+vChhFHG02nk7EhJTRoPR(t*XzZ*VPeGdDq_^&K7?~n9zfk|s31G8pn
zM4g?P+q-(Af@;j?O)EluMO_1fO#{2rW;0)mW3*VbSK@{e+qRD9l@e|jSFYOAVf?Rt
zfz1YX)$A1!W+w$~%)(Q6`SUI-sY$A4SNO>B3HC8X+Gy~fS$Z_&$2WDYJN*6ILKKR3
zJV>b4RTt{i5X=pBaD7?ftnIt<plH#ye+E)v+$F;8ZmlL2F;A`DCQfp^l9yfZ;$!T-
z)Ga)5FaO-@{}HV*PxGH*-QTJ6Rg_-tT9+AFFSd47)*_9rS<6-~ni5s)rz_}rz0~*g
zri{=~aCrHOF1<2q-t)?N?THd?iQCFJ-|o^`y-Fi%md4!8-Eyo!P0PJPA35$?op>>G
zb$jmA3W2q5AJ~L#y8Nyl+cd$$s;AoE3NsJ?JMk#*750Cp9sHQ2wmNB&Z3UlTh=-Cz
zoJ?B%)32hZyX$qV`KR?(_I#fBOSk#RL35_`b!TSGpCGwrLEon$#S}Jev0c8iQv~|t
z_n+z5|2Ep=Qj(R7e3Qe9rfBYkGX(`Fs%UC1VgAG=(8c6AZ3|zKoRGr=3mNuFD(;0G
z%!(}nPCSY&0*s0+5{r10R-W3%nEB$^l>`gNUuM5F>R&7SKl&EF;_h@6_hN(Vk*D9T
zFg^AwE~#kx9RH3sS>1f)xfj*7><=b7^j!-!Tg=+$?B6H)x9LV|!LR7zjVJyIFRcmx
z@Z-MDw!c?6?h44+vvaP|m-I4kIey-8QjRd^#+@<o8ky^gT%rTga?0YaXnd68tuDM}
zv2V)C@728*!!OpKNuKF-G1KhSZk@E_p_A5~S9rQ%&cyP}eHP7s4qpn3Tl^x4&&jIw
zhAA(H$gafA4|_7oTfXH~o@HRR?K&RPT9{s+ab}BoU$&Op1*y%>FPmS;2;WFL9GX^A
z?Vhtl<OVDM_nmC&3uRxNTbh1@Yk$t<_*2vUmjAjZp{RRt>)Lm-e$^kl`XJ)j6~}K!
zCjL3n`R2&XGo6$FbT+3b{5v5bGRrQAiTgBz{=a2>E}CKSyCP>j?e)9W{r9`B(#M#J
zMK>Ie&dYi9PwTSYj7+md0SEG~X`bUxoTQiX<_oW}a^?P`3&lACpKPv{iM-=!e)wK-
zSpq{1n_9A|>(X_)d7jL_B>N@*)~B6#T=;VOox2bAMqlIFE&Eozko)e9*3KPjtiKPa
zwfOrbNYCLd*_7mJV)Ijzfl-9>Lu$c%u3sDt@(1oTOmcYPB9ePZMA@iQ<<ykf2VTa#
zaq63!@Iqaqi$z8D*^(6(lAr&mP}K?(cJ3>ZGSKE1>hCahmE=D$Ev2*l%$&(RYzg(=
zO+LvT3w6BAr%0zP>(X$}I(B{XdF$?IJ$qlqH`+`h_3hj>eaqrj{%BhGYL9NAa`%Gg
zl5b{MO13Y^Tlkj$Q!{sWrGmy1^?j$;To>Yb_NGech)&+-bDTB@oy<bweoI{Q7C15|
zY!}nw-zzU2du)<=yfj<+OpecFw>vggUuFfas&C?b|8SkSF2mO|<w^6kb2j}F+~}3A
zbWN!J@|1)b#V%WwXV$lvZj*3kekJ4l!!NJwtM8*5*M2PM=@6AW^v;BZgZp4l^tO-k
zYHaB{mb<TLG`v&AJ9*ZI$rtYK5q}}`e<g3q*1$E=@fz>5udH5wCi7p-4E2AT4(__F
z@sG*y+?E{&>hH{)lHXCi>?!Y)zRKEzZ;V&RGORYPj##t(LGGHhUDwr*ZmF_u<BEDF
z%wD(fm*<p>gms2XzJzkD+-=fyNl#WeCEi`|()whV?^zN`wwE@%u#r5<yJ^b8!2Q>s
zdH*!>x$$nx0*w@w?ye_i7-t>uO6AC_*KuHa$dfkXR%eN))ZD}MdUYG4ySA!sJjG<_
zB;UB_g%kIJPdlt0nr%Aq^nBhW{$rlUI&P$8h|Vz;+QGM;{c~V&_?b<UZtRm)TzZyu
zhmJ*V*^LIVI~xjjIGt+Ioz$}1=yLPY>1Bs6EqH7a$}=Z(gQ}g!(xq`*CkPd#*7;oa
zdUrHDD};0E?lTW^Ch?}N%L=I%&M`Z;>H|}QO7L3Nx#7E>S*Is;Y+kb}%^)Vhy>?$o
zO31<9ojRtsmiQj~?0fFm@o8IJ7I{}2IL?cDP{LFF-K<i@;0MDU+w#dgAIuaV?KwSd
zUF<`-Acr~c=e)YYx|rS6eXZ;ir$X+I)!$++l(lXUnmaLJZH|0v-tz<UU0c@awFQ^w
zsRSM0+19`0THYg*rh~z$2@AH*)^f~v*rTED)OGvcf`|jV6x3HO_S6U#E;{|x?cn_O
zZ8MV>K3c%-<M{ha;iQL>$-Cm)1CUn^O!ijR1`kzLD#r<eCVCCNMcjMC%D@1^;8xe<
z-^!fe314u7YpsZ=K0}t548My){v758E(H!21x5u%E;jusViFn_Y;{T}vlTB(E^G_(
zSovj&yUTC+xBm^Sj{c8W)uCsnt9z7t%H%D4uI+y|E<eP+lJNn<V}^$eGvB`ART4^P
z?oyDs*#7zE9IL=5KR+aVEBmxKA!7OVLn+gQ>o4og(7j!-)ht<EVeOQbBc@wc+y3|x
zChq<DWcr%j5|1q`OnkZ}*bY}ahMw`;xkxFZJuUE4bFN;GjaZHI>4OFT_`mgS=&@hE
zru}ca?V9HN8LO?IABc&UShU6ZpMTrFp#JFx1#URFCj_2cl>RYz)2VmqK7Ws{Xg;??
z%(h!;g(pjWpmk4@3G4Ctq(B9$NNXzvhhLEwl(oKxYE}9!5<4hz)L!sQi`dj(tJjN7
zJ<DRXJL69L%p0$6mUw)O@}Ck}#uV0GbHwoWRoQ?UA|Cd$cKW3+j`e+{)~kDD^V?Sw
zh0-5wTWy?DwbX6NVe=iU4S#&`>ASe@nuDQ)n*qzc7Zy{k=GLD*VZO$0rrq+MVAnmT
z?uWWwKM?bG->wAV)=a_ra_4Tt55bz(3&QK?_P!AIlv{Se^N8R_-`%sC{q?6T4EfYk
zR@%nfo8oL->!+Yo|LW8q@x$^*rM4duUo$)QPl)=At|p7)v9gb3&atT!^}T&{nRUWM
zk3SmA5@zVPZSK0qm;B*uebxm3{41KS7uv2k7V)iiw`tDawt8{(1T*Cc9m38wLEA3<
zR_C8Nt@A>Ox|sLAps9%)ue?0U<K6yqOH0}MLx#}>&aGTK+crH}{?sw*lks7%hZdzv
z8@7d1Op7&QymwdrukHmdo1mxzT+vK*b8hg7EG=Ft;<W3UvHj`nz)e*;8Eo~Ub5iw6
zgSAv-k`IN8$Gk4z==GtFP4n2RfMc&F_9VN%uUG6S;w|3#T>AE_PnB)ErIL+iiKQQt
zk<mJ1@z1?@YQ3>ZjN9Zu&67v99!lN0dW|zaHe~)=n{$!8k1k(cw)~;d+gH4*x}SFn
z{L;u(JHPU9Q+CANnc;o`&vc$h-@2+czkc4atcm>BnJlgg*lSL6@?ib7s7T3CZClVS
zSGfzduXr=O_;fXFKl^KF)N^c6T&j`f5MPqB;@XADxi2=pG1wK9zcTD+B2%rySAHX{
zQjNI}iaQpWKj+PGHrlw=i{JPKyR7d`|GGaa`j-V`^uOgbeBQeF=Xc{}EB8Nm$?Yuh
z_Q2Nqp3@trtIO^*JN;WrP)q0R&YAB^BkN|=2}CtL?z{IV{>Te%SJlmXPVxNvzMa|L
zU~k5Ep$TjO3~eesPd^uC91Q;V)n|&|gPBhkb{Q|w<U3IBTKs*wA5-naY5mg{Zp>YI
z>te&@6^#oGPb`=vIZ;GSQ-?i)X`<vTt=mEm96be|XiTVAoFL)SAtd3%;u4|gq~vSD
ze}T!s%!hOF@uEyoi{FivTH^k{Pt4c*d|hB!?Tw{3rb($MhT7a`&i9M4O?J%V5?ZLg
zw%Nzu&)4YNjL7zVX{N&4ufNYWHPT#Jxn#*FPL-4DZdc5o&G%4CUc_A_E+@KMq&rQ9
zL#D5r%Q0>Jq5CiDJDX-5ln>#Y!o7ChHW&G$t2ZmoZnnw2`uSw-?1(=LP2^Xdh&_8{
zmioR_wynD_R4t9&vri;L$il}t){9&HT9iY#5~oS8%QeQ9D1is{x06r&Dp0U_`01)+
z&DztOqQh#EOwV4t^lEv`oh3n2%_{l5r;02vec4|vbk1e<l|PSm6mis7Kh!RW*co$i
zZSLwts`3}vW(P7Z`1zo_#7?K9BCsO<TCvIBt4bjk?HK19Zr+k%XYt7YwAakvc~hRh
zT9d1iCgyuQSYeUyKADvq(hR}{x0qwjAM%h<Ub^?4^jiK$4}SYyyLfX}gKdv=V4zO~
z)9(FVrpJn9@2!7o+7NhHQKI5(Nqv&|wTaVBLRPr&KJXV}I%<1$Vakd3+RXyiBFfI?
z8G#MT4Hlw)Ywk^6Dg5P8RY!x^dB-DhAwR1`XCJi6Ebxoiad7RMxeFBD8&1ldAyv@H
za7Aq5r5VZ1*1awLk_)2`Ywr5H-FxX9v!)e3TP%0IUAQ@LCx<(m#X{Hrr@68~)rGhS
ze5KjXUX#jbCI*HIRt5&i$%?EZlNGdh!SzG$ltlmA1_EvSKWqC<Rcmyaw9J?L^>!!o
z87#6UqCQR<MUS(S3*MY53*vfJA3sNA>lDY2;@bP3->a_O_IVTg(~KU2$)^tJ8&1_y
zZS%bN;-I(a>PO3sqBYFCeRl5o$MFAm;q^?@Xx$%&Erk8smpZ+1nqMpt>2R3CbQROe
zdhXXcvlBKmy|~=eA)y(wDZ*1C;b790`72ELuFpFbRJ6l!Y4-MB=`aDUg#~N3yxy&Q
zL*KTvnc3o`<njw2cONX=&iq)VUBI}3?}y|1yKlGlJuEWVwynwcFQZ{bsjmGLSI*}9
z+xFbAJ3h}lcB|S%<K|lpr&<$|*zO*fX~4X*LZql(&&=2_b(WB0?xa<-<O8qds3|FN
z#Ahr!xX@=Z=kX39=VdYHlta9<3jTSCzTD#z-p#w>Rji5nDy=s*8JZ7{dZ%>VJ2ka@
z=@VwDw{uU$Wd5iuz4l%2gv&4WxVzT<x7VM{Dmv|(;jiD~sdT^iv~XPFxxnqUPxf?O
zvdFH|eqU!2UaxXGz_m;0dQ`-|ml>`%b@M;xYwi~Iwms^8-gp1Wd)W>dVatW1>Yv^+
zzU1broBcy{&opI@*J)FK-2LSjeqqK7cZo?`Cau~Y6@6PHvT?uhZ;dTSWx9gZ1Wt86
zx$~u}+-a6ay2NHp=PG``i2-tN7i{C^nQ`dG2By_gw=V|j@pu>3+ow9oM=aRsdx!7v
z-_RUulYbT^)&CMR{uE}E-2H$5ljL;aL#Ny0?(OH+nQ-{R&xbAN-s)AHv8emavv0$d
z>m}D;_+2&F_<zs(lX)AgOWP~099fIF)B-&+N*6iSTEC6B_g?ouBPbmrk|FE2PoGnm
z7#Qpn7#KttCM&TDP2Q~oX{X$cjPAQ_!1L#Q<`)&!yJ^YM4)wbnxWD|FyKuAT#k7m>
z$|knC2_2YpQqi4%QqaEq`2DqY|BN1~3$EStFgR86+YM9i>0AADXQ`ZO+jio}XRSc)
zd%ibcEn>744XR&j?4lUu)~C!;^FDjGchioZIse<g{!H5YcX#QRRNef|_5TZ%|I59W
zxBT{g<Nw<)ikWz|1iiSTSPp3{WR>_MW?FFevF@SLi9E&HZ;MYTZgePnT*c^A@sV>w
zCaXcl3P!$1hrV;Ti|hW>w7)9+Wm)0b4e_mEtoym{d|f!>MuEBTN-eY1_kNZzTXN0!
z;S2b-?_AFDfHN*4N^dev9?X%vdnl{E_*~Pf)zxBxvLzEOFPjQ8>zy#{4Dgv#v1#t(
z+1K^BGLAiG`?~0^rE-`{#|1SL#v2P|Zno__r*zEN+9HB+G0UE5sp}+Nn`SL84R7qU
zd-d|6Eqj3V^wVJ;+|}Q9Ot@MpwsptZzms{l-OgGZRW^UJ#xB_`_Kk_9+kWSm6uQi?
z|6IRu#aZTz&-)f1S=1`CKx~n~`4#RnC0D%J8vEg_^Q;eBzh5m~dCTWbO?HBsmGU;D
z{c5}4S~|S>Cw%+y8>5XU(reUOdF<Ysw#~V-dADW%y~vkO<F2OpO?mTbXI_%n2J?NE
zmjX-7cZl(t{d|^u;QH*Y<ZD5_d5m)Dt}8Z{+C82v$W?ECpJ!^`<i(%w9<|^oI41aA
z-TkT8>{Gjo9ByPS{-mGoDUc|~Ad#B?&Scw%GmMogb=7k!das(UzMC-R{Q;MsW|wp|
z4t*)>%PoB}VVg{mPh)b{bp7%#Y4RKHF8p{Z_uA9{kKR`H=`39y=Jdjz0X0FI71?pN
zPPW#EERN*<kd`Kxn2_)wA>-u9?i#DgcV?Oj{!AUI5*Ct1pC@%UX@C9_9Mo-m`ST;*
z$EUl6xVeJ5zg+qp<m4P7Iiq}^vF~-emx8YzuB<-yyygSvT!Y@p&kviP`#I0@=Y&qh
z1G6W3wn$F@xQ9pK0LKw;DMy_@(+Zt*m>)9ahnaOKhPkyBD9Cb~aiF<yuCUzq!%_?k
zVUrmRl)xo+=}gvuIcKMRXP3RhsdvzJ!h>~*2@?*+8n-w$M;EYh3f!5ia8S0%n?vr7
z>JEN^wgrs`rtkiKdsTV<+p_TQFW1>U50AZi_p43mKLG<ND@g{1157R!R?-JA-Ag_g
z$j}fI!ocu5zqQgi_ui+U7M8DGCNW&tb*?4HcI)vwPW3-eo)OD#zrL$r)*H=zf+->^
zT0bP~?_8!Nyz|ABB&KQE_YHMRrWbwQD*I&TZp-zl^^(iqX>HzK!1B1Vw>6FZ+v@On
zZK)?klx_!D{BWClh`TYcfOXyUis1J?(JSw%Tzd84<no{S7kjtf)c5CfPZe-im)*OK
zsqp+{*SH%~WB5zv*SpqBv|Ze^P{ZTD(A>O7JF;|nPwk6*9{co``;<2oDU}QP-?s?5
z-_f{pFy*k%y+)R2OmmVR{c@Jewr93mlCiq_^08ej59i&wY+$kBhwt8u(z^9WIVvi&
zG~M#ohIj=2^}P2oQFzMRiZoHh|21=*98YC;pPhcZBk-j(+tz1~o@nf<H@`B`EmS?M
zu4K;i-oJ)1hi`dYT@qdYt-WpD1eN1VeZDDrX>V@6GmzjG{~DHYY{zQ0f~cEmzibWK
z^VfO1GTvK1qx|aHq9-rTUs(}!@w`e!-NA`Ey3g*sm?ET7_V1zF&Go8}C;s-Auy*a@
zp8k*}p!}TUma56$PJa6?Fmw60t6EO=EwdOueLqvdIOG5SGirA}J-K^=vEd>Q0|UPU
zTf?m#w{KcSb9^|sCsBhz=|KIow9SUwWiPhLceDLTS$C%He2$Na_<Ek_PbUQ*do3F4
zsC>?RMl0{Gb6%%C*IyP0=j)Z6_10Ez$?S{<#z_f35_*cuJd4ygq_}oy)a=^N`G~u!
zYEQkzNAuz>9_}x``gnI)b{sqN{zvI-uh@%c`f~nt_WZayFLv+!ih@-8zw5FX|36Us
zr!IeMasflf-h7GQ5BD_(F1;Tr7%BUaD>~BePUy|8?hp73|0Nz&`u=u;#G#misgK-m
zt=ZA6{<UT1`GfCeg#?`EDexY4n_hh`Vhy+Izl4}={q-m2AM|WHrJxu!GwjZ~Cf<wc
z_gOuKAI?eKW!D|g+`(<VRHvqFz20Q$tSZgSnQ4#Z1y42?%@%uO+A{gI(nR_6<iF?J
zBOHA0P5F3IYk#L^;KBXYWlEt{9rF*S`h9)5d_&gnlTrJt_wEXl6WR0Dtk5K5i|We1
zml8jOT6uF{t%x<Lm-;HJb<X3bc2j%NrR%Jffh=aSc0T*r%KU29&z9i+vm@^N7vA<O
z6B+eQkId@G3t~FY&9{3u|I*V-a%Q*NC47G-?>_gXhir_KU1pr-)63E^)0WE}^N-@#
zRCn(A$3NF%G(9fP{*k9s@B7;zXZ<7QkH1Z)ci1^x%~b#Y_MpRavD5X}ME9xe^1Lek
zKQ;Re<LT=@C+AfBytR4#r(<c0H4m|!nRm4Q=%TOpzf3+|^`F7|V$YP@lVY}Y2w&uI
z_4odGpIda(<K@Z~UsZhdBreSV*LtsK-&?kJ#`JU-#{LGr6;3-F|2Z=GMVu1a8ul~B
zC`oSjRewj7J&_+7{flfOdah3}DyqM=hF!AYdZv`Z<Lq0>r<9!v)sy)ubuxbj>pQhC
z4CNQU@Z_wp^{11w4&7M)_u-p&ufG2-`O3ZW*iqF>@7@(&I~#n*X_<Au|C2rG%@5;r
zcB;QHd110~WBMn%-^Gi6_D)GrSh%6wIqkyc=1abJrwY#&-ez@d!(YQxe&e`be@~@7
z-CD1_;+7eAZpA0dc!N!Q=L>t!xivj<@$;J(W4}Ar1b(>Upu6kK5yw5d`zOQ}d`kQG
z_tML4tk)mzoZcNU@7O|?=wGIB3)-*!%yvBLQm3Z7bY`>oPb0;tCs(qlt=jbK7EAYx
z?!U_GFEiSFc`o?Fm1BGHId;Z9%Zl$FO*1(>FW9jta%#P$1OqplS``<sp6X0V!CvRr
zoziX=<+|>TXLsG=xc_zfN*kf<FLi8Bm!w)gd-|=@Icrk2!TveXez_^v%J+I{<X2B^
z2++6nKJYqJV)G}fC;Jy2ZRg}V!;yS?{f4RP%U8Pl*Su<tn<#bf{;^rBnZ^4z9v4n?
zzcAs;Bt6w@ZtmY^)@N{i%$DDCF)saER80~8naQsn8qK)%NY|-y)p@<$vLX4qOMHZw
zgx+zth$lYydQGY&cJb~N-vW+bZO&WkWy2HuLUOsS&56iw`ySre9^KK|Xtqy^?a{uS
zk4q)0-D9@4n<d+4eaiiNi^VE)#kOl}Lynsr-jept<o@YQ7Xim#QwwtHA3oYF%kbmy
zuiBGw&A~bDlMmeV=?>rZp-b{WUjO?kJ4N?cC2nK-ye(P6JUHa4eX`QeTYTr@vY6A2
z*G+BNxlEX6R;T`)vnNU)7=^8$x?^#*{NGsSd7qw&Z9UrH=5|)(DevBXp4$fx$l7hb
z!Pme4OWOL9ef5{?|18z_o3Zuqp8gm0{~hD!7-ld3Vz((uyR*dieD=E?bF7?M3#;WX
zex3QVa_(7;l7{+2=Vok?zM~=3DR$=1rE_V&qK_X5yAb#$$BBQ+&);`cJyfS|Hh;hD
zs>0EL*#XO*hu)}Ei=3U*{KERCLx|<O0}Ah(`#-avyTZ9(!`f2mi~Sq?_Sq<|c`)rw
z_4I4?Cy$0rQ_@fP#r@V&=gN78=gQYRlqBl1O${C`*00MJG&tlbx__&X#KJ3$JI%Vq
zt{KYPXkK~k<i2XZ@BX@ZVdhJ!c3(Ld)zaWU^<a&(=k0=`x_{IDu?6v1X6*UAp66;-
z^Q42SU%0qDHf@f3ajNrc!14CjpvlYU3m@3o%GJ?$;$MBArjuKt$Q0H|=fw*vUWmy0
zw5uOYE05Z-BQ*Lhht6H!S7-OnZD+R%pF3A2Yt?Sf>r1URd+w~bBlvqUlUwjR;glNn
zhOME$R+>mXU+4E=o5zQ4H=iS&>s}hTuh9xC<Wt`$Xv*fL;;?@DGM>Gc3_`ap)!KIA
z>C=B=JFWI-ie>AY*0;ZLeX?)fZ<k$8KV-aiTrX{`xx#bv6jN*eg}<rl%9mJ=+4}^k
zT<&AKYdZP#VtK`jp?eS4%qhQ>-hC&MvzSpdccGR^{M(>YM{hqqXu09ItA%07$^%m_
z-_v?jQq&h-$x#=)ymbAJ+2;<hhvXEROxTdEaJIjleb&d;iN}N640pxW3w@OP`cLj$
z5c6w0#hVYe%l@0`=g4{cP0Ok$j>i66nrF+JUYK6}d_{LdMSCK{G2;yue_1nDXt-J&
zQfu4X(*H&Haq5qSy-r;-X0kgk>t*~}UK4XT<y^Gnp)y})gBR>IEKSE3-Q><leA~X#
z{Km@@a<!L&+%?x+xXIrllA^ZH>3qHTn&O$?D_GZ0)>jq_>C=4r$?@m!=?TR<ycw7*
zxAQwKNxffSFok=`l%m^GH~F2~p0Yo^|GPk>I$-xkDU0`cE_Uyx9eRAPp^S6h1BN4F
z+0R(sU)7gOO?R}aw4dNrSo!!f%Q>Dl59yPB{es7mc3;@)`ap8xwqs8h#1!%BRM|UQ
z*6T*cv}(^e!*w@IHvH1XQl=}PnwoMd6FYn7uy~dI{rtDZ?e~g#ca~46k=b@3*wo?C
zZK<4ekFeyn(5>nVt{5$!wRDZ&(rX)5byWx~{d1I4rzq-Nrs}o{=RP^f&t0dvP9XP2
zm{_2K&qq<FGYyMQGgj)&m2D`wo%{4a<-AGHC2jcXrztGjd;QMWL!Zv2c4Y+~6HvSJ
zP*gAJXNkn!m==+J=^xlRWeUA@6NRlJJ?FgIAbNO<6VpGtY5NuUUn_0-wk=QV(C+HZ
zy*~~rUDSB{CH>yYXWQSns(#IFcy%-0W&QhQwKK{Jr}qDCSh`hb%I-O{|4qL7P9^kU
zxv82-g~U1KfLBlIzboxly>jeZX8OsxlAV4Z<$fORjV|z<oNl=A^z8Sd&%eHTC8>7K
zN%DTWsJe5Zok9r1yi2N1sfB5!EWKRo8m(q$?mcmJN9iZcHeKd#?(MI?F&(mxuM^<A
ztDJvz-lA$N^Ht_;dRqhKxFxpuCGC*O4vl83JMdR^!s>q~)Qsgmr`9iREcgH0&z{2e
z#ALtg^f}_Oa{{U|V!kUobo9^u(yO)L%;G=tZKaRnuHSj(H@WeQ{|?*Ad)_=e!m}cI
z?(6Sg9eiz%R&~s~W073ae|J<Huw2pzmfsZAoAf|PTctx`(#EpM=7+20cI@Q-zIaOg
z4%vg}N)<SJ@40M0*7o`2)S4$&^%>LO{ti~+HQIK1mD&=verc)O)mN_QD9<lXWcEBN
z^S5qYa?8%TRUdjgZJOCbI{h-m)*kvJX(2m5maTk>VEdYvmDfBpH{IcQy`f_Ng~XkS
z;renOtjAuJDqGrR#Y|LDd!yZdG3!gc$I^pG?n}O3y<1`bLEWv}TGxn5y+3xbJ1MgM
zm+|+Yg=b$@*YYz2e7U!2&xGPllBaHFGV^TOop8I@`;C21@(1txtDJnMv9_K0U$@%%
zi&MDU<0Dz$0)=OY@npqa`@6;Of7u+rMjJH)vrP(Klfq)c>m|)CR!h4TnJqP8nOG#g
zdQXvC*cVm9k{&UojN)w*g9;up%<tPc;rGY;tLv{ls6Dc~YtE5~$Vs35`Q(2pTE6(w
zA#ft2wSI}rChO?!jNdQZ{1Xv)dda@4kJ=2P?)T+n-kLb^*R<Pgue?Pi_fK;&)SD<H
zTU%Pw%3S?zw)N$>yH!e|JJ#>n&9QWw@ri9+yZJq*wN5GJTywr^V}8jbElb5S$u}1t
zbu&7kS;}UWRDaIwgU{uYmcNtsT*=hWkTK1cx7faZ`bm#<4|r#&yjgQXa&~&2)TKp7
zK8Xm*|M~1FUwSRbF6sH+lKqC$yq>*caJnbFu8nQ?jcX_0N9#3d2TwcD-gEQDOuJJ%
ze!n){$RwE-omRTEw01d%!KGyxd~3Um+CKKwX?)c_*nisS;wjHt_0O7hwe*i{dm<aZ
z>h>M~CsQ|Xm}h9Seb?_7&+Z51{fSh6^yxUefk>88%A?)u_O92RDS2*o`{Prm6qViA
z7P#9uTUyPWU|M>JIp)jSD&{lS_cs-&JzaHW&BAtzFM$(VEe&>GdiXJO-N#RcuY^u4
ze)@676`t&XJF8{c{xj;?`id4r*XwTDyG`FPW>$~xq{jt3qIb&g>Ztm5dAvxvW8s?c
z@b8(sSGEYv+Qh{7=TpK1Ju}VMXKch~v1sVrJX$IwX2<A%JGc9JrQNi{{Eik{tvdJb
z3v6<lVl2EOl$}>HoYCZKa>Wl7?yYCEADqfRzOmC@{Gg<8#7PEytFWIQYm=F-{<&D6
zs~2|JLt94pzw0;6KQA{t_;5UH-Lq@#pM;Juv-D>!ezdF6S4#cvr2m$`b0wY?E?QG9
zZ1*etuV&Ei*KB;YxsDb#*K_Ai|L|0hQTFFT!B;s+Ipx3P#q6}&O*_k2otWg4xaXzo
zZz`U-BivqbMN0pZne}>N5h<oJ0iILOmfd{6vHn58dHK_?zu)4K^5$KAXiA@R{oDLk
zW)~8QI<MIT?o<5n^Y!-CPEDU`97MUFOpV@fc!S$*>CTGA&C?nb=Y6x7y>jvKZ&AE2
zgE+P<X4J1TKi#8zJ3Bo@MZxsuhscHRb{yc#v1)s)uzmH^ixYZ%K75!xY4?lWrLyH=
zy^CgbZP2W;s{egWGUw!v<ll=o%rM__<*-DN?t1rS=e5hGNm~1Dp1Da)L2u?q-kb6J
z`|l<k@(~I7@^SSYCxMOYFROl<nUU0?+T+*zK_%<WicbzRwlQ73ZFfz3-X1p90LEA4
zjWZIbSS-FU^}ETnJtx0@yRErnqf*G%>P~t0MK%>z;x@PJUG%9!tKQJ}sR!RoCHdB_
z?ti6Cb<$@--gQ)Mm~Xi8=QSneGskR#&-+j9FlU@QsjKMn?<H*~MGj7^*|OFwVxp%<
zvNwO|nV#5RwiX<rBK60P&ABqY^Sa-P$i=ga4!ziZ>b>ez<0OqMCQe(E7pB$vJ5Khg
zNtq$|yL`Sr|5?`CJGR_7#5eU<{q&;pe}@9DsB7HcyX9TQH~;0OjrEqAd*rR^nZFy%
z$xd$%-uGbciv{m~INVSU7n8SG^gz0NW88$I)lX(No#HSr4w>0>Xm`erRdZW=&#{yV
z+xM)Nd$n<$;HA=fJ+Xpk%k}hoO|RZLRJx;QVwLNphPC(e-F(gkAE;m2a-?JOiWArB
zB}`Up{CXwz^LSoOXHB;0HfM8*eZu866W+{PC-r30vR3&|pM0vN{;$s#OflHLbJ0S-
zJFOgCX_wO9M*1|wZl7T=<FMOwk%dogZgM)bP=C{@h5Yx|Ivugd(!CPCrKLsh*V9Wj
z_qP~6tO{9r^NkI&H@nTsrr$ocqL27bmv7qp%;IRh_@Z4?o{JrnxEQ;Wc}CI<f#jlz
zUCSQ6?5Nuix|WOaRr#gsF4HRYof-Fcb$*PUmaojmX3TXZPI{wHe(?Ll%R4u}UU0wb
zd-JFH>UWd!0)BKH>YekPKl@a=RYbe(hS$#z30PGsP5c(U!h7z-1Y?s^s*+YRP0SNM
zG8a5PaA4<8p`?1-jCh;>UA%YZY&h}D&~L%1NhM#iBRVeTaounK{$mo0=&9z&O0Nsc
zc2&(=t+oDCz!@p^1)pZ?=o{Ub(mBnn`1HvuJ())iyc4WgyYJAqCm|Ciu<9S<tyKy1
z=wVS_CMR=}bN>72L;W9}<sCN)|K53C%k`7oL+wM&&XW$#dCR8s_-4JiFnjKM_AeJ)
z?V^(R)F#h3)8xKeX6=WQn*#oH=!B_X_p+TCxQ0<VXyf@`DQ#V0M^@L*S1T#6XneN+
zgHpp^b7{V}tsHUZLry<7kvhJ!XR7#&(9Fg;cb{p7*?ks~mge%dx*Yd)MfJ8RuMCze
z{Yk4%vZ^~+-EDOLX8T<GD~=gk>aT`uw%xxV=i}AA+h+4S?b)_+YPHwr^v&_2esRwu
zr?dQ+KK+Y++GL-K7XI%$dG^;lv<-Xjkzwz?t|zOOH__>4uhjobQxCt~cEa}(cUjif
zTfyFYu6wi0xcxnR^G&z)H(eLc&%Qh-w&T^CO_`fmmapHz!kcDPyD`;CbDs3Ja`~L%
z`o1kjB^N9<eOaWqIX6~M;Z@udkMBFVcp}%x>|;!ieLJ-+-&{5D<;KjGm?hu8s|&1~
z?yzrW{SH~_+Xv6eynpDGV>JC}W$z8$90B{i71q49VXdEgvr5%VUj>)cC!Gwt5<Yzf
zoA`=p{3k`S^4*`u`1b8PU#?e?FPA8m`84w|$NhQ(u@haFjgN>MuZ(6`{5#lh&K|*U
z7K<Dmnm10Up7-Jhm&*QNL*=%$Ny;;_@2br+z8P)t<lH-rr|IiXAJ>kr-4@DdP^)*Z
z!TtJPiI~0SttwJqzb*|idF{uX)Yt4DA(^^G>)_k^UNzoT>s46HAOCZ_HgRphub*f3
zHco$<we-rP1@(2Vi<iG%YH+*TC&}gU)inR3SDHSZuat0F=l^c@s%GIOl?QKRcsK6d
zQhn0m--DwOU$3TRtzcfinz{V!)k}YxG@TOHA9Cy}E7kw$zuUR=;ZgVFyDzlLt}7{K
zUt;m3_Ui@x=ATD(ZZv%`KiwnXG<C@@wXUYw(>=bnTw9;BU!P^KNB!QDDV#ZV$}a_c
zI4(=(-;h1}lC5e&i+jUXud+vHuk4O{-DBUh#VBk4N5@MW4`$hR#^#0X6kNRekC|hy
zbg$!&yMOOxhvutCp1Ggf$eVEJR_#jhyB{oS)|z-7`+Tci;?ni!*Dp?*mUEJC(XQZ)
zsxFHUw4FaI7pDGvpRnyYOW!3G_1~49Z%C&$J1gtA3OHQ-S-gDrlR3YVzi034O#I(;
zmGP2*Pr&1YpK?~@9PVIv_M*;oZQ49dl`{GJ{<;cpy<f{*%e&nYZut1S=GXN%+8(Z5
zZ6o6+_Ark<tGYV-*|S%z(N>kVH-Ep2+h_4~&E_yp_m1h`|DJH1%2M)Pi**%Gcb!)K
z))RH^dcCKnZrgU^jqN7xgJ%sml&zQE3N1=|qUyV%d4*_S@VWj|&%H%THLkx7epTzq
zJbACx@niK$4lZ-wO|f5>VRlLV__KVE#02pa=eYhm0cT%+TmRv<V2oa^_MtDwm%f=9
zbgAIVriH;9Cq>r@TAp<g<})v0J$bHw(*D2m();V{{xx1+dmz{GSJCs}3pKpwJd<~b
zUvF_0b7Z(5tMvcIRSB))9@e8@6{3DzpDO$0MiK7`&z653x5KBk@owDqF1Tyw<ex8W
ze{|hx*d}lJ=kw=6>-_S6D_);bdN_Uk8U1OIN7u}{e%#MBW9H^~Ni&ykjMwfiKciar
z^!B|=+#A~WY_+Xd_j<j-V9Q29ZH*ff7iev?uMUoq-sju5>EG)&O*(6zsl2UP{+RQJ
z{pYPSOMhNlyMm!X?q>ay?6nKS{zvaCJ5Zp!tYf~$hvi3$GuswU`yu^z(#nE&55>wJ
z2n6qR`zoGQa493}<mJ7;w*3{CPMrUB=Iuw;jlExXZ@-qabx8}4k%)SIssEn(duzjQ
zeXKun+-TO~r9X?eaMVa?TOPRZ!FuJ}u&(z%?b1I=?_8t*;`#NL`j-lC<=Y)Jy($_y
zMP$N?uW9d26iql2^4h)KkY{`GqV4S`_wLTS=YC^m^_Mv@Po}RHZh7W)$oIGN3rDYK
zPo7P8vf+NB?HR1{ZePIy&fCS>%G-?UE%TooJM+T2`|kI6Ij6;UuGn8!GI!eWb!*qT
zvXq@q%To3)kbco#ym?}>=vD7)6QADM8K>Gl?;vw`Ps}OJz3zH3od@LHg`ch$yO^-*
z@EumiE%%fyt0o-`nA^>frQgND&c5}c%0iaFLle^!#X6U8K9k&UF=L{g%lnkz!zUA$
zb)Knz^WeAFHSM^k=jZf(J-*SR`?jX!?s@ZkR`av^gnqxgWleJJo?3hH8FPE=_806s
ze1ShE_j>uh$7^pXH&6S^<8?Li=PErj|3rhCacVMolWts^ZgjjQO|1KTyYRFI<8Jn~
z4BJn;wFT(RxYjO~`;_&1iJL@)zxw0N5%o<WvCkrz0_*i(%SK$0IczWBp3~{rJuxtQ
z-J?^nA?7|VpQD%_K9SvGnBwWI$TBDO<D~p;-Cwr}z3G0PdRKe>UCZPm0auexVauLa
zKD}&w|M%g_i}P8}#-yBhYcBp|aloVhx9T|dEesd?u=!S!*{Kg(p0CZ{5!5OgW0YCT
zH|<{bZ>3z-CBKs^>JvLm3v(|<*VVULZV;b)HL~0~^zfJ2Mn;{*?=L;?-R6=W->;nh
zb-wb0(p~pU*DO#G{JLI^d)}`j(N9jgJ*>E<__m?v!0GLhF^{j_Uusvi|NI)!$mxp<
zE}RQc_srdU&h^>kEv_|x_FV93dbM<YkyhMIkKmu~cKJ0|uj{^NWM*=!cT{!$y?=}9
zpZ~jV*Dr5)G@-ut-$Ikb|IwMh!hh!<`@gRA&+b37FMhfHC-~=&^C$lQeDzO~bLkKF
zC-==c7?TtJWsAPe&;6U-yONQ?j^V#|x?r`ruV`#I`@wRj{I6?lL`zNAhQ7_=70KcG
z(-N|0Eo;fWtY14cBtO1h<?+`(z?)HwNrV|Oo7@rQ3OPP!vZJ@6IOuc}5DxHWL{>Gq
z(p#EQY4TKWd7LUvdrLExPk!#LWCGF;!f0khcEQe3VPs&CV&G+ffhCQPSti^1C>nz_
zfiSv8#EBpb3}Pr63nx$Xkps`?VbcfNkE+Ybz@Wj&z#xpGPg{V2AtkjqGd(ZfFuuGf
zv7jKeNUtI<G=vj!W)P}rSoUNfo5s{QX|kcOhz)p$237+&z?(V(kDD$IW?*3GV_;wq
zg&M%fz`(Gi@r%;r245L0{)gC%vMv#-5fpe!8k;Ad$EpuvA<B9cs6L2=t0v3&DdG$_
z)CC5Rh=S;I)xi>O<)ED@c>E2X9%f)*IK_Y(eXL6wpXf16zQ`tQQ<+zifg|uCUV%^G
zN~4<bwsG0ygMK1m8RaRdX=o;}vVj7Lmw}f-fQ5l!nHK|t9)qh%c5;NFc~WsmNx6He
kL4HZHuen>HQ9x;ErcY*aVTnaSmT|6^rJr-Ax3{k?0P|L+*Z=?k

diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl
index bce213a..93cde7f 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl
+++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl
@@ -56,8 +56,12 @@ if {$::dispatch::connected} {
 }
 
 OPTRACE "synth_1" START { ROLLUP_AUTO }
+set_param chipscope.maxJobs 2
+set_param tcl.statsThreshold 360
+set_msg_config  -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}}  -suppress  -regexp
+set_msg_config  -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}}  -suppress  -regexp
 OPTRACE "Creating in-memory project" START { }
-create_project -in_memory -part xc7z010iclg225-1L
+create_project -in_memory -part xc7a200tsbg484-1
 
 set_param project.singleFileAddWarning.threshold 0
 set_param project.compositeFile.enableAutoGeneration 0
@@ -68,6 +72,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado
 set_property XPM_LIBRARIES XPM_CDC [current_project]
 set_property default_lib xil_defaultlib [current_project]
 set_property target_language Verilog [current_project]
+set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project]
 set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project]
 set_property ip_cache_permissions {read write} [current_project]
 OPTRACE "Creating in-memory project" END { }
@@ -97,7 +102,7 @@ set_param ips.enableIPCacheLiteLoad 1
 close [open __synthesis_is_running__ w]
 
 OPTRACE "synth_design" START { }
-synth_design -top design_1_wrapper -part xc7z010iclg225-1L
+synth_design -top design_1_wrapper -part xc7a200tsbg484-1
 OPTRACE "synth_design" END { }
 if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
  send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds
index dc3dc96..1e37e9a 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds
+++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:35:44 2024
-# Process ID: 7637
+# Start of session at: Thu Nov 28 16:29:20 2024
+# Process ID: 14351
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1
 # Command line: vivado -log design_1_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_wrapper.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds
@@ -19,48 +19,47 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11461 MB
+# Available Virtual :12318 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
-create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1580.141 ; gain = 16.867 ; free physical = 200 ; free virtual = 10629
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 INFO: [IP_Flow 19-1704] No user IP repositories specified
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'.
-Command: synth_design -top design_1_wrapper -part xc7z010iclg225-1L
+Command: synth_design -top design_1_wrapper -part xc7a200tsbg484-1
 Starting synth_design
-Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i'
-INFO: [Device 21-403] Loading part xc7z010iclg225-1L
-INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library.
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
 INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
-INFO: [Synth 8-7075] Helper process launched with PID 7658
+INFO: [Synth 8-7075] Helper process launched with PID 14370
 ---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2340.973 ; gain = 411.715 ; free physical = 146 ; free virtual = 9611
+Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2430.078 ; gain = 411.715 ; free physical = 1755 ; free virtual = 10296
 ---------------------------------------------------------------------------------
 INFO: [Synth 8-6157] synthesizing module 'design_1_wrapper' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v:13]
 INFO: [Synth 8-6157] synthesizing module 'design_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:13]
-INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6]
-INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6]
+INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6]
+INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6]
 WARNING: [Synth 8-7071] port 'locked' of module 'design_1_clk_wiz_0_1' is unconnected for instance 'clk_wiz_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:38]
 WARNING: [Synth 8-7023] instance 'clk_wiz_0' of module 'design_1_clk_wiz_0_1' has 4 connections declared, but only 3 given [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:38]
-INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6]
-INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6]
+INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6]
+INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6]
 INFO: [Synth 8-6155] done synthesizing module 'design_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:13]
 INFO: [Synth 8-6155] done synthesizing module 'design_1_wrapper' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v:13]
 ---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2417.910 ; gain = 488.652 ; free physical = 110 ; free virtual = 9515
+Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2515.016 ; gain = 496.652 ; free physical = 1665 ; free virtual = 10206
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2435.723 ; gain = 506.465 ; free physical = 110 ; free virtual = 9515
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2529.859 ; gain = 511.496 ; free physical = 1661 ; free virtual = 10202
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2435.723 ; gain = 506.465 ; free physical = 110 ; free virtual = 9515
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2529.859 ; gain = 511.496 ; free physical = 1661 ; free virtual = 10202
 ---------------------------------------------------------------------------------
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2439.723 ; gain = 0.000 ; free physical = 110 ; free virtual = 9515
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2533.859 ; gain = 0.000 ; free physical = 1661 ; free virtual = 10202
 INFO: [Project 1-570] Preparing netlist for logic optimization
 
 Processing XDC Constraints
@@ -77,20 +76,20 @@ Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.r
 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/dont_touch.xdc]
 Completed Processing XDC Constraints
 
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.723 ; gain = 0.000 ; free physical = 86 ; free virtual = 9502
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2603.828 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10186
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
-Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.723 ; gain = 0.000 ; free physical = 86 ; free virtual = 9502
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2603.828 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10186
 ---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2506.723 ; gain = 577.465 ; free physical = 103 ; free virtual = 9489
+Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2603.828 ; gain = 585.465 ; free physical = 1642 ; free virtual = 10186
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Loading Part and Timing Information
 ---------------------------------------------------------------------------------
-Loading part: xc7z010iclg225-1L
+Loading part: xc7a200tsbg484-1
 ---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 103 ; free virtual = 9489
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10186
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying 'set_property' XDC Constraints
@@ -101,10 +100,10 @@ Applied set_property KEEP_HIERARCHY = SOFT for design_1_i. (constraint file  aut
 Applied set_property KEEP_HIERARCHY = SOFT for design_1_i/clk_wiz_0. (constraint file  auto generated constraint).
 Applied set_property KEEP_HIERARCHY = SOFT for design_1_i/reg_decalage_0. (constraint file  auto generated constraint).
 ---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 103 ; free virtual = 9489
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10186
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9490
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10187
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start RTL Component Statistics 
@@ -117,8 +116,8 @@ Finished RTL Component Statistics
 Start Part Resource Summary
 ---------------------------------------------------------------------------------
 Part Resources:
-DSPs: 80 (col length:40)
-BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
+DSPs: 740 (col length:100)
+BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
 ---------------------------------------------------------------------------------
 Finished Part Resource Summary
 ---------------------------------------------------------------------------------
@@ -127,25 +126,25 @@ Start Cross Boundary and Area Optimization
 ---------------------------------------------------------------------------------
 WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
 ---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 107 ; free virtual = 9497
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Applying XDC Timing Constraints
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Timing Optimization
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502
+Finished Timing Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Technology Mapping
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502
+Finished Technology Mapping : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start IO Insertion
@@ -163,37 +162,37 @@ Start Final Netlist Cleanup
 Finished Final Netlist Cleanup
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Instances
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Rebuilding User Hierarchy
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Ports
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Handling Custom Attributes
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Renaming Generated Nets
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 ---------------------------------------------------------------------------------
 Start Writing Synthesis Report
@@ -217,16 +216,16 @@ Report Cell Usage:
 |4     |OBUF                    |     8|
 +------+------------------------+------+
 ---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 ---------------------------------------------------------------------------------
 Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2514.727 ; gain = 514.469 ; free physical = 113 ; free virtual = 9511
-Synthesis Optimization Complete : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.734 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2611.832 ; gain = 519.500 ; free physical = 1642 ; free virtual = 10191
+Synthesis Optimization Complete : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.840 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191
 INFO: [Project 1-571] Translating synthesized netlist
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.734 ; gain = 0.000 ; free physical = 113 ; free virtual = 9511
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2611.840 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10191
 INFO: [Project 1-570] Preparing netlist for logic optimization
 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
-Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.734 ; gain = 0.000 ; free physical = 401 ; free virtual = 9799
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2611.840 ; gain = 0.000 ; free physical = 1978 ; free virtual = 10528
 INFO: [Project 1-111] Unisim Transformation Summary:
 No Unisim elements were transformed.
 
@@ -234,10 +233,9 @@ Synth Design complete | Checksum: 2dbfd8ea
 INFO: [Common 17-83] Releasing license: Synthesis
 25 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
 synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:22 . Memory (MB): peak = 2514.734 ; gain = 923.688 ; free physical = 401 ; free virtual = 9799
-INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1880.482; main = 1538.625; forked = 394.715
-INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 4188.938; main = 2514.730; forked = 1674.207
-Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2538.738 ; gain = 0.000 ; free physical = 401 ; free virtual = 9799
+INFO: [Common 17-600] The following parameters have non-default value.
+tcl.statsThreshold
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2635.844 ; gain = 0.000 ; free physical = 1978 ; free virtual = 10528
 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp' has been generated.
 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_synth.rpt -pb design_1_wrapper_utilization_synth.pb
-INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:36:13 2024...
+INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:29:51 2024...
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.pb
index e18f3725cfb8717644bc3776db39eb647ab1f3b2..a05696a0c65935b610ba2bf3adfaa31902105466 100644
GIT binary patch
delta 182
zcmaFFIE`t7U2IXa$5UPg1_p+=LLhRlFhdcuN2>^k-75(q?@BUMn7A*M0<qW1GcXu9
z{*`BF=yN`*P{&g4a8?y0K39#QVVm<)H4wYk2t?jBVqmaznK)b5Pr>o2JxJuO3y9q7
z%D|xD*y;vi_j-fKyWR{84Gv3vK<rOGyzU_Zu14|B9*%x~u0Cr6L85;H85kPuj|PF*
E0E&1j_y7O^

delta 130
zcmbQn^oVhSU2FrV`%_*91_p+=LLhRlFhfJ9TdN3&-75(q?@BT>>~>u$1!Aw2XJ9aJ
z{43AE5aD!Gq4nD$$Fr&+@wsXY3<XY4)j;fCBM^Dlh=E~&)5O`jQVb4H?Li`MT|nes
NR|W<KhgLTb8vr?L9%ujn

diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt
index 5e33bf3..376805e 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt
+++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt
@@ -1,12 +1,12 @@
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 ---------------------------------------------------------------------------------------------------------------------------------------------
 | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep  5 14:36:28 MDT 2024
-| Date         : Thu Nov 14 13:36:13 2024
+| Date         : Thu Nov 28 16:29:51 2024
 | Host         : hogtest running 64-bit unknown
 | Command      : report_utilization -file design_1_wrapper_utilization_synth.rpt -pb design_1_wrapper_utilization_synth.pb
 | Design       : design_1_wrapper
-| Device       : xc7z010iclg225-1L
-| Speed File   : -1L
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
 | Design State : Synthesized
 ---------------------------------------------------------------------------------------------------------------------------------------------
 
@@ -31,14 +31,14 @@ Table of Contents
 +-------------------------+------+-------+------------+-----------+-------+
 |        Site Type        | Used | Fixed | Prohibited | Available | Util% |
 +-------------------------+------+-------+------------+-----------+-------+
-| Slice LUTs*             |    0 |     0 |          0 |     17600 |  0.00 |
-|   LUT as Logic          |    0 |     0 |          0 |     17600 |  0.00 |
-|   LUT as Memory         |    0 |     0 |          0 |      6000 |  0.00 |
-| Slice Registers         |    0 |     0 |          0 |     35200 |  0.00 |
-|   Register as Flip Flop |    0 |     0 |          0 |     35200 |  0.00 |
-|   Register as Latch     |    0 |     0 |          0 |     35200 |  0.00 |
-| F7 Muxes                |    0 |     0 |          0 |      8800 |  0.00 |
-| F8 Muxes                |    0 |     0 |          0 |      4400 |  0.00 |
+| Slice LUTs*             |    0 |     0 |          0 |    134600 |  0.00 |
+|   LUT as Logic          |    0 |     0 |          0 |    134600 |  0.00 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |    0 |     0 |          0 |    269200 |  0.00 |
+|   Register as Flip Flop |    0 |     0 |          0 |    269200 |  0.00 |
+|   Register as Latch     |    0 |     0 |          0 |    269200 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     67300 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     33650 |  0.00 |
 +-------------------------+------+-------+------------+-----------+-------+
 * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
 Warning! LUT value is adjusted to account for LUT combining.
@@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +----------------+------+-------+------------+-----------+-------+
 |    Site Type   | Used | Fixed | Prohibited | Available | Util% |
 +----------------+------+-------+------------+-----------+-------+
-| Block RAM Tile |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB36/FIFO* |    0 |     0 |          0 |        60 |  0.00 |
-|   RAMB18       |    0 |     0 |          0 |       120 |  0.00 |
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
 +----------------+------+-------+------------+-----------+-------+
 * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
 
@@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------+------+-------+------------+-----------+-------+
 | Site Type | Used | Fixed | Prohibited | Available | Util% |
 +-----------+------+-------+------------+-----------+-------+
-| DSPs      |    0 |     0 |          0 |        80 |  0.00 |
+| DSPs      |    0 |     0 |          0 |       740 |  0.00 |
 +-----------+------+-------+------------+-----------+-------+
 
 
@@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 +-----------------------------+------+-------+------------+-----------+-------+
 |          Site Type          | Used | Fixed | Prohibited | Available | Util% |
 +-----------------------------+------+-------+------------+-----------+-------+
-| Bonded IOB                  |   11 |     0 |          0 |        54 | 20.37 |
-| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
-| Bonded IOPADs               |    0 |     0 |          0 |       130 |  0.00 |
-| PHY_CONTROL                 |    0 |     0 |          0 |         2 |  0.00 |
-| PHASER_REF                  |    0 |     0 |          0 |         2 |  0.00 |
-| OUT_FIFO                    |    0 |     0 |          0 |         8 |  0.00 |
-| IN_FIFO                     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYCTRL                  |    0 |     0 |          0 |         2 |  0.00 |
-| IBUFDS                      |    0 |     0 |          0 |        54 |  0.00 |
-| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |         8 |  0.00 |
-| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |         8 |  0.00 |
-| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       100 |  0.00 |
-| ILOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
-| OLOGIC                      |    0 |     0 |          0 |        54 |  0.00 |
+| Bonded IOB                  |   11 |     0 |          0 |       285 |  3.86 |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
 +-----------------------------+------+-------+------------+-----------+-------+
 
 
@@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 |  Site Type | Used | Fixed | Prohibited | Available | Util% |
 +------------+------+-------+------------+-----------+-------+
 | BUFGCTRL   |    0 |     0 |          0 |        32 |  0.00 |
-| BUFIO      |    0 |     0 |          0 |         8 |  0.00 |
-| MMCME2_ADV |    0 |     0 |          0 |         2 |  0.00 |
-| PLLE2_ADV  |    0 |     0 |          0 |         2 |  0.00 |
-| BUFMRCE    |    0 |     0 |          0 |         4 |  0.00 |
-| BUFHCE     |    0 |     0 |          0 |        48 |  0.00 |
-| BUFR       |    0 |     0 |          0 |         8 |  0.00 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |        10 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
 +------------+------+-------+------------+-----------+-------+
 
 
@@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst
 | EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
 | FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
 | ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
 | STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
 | XADC        |    0 |     0 |          0 |         1 |  0.00 |
 +-------------+------+-------+------------+-----------+-------+
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml
index 347635d..c0b38aa 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml
+++ b/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml
@@ -1,5 +1,5 @@
 <?xml version="1.0" encoding="UTF-8"?>
-<GenRun Id="synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731587741">
+<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807758">
   <File Type="VDS-TIMINGSUMMARY" Name="design_1_wrapper_timing_summary_synth.rpt"/>
   <File Type="RDS-DCP" Name="design_1_wrapper.dcp"/>
   <File Type="RDS-UTIL-PB" Name="design_1_wrapper_utilization_synth.pb"/>
@@ -61,7 +61,9 @@
     </Config>
   </FileSet>
   <Strategy Version="1" Minor="2">
-    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"/>
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019">
+      <Desc>Vivado Synthesis Defaults</Desc>
+    </StratHandle>
     <Step Id="synth_design"/>
   </Strategy>
   <BlockFileSet Type="BlockSrcs" Name="design_1_reg_decalage_0_0"/>
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/synth_1/project.wdf
index 58be078..20510ce 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/project.wdf
+++ b/Vivado/labo1b/labo1b.runs/synth_1/project.wdf
@@ -6,7 +6,7 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
@@ -22,12 +22,12 @@ version:1
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00
 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00
 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00
-eof:188425586
+eof:480339526
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou
index 1729903..c4b7f54 100644
--- a/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou
+++ b/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou
@@ -3,8 +3,8 @@
 # SW Build 5164865 on Thu Sep  5 14:36:28 MDT 2024
 # IP Build 5164407 on Fri Sep  6 08:18:11 MDT 2024
 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024
-# Start of session at: Thu Nov 14 13:35:44 2024
-# Process ID: 7637
+# Start of session at: Thu Nov 28 16:29:20 2024
+# Process ID: 14351
 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1
 # Command line: vivado -log design_1_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_wrapper.tcl
 # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds
@@ -19,6 +19,6 @@
 # Host memory       :8296 MB
 # Swap memory       :8296 MB
 # Total Virtual     :16593 MB
-# Available Virtual :11461 MB
+# Available Virtual :12318 MB
 #-----------------------------------------------------------
 source design_1_wrapper.tcl -notrace
diff --git a/Vivado/labo1b/labo1b.runs/synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/synth_1/vivado.pb
index d9088778de42c8bd4a72a2d6db653b8c29717f07..bbf89d97c6f8fd5eae6404a08f71b8084ffd818d 100644
GIT binary patch
delta 2609
zcmX?jg7MN}#*MFe85wgX|728Pw&t>&EW@P3n`mTUP*R+fZen4gYdATKX*(-eWU>%*
z7L?V<EW+qIc^b2#h7gxPMY1_q9;PFT&B##K#K1U%p@6l5bpj)U025dA<Oj@V!dMhf
z)?#_f=r>t{)zpBUi`CG?*xVqB%|h4Qz}ysB|6xWhCe>mA9xc}5%Dj>cqg9Mt%O}^c
zCbF9t8|WFBTTFh!sw!n}YN}wZkXDqMs!*0$R8pFlqhPCGXkcV%wpo#FJtO1i$rsr@
zS$i3|Iv6Jh^4m^cz$*!|(bRDAUS8?RTpZk!=W}Q<c1`}tV>OwHQ;mR1>CGoN^_dv|
zPQJ*lJ6V-Sk#!OyS1+c)Zj)d0@G?%B{F5gYn~KeQd6k(&uzK$czsKZ*rqW`jdIpAO
z3f2ngiJ5r{whAVeW_o6(Mw1U}iAb55VfV3t+2jWnwVU;Q<QXSFa*>-HEaW-)psAF&
zk)@u6sU^ZJQ$s^N6H7CYSrTSuhFC*y@;!_9ll^?<CVOg$PnH%jpv0hh#t)PE6k8{+
z7PXQ$H8uu0#)zGZ)j-d{z`%%&i^b5)%n&4CXkaw?y`tD=39-qX2rY_c2F7|8Miw9y
zNLoycKmvvah8AX%KPrk%PKeE8{4<$Paiuda7q@|d6&UFm7#g8*xwtrth%vEcvUGsl
z<jG305>VAh;b&p0XJTdw3O^|`6C-Tl2XfT|i?@>%Rd!5187L&@lb@K9nU}6mkXTe=
zrGTDyCU3N<6}gU<*!MAV?VdbawN%8+&`{697-S7JEtpywPY#TdAYk`qM|B-Wk=tmd
z9%AI$Ke<t(l3Y`vX(E9P{pOP&SiIeQOIwXOs~DWGxnTJ^nkzCOv8Y5LD784hv?w{X
z*ow;~IH1@{!Q8|^K_fXoM<FLQFTEtg%Fw_-lglZ{(HA6Vj4G!P<ml^UXrW+eU;t(s
zn<<zYXo7+k6a$;T={GQm+&~MB{fu0DCU+T?;q;9puK2Z_?CAY&v!RI!qsV16Lw7N9
zZJ(TJT1c*;+~yLDlS`H4COcY0lWWFVOL0b#V`vUr%gD8A@(-&Nat-aZnaC<~0L{?l
zj9g158#%?1Y3O8AU7^jFo%tC>E}$8_osnzHWc>iy$#0xFC(F2ckZZv$ZOP5++*lb!
z&Z1ecg^_FH<m2wy<QjZ3P-1hnCo3a3OIQX7Pu}R|ONLpS9ld#(pyjpk<nvzo<d`Ao
z$Hg>xv%A7%>ws7?^n(-g$-quluwNbqN=)tuCo{n7CQpn=5jhD7Yj_Udz{s_BGH0Y8
zquJz%S`reNMVg_do~eNWs7STOndJ<*G8hWDD!3qxi&JP;Y-HqGH~DI02E_X&21w;K
zq`btFmm8u&kSiQefooy_s$Z~GIF^Q!KPrk$mJsuwEEW?=NS~!SxaKl6Fg3CORaBc3
zVjnW5<ZzjUWTYyj<>%z&mqROt#N5=9)S_aAjKs23g}nSc-IUa{#L}D+g|ft)(o{Vz
z)nYCIRw-s<OH(bj<ow*+{5&HOE}@d-9KGU_#FFBWjH1-yjQpIGC^kcLT{8oN$?-9h
wCjUy5ne4A1qiAMqs%K$hg6bzUw~1`dNLt3oZDgTfXl7+(X=Q3SSu#Zf0P%#}SO5S3

delta 3048
zcmca~nDO8VMkcOjllMorrU-EfBp0P7mZZiP6y;~7CYM+#7;$lN7#LWAkSRLb(A2^}
z&(Orsh=YsG&`i(5%-o2Ti`mG)z=)lT)zHAq$TEt}&|KHt$S8xMfTx0I0waR}(*|Bf
z#@xw#j0(&)Tvn3}8Fl!o3=9o2lXKFIj7)V6eI{oyZf6AxO;%&dk^-~9#u%GeW-t`6
zR<MGN;c}b2ib+vZm`kuC*&M70ZbB5Bk)f`Mfibe`n8}}+%tWxMo@~SXmeF^z7K^Dq
z8yAbYnW;q-n}x2qfw?KN{)3EMOsd5KJX);9m3bu@Mk^S(mQL<xNn|%RG0?L#H=g{R
zMODJYOu<?qttd5Bp)9kgq%<){!B)Z2%+PSN8S8pR#!r*^xpgN?aLBOqFmkm|PUH?}
zF*h?dpWM&x$JjYpkjHAW1cw@ioa5&E9QsU*e<t&D`>{-9<my4zC_On^N^tT^Zgs}V
zlLdKFamq_gzR$tCS(EnyqX<@y@bY^wnoe%Cl8`VlG}p5<G*GZsNKeenQ?OMqv9QoH
zGc}sL(Mm)DEROC!Q$y3qidwas%e>_oC&y~aP2TKdJlURK3}T>&iLt4kxsfr#KvM&=
z$%aZ2_zZh1atbZDH!^aqn_Q@=IN3tjfC7VSC$q)3O<o;kC2wME333)Fp&IBJ7#M&O
zuA!lU5gQkaCCEo?(aD=TL|r%;-%j2iy<g7MzzkJ63m21x8AP>#(d79tEsUQ*IcV~B
z1(nH3F*1ZTh)u57$eSEzE;sprVyp<%e56n^HwTB30Vw3qgWSZza<Zb<+sOgSJ0|mK
z3(5QBC#GcPr7IL97L`~jVC09%6SZnZuA+tL9!9R6lTWIYikKRj=$RXtBUx=>I(b2)
zgakgTHy5huFpAtnGxGo=*WSq+)ho#}6IY~X;W5qxONKMCG}x@DCCrju0M4>puq+$F
z6&8?KRH6`+TAW{6l$=^@#pMzlP;8}OVW6OqoS&nRlbV-al3`_HpvmPF<mg*$rC?};
zDy0zQ=<8%?p<rSFW*M6)7#V1Sf_JimgY;%I{c<LeYiMDzmyv7N<b#G~I6Wb501A7I
zD7Kuep!IHZmaz(>>_s#qcQA5oWpvBT%Ph`FO_|(itT6esi3d*eB@D0ylBt0aC<$$L
zGm~HxIf`b%8b+>_lZ(t#$uyJ8Qk+rt2%4Fz8M#&<9Ia?6JUP&cTt}a=p2#Y)56yz5
zj9iN*7dpn_cC;b3yku%<2nvYFnL0w7MV$E=CkF`1O@8btJXzP7b8@T;9w*{5ZnL5m
z8{_7WuB?ocQ~VVsi@C>>=_CgSq0PHIxEZl$jGLZ#G6pWUfxL=bQYVq;w9OuVGK`ZK
zDMCVOs=p6;#!LqJP%W^W6}t~NhT-<1I1V39P6&TAInJD&7oP3cF><Y*92VinXb!62
zusGDv1XSEv;xN#VD}$kctAY#C=r{pMba2DhGjgq&tP+_4G1eHA)1dXHDWnv|>CTIh
zA+X9w4qOBqo1j%j#*pg8a58K3PMA`8Y)VZG48cmxEiE_CkNM8X_yklH)eCU(f!iwa
zDXGPo>3PUaIU^H9Q_jc;#5RH%%*n-WX=JQtW?=!U5|NAsx4}@Ga$E}-xg=GK6$(-l
zvlUc}6?{{3^NT7KqE(Ay6;$hs1z4q64ULRU%(U2&^K*0a^Njeoc#xe0vN#~4vN$t2
zF$ZK@Kya{;Etj>1ual;gLVj6lQDP3L31w(uVW4MXVPvh43u%)Wni^Z^nHiZ{E2QNY
zWv8Yn*eV!Xn&_Dunntl3n(G=_7@K4;)FYaT_?$_ED>=E?!yxTBkR!u_gN^LCY|tHP
zVrXHZXK8GKa3nNH4Uk-EXl8DrXJlZG<V=Js--BXpa!<UB9WNI*sJ#tpb?X@zf<g(#
n1+k4lzBf0vKuIo05w-bg{4PdrLlXr<V=H4bD?{VSs}eN;$f`Ar

diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd
index b0cd193..3fa1e7a 100644
--- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd
+++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd
@@ -2,12 +2,12 @@
   "design": {
     "design_info": {
       "boundary_crc": "0x8A7B7C861B56AAC5",
-      "device": "xc7z010iclg225-1L",
+      "device": "xc7a200tsbg484-1",
       "gen_directory": "../../../../labo1b.gen/sources_1/bd/design_1",
       "name": "design_1",
       "rev_ctrl_bd_flag": "RevCtrlBdOff",
       "synth_flow_mode": "Hierarchical",
-      "tool_version": "2024.1",
+      "tool_version": "2024.1.2",
       "validated": "true"
     },
     "design_tree": {
diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci
index eebeb7f..377c850 100644
--- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci
+++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci
@@ -584,18 +584,18 @@
         "C_VCO_MAX": [ { "value": "1600.000", "resolve_type": "generated", "format": "float", "usage": "all" } ]
       },
       "project_parameters": {
-        "ARCHITECTURE": [ { "value": "zynq" } ],
-        "BASE_BOARD_PART": [ { "value": "" } ],
+        "ARCHITECTURE": [ { "value": "artix7" } ],
+        "BASE_BOARD_PART": [ { "value": "digilentinc.com:nexys_video:part0:1.2" } ],
         "BOARD_CONNECTIONS": [ { "value": "" } ],
-        "DEVICE": [ { "value": "xc7z010i" } ],
+        "DEVICE": [ { "value": "xc7a200t" } ],
         "NEXTGEN_VERSAL": [ { "value": "0" } ],
-        "PACKAGE": [ { "value": "clg225" } ],
+        "PACKAGE": [ { "value": "sbg484" } ],
         "PREFHDL": [ { "value": "VERILOG" } ],
         "SILICON_REVISION": [ { "value": "" } ],
         "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
-        "SPEEDGRADE": [ { "value": "-1L" } ],
+        "SPEEDGRADE": [ { "value": "-1" } ],
         "STATIC_POWER": [ { "value": "" } ],
-        "TEMPERATURE_GRADE": [ { "value": "I" } ]
+        "TEMPERATURE_GRADE": [ { "value": "" } ]
       },
       "runtime_parameters": {
         "IPCONTEXT": [ { "value": "IP_Integrator" } ],
@@ -604,7 +604,7 @@
         "OUTPUTDIR": [ { "value": "../../../../../../labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1" } ],
         "SELECTEDSIMMODEL": [ { "value": "" } ],
         "SHAREDDIR": [ { "value": "../../ipshared" } ],
-        "SWVERSION": [ { "value": "2024.1" } ],
+        "SWVERSION": [ { "value": "2024.1.2" } ],
         "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
       }
     },
diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci
index a805d03..530661f 100644
--- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci
+++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci
@@ -11,18 +11,18 @@
         "Component_Name": [ { "value": "design_1_reg_decalage_0_0", "resolve_type": "user", "usage": "all" } ]
       },
       "project_parameters": {
-        "ARCHITECTURE": [ { "value": "zynq" } ],
-        "BASE_BOARD_PART": [ { "value": "" } ],
+        "ARCHITECTURE": [ { "value": "artix7" } ],
+        "BASE_BOARD_PART": [ { "value": "digilentinc.com:nexys_video:part0:1.2" } ],
         "BOARD_CONNECTIONS": [ { "value": "" } ],
-        "DEVICE": [ { "value": "xc7z010i" } ],
+        "DEVICE": [ { "value": "xc7a200t" } ],
         "NEXTGEN_VERSAL": [ { "value": "0" } ],
-        "PACKAGE": [ { "value": "clg225" } ],
+        "PACKAGE": [ { "value": "sbg484" } ],
         "PREFHDL": [ { "value": "VERILOG" } ],
         "SILICON_REVISION": [ { "value": "" } ],
         "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
-        "SPEEDGRADE": [ { "value": "-1L" } ],
+        "SPEEDGRADE": [ { "value": "-1" } ],
         "STATIC_POWER": [ { "value": "" } ],
-        "TEMPERATURE_GRADE": [ { "value": "I" } ]
+        "TEMPERATURE_GRADE": [ { "value": "" } ]
       },
       "runtime_parameters": {
         "IPCONTEXT": [ { "value": "IP_Integrator" } ],
@@ -31,7 +31,7 @@
         "OUTPUTDIR": [ { "value": "../../../../../../labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0" } ],
         "SELECTEDSIMMODEL": [ { "value": "" } ],
         "SHAREDDIR": [ { "value": "../../ipshared" } ],
-        "SWVERSION": [ { "value": "2024.1" } ],
+        "SWVERSION": [ { "value": "2024.1.2" } ],
         "SYNTHESISFLOW": [ { "value": "OOC_HIERARCHICAL" } ]
       }
     },
diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
index 24b79ef..71499b7 100644
--- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
+++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
@@ -1,7 +1,7 @@
 {
    "ActiveEmotionalView":"Default View",
    "Default View_ScaleFactor":"1.0",
-   "Default View_TopLeft":"-595,-488",
+   "Default View_TopLeft":"-596,-501",
    "ExpandedHierarchyInLayout":"",
    "guistr":"# # String gsaved with Nlview 7.7.1 2023-07-26 3bc4126617 VDI=43 GEI=38 GUI=JA:21.0 TLS
 #  -string -flagsOSRD
@@ -12,12 +12,12 @@ preplace port port-id_btnD -pg 1 -lvl 0 -x -220 -y -70 -defaultsOSRD
 preplace portBus led -pg 1 -lvl 3 -x 230 -y -150 -defaultsOSRD
 preplace inst clk_wiz_0 -pg 1 -lvl 1 -x -100 -y -350 -defaultsOSRD
 preplace inst reg_decalage_0 -pg 1 -lvl 2 -x 110 -y -150 -defaultsOSRD
-preplace netloc btnU_1 1 0 2 N -150 -10
 preplace netloc btnC_1 1 0 2 N -100 -10
 preplace netloc btnD_1 1 0 2 N -70 0
-preplace netloc reg_decalage_0_led 1 2 1 N -150
+preplace netloc btnU_1 1 0 2 N -150 -10
 preplace netloc clk_1 1 0 1 N -340
 preplace netloc clk_wiz_0_clk_out1 1 1 1 -10 -360n
+preplace netloc reg_decalage_0_led 1 2 1 N -150
 levelinfo -pg 1 -220 -100 110 230
 pagesize -pg 1 -db -bbox -sgen -310 -460 340 40
 "
diff --git a/Vivado/labo1b/labo1b.xpr b/Vivado/labo1b/labo1b.xpr
index 4295750..14ed7d6 100644
--- a/Vivado/labo1b/labo1b.xpr
+++ b/Vivado/labo1b/labo1b.xpr
@@ -8,7 +8,7 @@
   <DefaultLaunch Dir="$PRUNDIR"/>
   <Configuration>
     <Option Name="Id" Val="678b245e12a947129ea478869b9c2697"/>
-    <Option Name="Part" Val="xc7z010iclg225-1L"/>
+    <Option Name="Part" Val="xc7a200tsbg484-1"/>
     <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
     <Option Name="CompiledLibDirXSim" Val=""/>
     <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
@@ -43,7 +43,7 @@
     <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
     <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
     <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
-    <Option Name="BoardPart" Val=""/>
+    <Option Name="BoardPart" Val="digilentinc.com:nexys_video:part0:1.2"/>
     <Option Name="ActiveSimSet" Val="sim_1"/>
     <Option Name="DefaultLib" Val="xil_defaultlib"/>
     <Option Name="ProjectType" Val="Default"/>
@@ -66,13 +66,13 @@
     <Option Name="WTVcsLaunchSim" Val="0"/>
     <Option Name="WTRivieraLaunchSim" Val="0"/>
     <Option Name="WTActivehdlLaunchSim" Val="0"/>
-    <Option Name="WTXSimExportSim" Val="1"/>
-    <Option Name="WTModelSimExportSim" Val="1"/>
-    <Option Name="WTQuestaExportSim" Val="1"/>
+    <Option Name="WTXSimExportSim" Val="2"/>
+    <Option Name="WTModelSimExportSim" Val="2"/>
+    <Option Name="WTQuestaExportSim" Val="2"/>
     <Option Name="WTIesExportSim" Val="0"/>
-    <Option Name="WTVcsExportSim" Val="1"/>
-    <Option Name="WTRivieraExportSim" Val="1"/>
-    <Option Name="WTActivehdlExportSim" Val="1"/>
+    <Option Name="WTVcsExportSim" Val="2"/>
+    <Option Name="WTRivieraExportSim" Val="2"/>
+    <Option Name="WTActivehdlExportSim" Val="2"/>
     <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
     <Option Name="XSimRadix" Val="hex"/>
     <Option Name="XSimTimeUnit" Val="ns"/>
@@ -199,9 +199,11 @@
     </Simulator>
   </Simulators>
   <Runs Version="1" Minor="22">
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010iclg225-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"/>
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
         <Step Id="synth_design"/>
       </Strategy>
       <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
@@ -209,9 +211,32 @@
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="design_1_clk_wiz_0_1_synth_1" Type="Ft3:Synth" SrcSet="design_1_clk_wiz_0_1" Part="xc7z010iclg225-1L" ConstrsSet="design_1_clk_wiz_0_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_clk_wiz_0_1_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" ParallelReportGen="true">
+    <Run Id="synth_1_copy_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1/synth_1_copy_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1_copy_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2019" CtrlBit="true">
+        <ReportConfig DisplayName="synthesis_report" Name="synth_1_copy_1_synth_synthesis_report_0" Spec="" RunStep="synth_design">
+          <ReportConfigOption Name="dummy_option" Type="string"/>
+        </ReportConfig>
+        <ReportConfig DisplayName="Utilization - Synth Design" Name="synth_1_copy_1_synth_report_utilization_0" Spec="report_utilization" RunStep="synth_design" Version="1" Minor="0">
+          <ReportConfigOption Name="dummy_option" Type="string"/>
+          <ReportConfigOutputOption Name="pb" Type="string" Value=""/>
+        </ReportConfig>
+      </ReportStrategy>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles>
+        <RQSFilePath/>
+      </RQSFiles>
+    </Run>
+    <Run Id="design_1_clk_wiz_0_1_synth_1" Type="Ft3:Synth" SrcSet="design_1_clk_wiz_0_1" Part="xc7a200tsbg484-1" ConstrsSet="design_1_clk_wiz_0_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_clk_wiz_0_1_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
         <Step Id="synth_design"/>
       </Strategy>
       <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
@@ -219,9 +244,11 @@
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="design_1_reg_decalage_0_0_synth_1" Type="Ft3:Synth" SrcSet="design_1_reg_decalage_0_0" Part="xc7z010iclg225-1L" ConstrsSet="design_1_reg_decalage_0_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_reg_decalage_0_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" ParallelReportGen="true">
+    <Run Id="design_1_reg_decalage_0_0_synth_1" Type="Ft3:Synth" SrcSet="design_1_reg_decalage_0_0" Part="xc7a200tsbg484-1" ConstrsSet="design_1_reg_decalage_0_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_reg_decalage_0_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
         <Step Id="synth_design"/>
       </Strategy>
       <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
@@ -229,9 +256,11 @@
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"/>
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019">
+          <Desc>Default settings for Implementation.</Desc>
+        </StratHandle>
         <Step Id="init_design"/>
         <Step Id="opt_design"/>
         <Step Id="power_opt_design"/>
@@ -247,9 +276,11 @@
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="design_1_clk_wiz_0_1_impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="design_1_clk_wiz_0_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_clk_wiz_0_1_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" ParallelReportGen="true">
+    <Run Id="design_1_clk_wiz_0_1_impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="design_1_clk_wiz_0_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_clk_wiz_0_1_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
+          <Desc>Default settings for Implementation.</Desc>
+        </StratHandle>
         <Step Id="init_design"/>
         <Step Id="opt_design"/>
         <Step Id="power_opt_design"/>
@@ -264,9 +295,11 @@
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="design_1_reg_decalage_0_0_impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="design_1_reg_decalage_0_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_reg_decalage_0_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" ParallelReportGen="true">
+    <Run Id="design_1_reg_decalage_0_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="design_1_reg_decalage_0_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_reg_decalage_0_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
+          <Desc>Default settings for Implementation.</Desc>
+        </StratHandle>
         <Step Id="init_design"/>
         <Step Id="opt_design"/>
         <Step Id="power_opt_design"/>
@@ -282,7 +315,39 @@
       <RQSFiles/>
     </Run>
   </Runs>
-  <Board/>
+  <MsgRule>
+    <MsgAttr Name="RuleType" Val="0"/>
+    <MsgAttr Name="Limit" Val="-1"/>
+    <MsgAttr Name="NewSeverity" Val="ANY"/>
+    <MsgAttr Name="Id" Val=""/>
+    <MsgAttr Name="Severity" Val="ANY"/>
+    <MsgAttr Name="ShowRule" Val="1"/>
+    <MsgAttr Name="RuleSource" Val="2"/>
+    <MsgAttr Name="StringIsRegExp" Val="1"/>
+    <MsgAttr Name="RuleId" Val="1"/>
+    <MsgAttr Name="Note" Val=""/>
+    <MsgAttr Name="Author" Val=""/>
+    <MsgAttr Name="CreatedTimestamp" Val=""/>
+    <MsgAttr Name="StringsToMatch" Val=".*The IP file &apos;.*&apos; has been moved from its original location, as a result the outputs for this IP will now be generated in &apos;.*&apos;. Alternatively a copy of the IP can be imported into the project using one of the &apos;import_ip&apos; or &apos;import_files&apos; commands..*"/>
+  </MsgRule>
+  <MsgRule>
+    <MsgAttr Name="RuleType" Val="0"/>
+    <MsgAttr Name="Limit" Val="-1"/>
+    <MsgAttr Name="NewSeverity" Val="ANY"/>
+    <MsgAttr Name="Id" Val=""/>
+    <MsgAttr Name="Severity" Val="ANY"/>
+    <MsgAttr Name="ShowRule" Val="1"/>
+    <MsgAttr Name="RuleSource" Val="2"/>
+    <MsgAttr Name="StringIsRegExp" Val="1"/>
+    <MsgAttr Name="RuleId" Val="2"/>
+    <MsgAttr Name="Note" Val=""/>
+    <MsgAttr Name="Author" Val=""/>
+    <MsgAttr Name="CreatedTimestamp" Val=""/>
+    <MsgAttr Name="StringsToMatch" Val=".*File &apos;.*.xci&apos; referenced by design &apos;.*&apos; could not be found..*"/>
+  </MsgRule>
+  <Board>
+    <Jumpers/>
+  </Board>
   <DashboardSummary Version="1" Minor="0">
     <Dashboards>
       <Dashboard Name="default_dashboard">
-- 
GitLab