Skip to content
Snippets Groups Projects
Commit 29ff98d3 authored by orphee.antoniad's avatar orphee.antoniad
Browse files

Connect MIPI I2C pins to EMIO for test purpose.

parent cd9d371b
No related branches found
No related tags found
No related merge requests found
......@@ -162,9 +162,11 @@ set_property IOSTANDARD LVCMOS25 [get_ports LVDS2V5Bottom6NxSIO]
# Camera SDA
set_property PACKAGE_PIN R5 [get_ports LVDS2V5Bottom5PxSIO]
set_property IOSTANDARD LVCMOS25 [get_ports LVDS2V5Bottom5PxSIO]
set_property PULLTYPE PULLUP [get_ports LVDS2V5Bottom5PxSIO]
# Camera SCL
set_property PACKAGE_PIN R4 [get_ports LVDS2V5Bottom5NxSIO]
set_property IOSTANDARD LVCMOS25 [get_ports LVDS2V5Bottom5NxSIO]
set_property PULLTYPE PULLUP [get_ports LVDS2V5Bottom5NxSIO]
# Camera LP_CLK_P
set_property PACKAGE_PIN R3 [get_ports LVDS2V5Bottom4PxSIO]
set_property IOSTANDARD LVCMOS25 [get_ports LVDS2V5Bottom4PxSIO]
......
......@@ -190,16 +190,16 @@ entity scalp_mipi is
-- LVDS2V5Top7PxSIO : inout std_logic;
-- LVDS2V5Top7NxSIO : inout std_logic;
-- Bottom
-- LVDS2V5Bottom0PxSIO : in std_logic; -- Camera HS_LANE0_P
-- LVDS2V5Bottom0NxSIO : in std_logic; -- Camera HS_LANE0_N
-- LVDS2V5Bottom1PxSIO : in std_logic; -- Camera LP_LANE0_P
-- LVDS2V5Bottom1NxSIO : in std_logic; -- Camera LP_LANE0_N
-- LVDS2V5Bottom2PxSIO : in std_logic; -- Camera HS_LANE1_P
-- LVDS2V5Bottom2NxSIO : in std_logic; -- Camera HS_LANE1_N
-- LVDS2V5Bottom3PxSIO : in std_logic; -- Camera LP_LANE1_P
-- LVDS2V5Bottom3NxSIO : in std_logic; -- Camera LP_LANE1_N
-- LVDS2V5Bottom4PxSIO : in std_logic; -- Camera LP_CLK_P
-- LVDS2V5Bottom4NxSIO : in std_logic; -- Camera LP_CLK_N
LVDS2V5Bottom0PxSIO : in std_logic; -- Camera HS_LANE0_P
LVDS2V5Bottom0NxSIO : in std_logic; -- Camera HS_LANE0_N
LVDS2V5Bottom1PxSIO : in std_logic; -- Camera LP_LANE0_P
LVDS2V5Bottom1NxSIO : in std_logic; -- Camera LP_LANE0_N
LVDS2V5Bottom2PxSIO : in std_logic; -- Camera HS_LANE1_P
LVDS2V5Bottom2NxSIO : in std_logic; -- Camera HS_LANE1_N
LVDS2V5Bottom3PxSIO : in std_logic; -- Camera LP_LANE1_P
LVDS2V5Bottom3NxSIO : in std_logic; -- Camera LP_LANE1_N
LVDS2V5Bottom4PxSIO : in std_logic; -- Camera LP_CLK_P
LVDS2V5Bottom4NxSIO : in std_logic; -- Camera LP_CLK_N
LVDS2V5Bottom5PxSIO : inout std_logic; -- Camera I2C SDA
LVDS2V5Bottom5NxSIO : inout std_logic; -- Camera I2C SCL
LVDS2V5Bottom6PxSIO : inout std_logic; -- Camera GPIO_BTA
......@@ -214,7 +214,7 @@ entity scalp_mipi is
Led22V5GxSO : out std_logic;
Led22V5BxSO : out std_logic;
-- Self reset (connected to PS_SRSTB)
SelfRstxRNO : out std_logic);
SelfRstxRNO : out std_logic;
-- Clocks from PLLs (connected to MRCC pins)
-- Local
-- PLLClk2V5LocalPxCI : in std_logic;
......@@ -256,13 +256,14 @@ entity scalp_mipi is
-- Clk2V5TopPxCO : out std_logic;
-- Clk2V5TopNxCO : out std_logic;
-- -- Bottom
-- Clk2V5BottomPxCI : in std_logic; -- Camera HS_CLK_P
-- Clk2V5BottomNxCI : in std_logic -- Camera HS_CLK_N
Clk2V5BottomPxCI : in std_logic; -- Camera HS_CLK_P
Clk2V5BottomNxCI : in std_logic -- Camera HS_CLK_N
-- Clk2V5BottomPxCO : out std_logic;
-- Clk2V5BottomNxCO : out std_logic;
-- -- Recovery
-- Clk2V5RecoveryPxCO : out std_logic;
-- Clk2V5RecoveryNxCO : out std_logic);
-- Clk2V5RecoveryNxCO : out std_logic
);
end scalp_mipi;
......@@ -429,11 +430,15 @@ begin
Led22V5BxAS : Led22V5BxSO <= RgbLedsCtrlPortxD(5);
-- Camera I2C SDA tri-state buffer
LVDS2V5Bottom5PxSIO <= I2c1SdaOxS when I2c1SdaTxS='0' else 'Z';
I2c1SdaIxS <= LVDS2V5Bottom5PxSIO;
-- LVDS2V5Bottom5PxSIO <= I2c1SdaOxS when I2c1SdaTxS='0' else 'Z';
-- I2c1SdaIxS <= LVDS2V5Bottom5PxSIO;
LVDS2V5Bottom5PxSIO <= GPIO0OxD(2) when GPIO0TxD(2)='0' else 'Z';
GPIO0IxD(2) <= LVDS2V5Bottom5PxSIO;
-- Camera I2C SCL tri-state buffer
LVDS2V5Bottom5NxSIO <= I2c1SclOxS when I2c1SclTxS='0' else 'Z';
I2c1SclIxS <= LVDS2V5Bottom5NxSIO;
-- LVDS2V5Bottom5NxSIO <= I2c1SclOxS when I2c1SclTxS='0' else 'Z';
-- I2c1SclIxS <= LVDS2V5Bottom5NxSIO;
LVDS2V5Bottom5NxSIO <= GPIO0OxD(3) when GPIO0TxD(3)='0' else 'Z';
GPIO0IxD(3) <= LVDS2V5Bottom5NxSIO;
-- Camera PWUP tri-state buffer (GPIO0_4)
LVDS2V5Bottom6NxSIO <= GPIO0OxD(4) when GPIO0TxD(4)='0' else 'Z';
GPIO0IxD(4) <= LVDS2V5Bottom6NxSIO;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment