Skip to content
Snippets Groups Projects
Commit ec47bee2 authored by joachim.schmidt's avatar joachim.schmidt
Browse files

Update scalp_router

parent 907763dc
Branches main
No related tags found
No related merge requests found
...@@ -15,7 +15,7 @@ ...@@ -15,7 +15,7 @@
-- Tool version: 2019.1 -- Tool version: 2019.1
-- Description: Scalp Router (NoC). -- Description: Scalp Router (NoC).
-- --
-- Last update: 2021-05-19 -- Last update: 2021-09-07
-- --
--------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
library ieee; library ieee;
...@@ -117,19 +117,19 @@ architecture rtl of scalp_router is ...@@ -117,19 +117,19 @@ architecture rtl of scalp_router is
-- Signals -- Signals
-- Scalp Router -- Scalp Router
-- Local Router Network Address -- Local Router Network Address
signal LocNetAddrxD : t_scalp_netaddr := C_3D_MIN_SCALP_NETADDR; signal LocNetAddrxD : t_scalp_netaddr := C_3D_MIN_SCALP_NETADDR;
-- Axi4 Stream Interfaces -- Axi4 Stream Interfaces
signal RXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S); signal RXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S);
signal RXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M); signal RXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M);
signal TXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S); signal TXAxism2sVectorxD : t_axi4m2s_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_M2S);
signal TXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M); signal TXAxiss2mVectorxD : t_axi4s2m_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_AXI4_S2M);
-- Scalp QoS Vectors -- Scalp QoS Vectors
signal QoSVectorxD : t_scalp_qos_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_SCALP_NO_QOS); signal QoSVectorxD : t_scalp_qos_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_SCALP_NO_QOS);
-- Axi4 Stream Cross-Links with Neighborhood -- Axi4 Stream Cross-Links with Neighborhood
signal RXAxi4m2sLinksxD : t_axi4m2s_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_M2S)); signal RXAxi4m2sLinksxD : t_axi4m2s_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_M2S));
signal RXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_S2M)); signal RXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_S2M));
signal TXAxi4m2sLinksxD : t_axi4m2s_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_M2S)); signal TXAxi4m2sLinksxD : t_axi4m2s_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_M2S));
signal TXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_S2M)); signal TXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => C_NO_AXI4_S2M));
--------------------------------------------------------------------------- ---------------------------------------------------------------------------
-- For Simulation With Vivado Only -- For Simulation With Vivado Only
-- Axi4 Stream Cross-Links with Neighborhood -- Axi4 Stream Cross-Links with Neighborhood
...@@ -139,14 +139,14 @@ architecture rtl of scalp_router is ...@@ -139,14 +139,14 @@ architecture rtl of scalp_router is
-- signal TXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_SIM_AXISS2M_VECTOR); -- signal TXAxi4s2mLinksxD : t_axi4s2m_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0) := (others => C_NO_SIM_AXISS2M_VECTOR);
--------------------------------------------------------------------------- ---------------------------------------------------------------------------
-- Scalp Booking Vectors -- Scalp Booking Vectors
signal BookingVectorsInxD : t_scalp_booking_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0')); signal BookingVectorsInxD : t_scalp_booking_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0'));
signal BookingVectorsOutxD : t_scalp_booking_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0')); signal BookingVectorsOutxD : t_scalp_booking_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0'));
-- Scalp Scheduler Ack Vectors -- Scalp Scheduler Ack Vectors
signal SchedulerAckVectorsInxD : t_scalp_scheduler_ack_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0')); signal SchedulerAckVectorsInxD : t_scalp_scheduler_ack_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0'));
signal SchedulerAckVectorsOutxD : t_scalp_scheduler_ack_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0')); signal SchedulerAckVectorsOutxD : t_scalp_scheduler_ack_vector_vector((C_SCALP_NUMBER_OF_INTERFACE - 1) downto 0)((C_SCALP_NEIGHBORS_VECTOR_SIZE - 1) downto 0) := (others => (others => '0'));
-- Attributes -- Attributes
attribute mark_debug : string; attribute mark_debug : string;
attribute keep : string; attribute keep : string;
-- --
begin -- architecture rtl begin -- architecture rtl
......
...@@ -15,7 +15,7 @@ ...@@ -15,7 +15,7 @@
-- Tool version: 2019.1 -- Tool version: 2019.1
-- Description: Scalp Router Interface. -- Description: Scalp Router Interface.
-- --
-- Last update: 2021-05-19 -- Last update: 2021-09-07
-- --
--------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
library ieee; library ieee;
...@@ -215,8 +215,8 @@ architecture rtl of scalp_router_interface is ...@@ -215,8 +215,8 @@ architecture rtl of scalp_router_interface is
signal DTXAxi4S2MLinkxD : t_axi4s2m := C_NO_AXI4_S2M; signal DTXAxi4S2MLinkxD : t_axi4s2m := C_NO_AXI4_S2M;
-- Attributes -- Attributes
attribute mark_debug : string; attribute mark_debug : string;
attribute keep : string; attribute keep : string;
-- --
begin -- architecture rtl begin -- architecture rtl
......
...@@ -15,7 +15,7 @@ ...@@ -15,7 +15,7 @@
-- Tool version: 2019.1 -- Tool version: 2019.1
-- Description: Scalp RX side state machine. -- Description: Scalp RX side state machine.
-- --
-- Last update: 2021-05-19 -- Last update: 2021-09-07
-- --
--------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
library ieee; library ieee;
...@@ -113,8 +113,8 @@ architecture behavioral of scalp_rx_side is ...@@ -113,8 +113,8 @@ architecture behavioral of scalp_rx_side is
signal EnPopNPushxS : std_ulogic := '0'; signal EnPopNPushxS : std_ulogic := '0';
-- Attributes -- Attributes
attribute mark_debug : string; attribute mark_debug : string;
attribute keep : string; attribute keep : string;
-- --
begin -- architecture behavioral begin -- architecture behavioral
......
...@@ -15,7 +15,7 @@ ...@@ -15,7 +15,7 @@
-- Tool version: 2019.1 -- Tool version: 2019.1
-- Description: Scalp TX side state machine. -- Description: Scalp TX side state machine.
-- --
-- Last update: 2021-05-19 -- Last update: 2021-09-07
-- --
--------------------------------------------------------------------------------- ---------------------------------------------------------------------------------
library ieee; library ieee;
...@@ -84,8 +84,8 @@ architecture behavioral of scalp_tx_side is ...@@ -84,8 +84,8 @@ architecture behavioral of scalp_tx_side is
signal ReadyInxD : t_axi4s2m := C_NO_AXI4_S2M; signal ReadyInxD : t_axi4s2m := C_NO_AXI4_S2M;
signal EnPopxS : std_ulogic := '0'; signal EnPopxS : std_ulogic := '0';
attribute mark_debug : string; attribute mark_debug : string;
attribute keep : string; attribute keep : string;
-- --
begin -- architecture behavioral begin -- architecture behavioral
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment