Skip to content
Snippets Groups Projects
Commit ab54816b authored by sebastie.gendre's avatar sebastie.gendre
Browse files

hog_build_info_regs: Rename the entity (I have forget it)

parent 9b965179
Branches
Tags
No related merge requests found
......@@ -4,7 +4,7 @@
--
-- Create Date: 03/10/2025 06:56:35 AM
-- Design Name:
-- Module Name: hog_build_info_reg - Behavioral
-- Module Name: hog_build_info_regs - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
......@@ -23,7 +23,7 @@ library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
entity hog_build_info_reg is
entity hog_build_info_regs is
generic (
C_ADDR_WIDTH: integer := 32 -- Width of the addresses
);
......@@ -37,9 +37,9 @@ entity hog_build_info_reg is
hog_global_date_i : in std_logic_vector(31 downto 0); -- Hog build global date
hog_global_time_i : in std_logic_vector(31 downto 0) -- Hog build global time
);
end hog_build_info_reg;
end hog_build_info_regs;
architecture Behavioral of hog_build_info_reg is
architecture Behavioral of hog_build_info_regs is
-- Register map
--
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment