Skip to content
Snippets Groups Projects
Commit 709aaeb8 authored by sebastie.gendre's avatar sebastie.gendre
Browse files

Add a dummy test bench

parent ea2d4f0b
Branches
No related tags found
No related merge requests found
------
--
-- Test bench to simulate success and error
--
-- Author: Sébastien Gendre
--
------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dummy_test is
end dummy_test;
architecture arch of dummy_test is
begin
monitor_process: process()
begin
-- Uncomment the line you want to apply
assert false
report "Test of error"
severity error;
end process monitor_process;
end arch;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment